US20060089002A1 - Method to form etch and/or CMP stop layers - Google Patents

Method to form etch and/or CMP stop layers Download PDF

Info

Publication number
US20060089002A1
US20060089002A1 US11/292,449 US29244905A US2006089002A1 US 20060089002 A1 US20060089002 A1 US 20060089002A1 US 29244905 A US29244905 A US 29244905A US 2006089002 A1 US2006089002 A1 US 2006089002A1
Authority
US
United States
Prior art keywords
oxide
depositing
providing
over
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/292,449
Inventor
Gurtej Sandhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/292,449 priority Critical patent/US20060089002A1/en
Publication of US20060089002A1 publication Critical patent/US20060089002A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/036Making the capacitor or connections thereto the capacitor extending under the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Definitions

  • the present invention relates generally to a doped non-conformal layer in a semiconductor device. More specifically, the present invention relates to a boron-doped oxide that can be used as a stopping layer for etching or chemical-mechanical planarization (CMP), among other uses.
  • CMP chemical-mechanical planarization
  • DRAM dynamic random access memory
  • transistor gates are formed over a semiconductor substrate.
  • an insulator can be deposited between and over them. The surface of this insulator is lowered to the general level of the gate top and planarized through etching or CMP. After that, a contact opening is etched through the insulator to a doped region of the semiconductor substrate that forms a transistor source or drain. This opening will subsequently be filled with conductive material, thereby allowing electrical communication with the doped substrate.
  • Damascene processes offer an alternative to etching away undesired portions of a continuous conductive layer and surrounding the remaining portions with insulation. Damascene processes used at various fabrication stages provide additional examples of where material removal is desired in the context of DRAM devices. For example, initially providing the damascene insulation layer may involve CMP before the hole is formed therein, and forming the hole usually involves an etching step.
  • Such oxides can be deposited by growing them from a surface in an oxidizing atmosphere or by conventional deposition methods, such as chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • Another method of providing oxide is a process known as Flowfill. Flowfill involves reacting silane with vaporized hydrogen peroxide. The reaction results in a gas which condenses as a liquid on a substrate cooled to about 0° C. A subsequent heat treatment dries the liquid to form SiO 2 .
  • the base layer is an oxide provided by plasma-enhanced CVD (PECVD) and serves as an adhesion layer for the Flowfill oxide.
  • PECVD plasma-enhanced CVD
  • exemplary embodiments of the current invention provide a doped non-conformal oxide.
  • a non-conformal oxide that resists doping is initially provided by way of a Flowfill process.
  • a second non-conformal oxide that is configured to accept dopant more readily.
  • the second oxide is annealed in an atmosphere containing boron.
  • Alternative method embodiments include other ways of flowing at least one of the oxides.
  • Still other alternatives address other ways of providing non-conformal oxides, such as through a high-density plasma CVD.
  • Yet other alternative exemplary embodiments address the use of a doped non-conformal oxide as an etch stop and/or a CMP stop.
  • FIG. 1 depicts a cross section of an in-process DRAM as known in the prior art.
  • FIGS. 2-11 illustrate cross-sections of an in-process DRAM having undergone steps in exemplary method embodiments of the current invention. These figures also show various exemplary apparatus embodiments within the scope of the current invention.
  • FIG. 12 illustrates a modified damascene process included as an exemplary embodiment of the current invention.
  • FIG. 13 shows a cross-section of an in-process semiconductor device portion having undergone steps included in another exemplary embodiment of the current invention.
  • FIG. 14 shows a cross-section of an in-process semiconductor device portion having undergone steps included in an alternative exemplary embodiment of the current invention.
  • FIG. 15 is a cross-section of an exemplary apparatus embodiment of the current invention.
  • FIG. 16 is a cross-section of another exemplary apparatus embodiment of the current invention.
  • FIG. 17 is a cross-section of still another exemplary apparatus embodiment of the current invention.
  • FIG. 18 shows a cross-section of an in-process semiconductor device portion having undergone steps included in yet another exemplary embodiment of the current invention.
  • FIG. 1 depicts a portion of a wafer in the process of having DRAM devices formed thereon.
  • FIG. 1 shows two transistor gates 20 flanked by insulating spacers 22 .
  • the gates 20 may include one or more conductive layers and an insulating cap. Further, the transistor gates 20 are over a gate oxide 24 which, in turn, overlies a substrate 26 .
  • the term “substrate” or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). Further, the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above.
  • FIG. 2 illustrates a step taken as part of an exemplary method embodiment of the current invention.
  • a first oxide 28 is provided over the transistor gates.
  • the first oxide 28 is provided by the Flowfill process mentioned above. More specifically, the first oxide 28 is provided by reacting silane (SiH 4 ) with hydrogen peroxide (H 2 O 2 ) at a pressure of about 1 Torr, a substrate temperature of 0° C., an SiH 4 flow rate of about 100 sccm, and an H 2 O 2 flow rate of about 0.6 g/minute.
  • the result is silanol (Si(OH) 4 )—a liquid that flows over the cooled substrate. Once deposited, heating the liquid Si(OH) 4 to about 450° C. forms solid SiO 2 .
  • the first oxide 28 not only deposits on top of the gates 20 but also between them.
  • the first oxide 28 is non-conformal in that horizontal portions are thicker than non-horizontal portions.
  • this Flowfill process is used without necessarily providing an adhesion layer.
  • the use of SiH 4 results in an oxide that will not readily accept dopant.
  • FIG. 3 illustrates that a second oxide 30 is subsequently deposited over the first oxide 28 .
  • deposition occurs in situ—in the same chamber as the previous oxide deposition.
  • this second oxide 30 it is preferred to react methylsilane—H 3 SiCH 3 —with hydrogen peroxide—H 2 O 2 —under parameters similar to those described above.
  • This material can be considered an oxide precursor in that it forms SiO 2 after being heated to about 450° C. Accordingly, such a thermal treatment is carried out, thereby forming the second oxide 30 .
  • second oxide 30 deposits non-conformally with respect to the underlying surface.
  • the thickness of the second oxide 30 over a particular horizontal surface is generally constant given the self-planarizing nature of the deposition.
  • the second oxide 30 will vary in thickness and, in fact, may not deposit at all on some non-horizontal surfaces.
  • Acceptable exemplary thicknesses for the second oxide 30 in this embodiment include 500 to 1000 Angstrom-thick horizontal portions and 0-50 Angstrom-thick non-horizontal portions.
  • the location of the second oxide 30 is limited to discrete portions of the underlying support structure or structures.
  • this second oxide 30 is porous and will readily accept dopant. Without limiting the current invention, it is believed that this second oxide 30 will do so because of its porous nature. As for the creation of these pores, it is thought that the formation process described above results in gaps within the second oxide 30 that are bigger than the lattice constant defined by the Si—O bonds of that layer. These gaps, which may define lengths of 10 to 20 Angstroms and greater, may accommodate a dopant that is supplied in a later step. Accordingly, the term “pore” as used in this application, including the claims, is defined as a gap in a material, wherein the gap is bigger than the lattice constant of that material.
  • the second oxide 30 is subsequently doped with boron 32 , the result of which is seen in FIG. 4 .
  • One way of doping is to anneal the second oxide 30 in an atmosphere containing boron.
  • Exemplary parameters for such an anneal include an atmosphere wherein diborane (B 2 H 6 ) contributes at least a partial pressure of the ambient; a temperature of 400-800° C.; a pressure ranging from 0.5 Torr to 760 Torr; and a process time ranging from 10 seconds to 5 minutes.
  • the first oxide layer 28 prevents most if not all diffusion of boron into other portions of the in-process DRAM device.
  • an insulation layer 34 seen in FIG. 5 is layered over the in-process DRAM.
  • This insulation layer is preferably formed of a glass such as borophosphosilicate glass (BPSG).
  • BPSG borophosphosilicate glass
  • the deposition of BPSG is a somewhat conformal process, resulting in an insulation layer 34 having a non-planarized surface 36 .
  • a CMP process known in the art may be enacted.
  • the portions of second oxide 30 atop the transistor gates 20 being harder to planarize than the overlying insulation layer 34 , act as a CMP stop layer.
  • the result, seen in FIG. 6 is an insulation layer 34 having a planarized surface 36 ′ at the level defined by the second oxide 30 atop the gates 20 .
  • the lower portions of the second oxide 30 may also serve to stop another removal process. For example, it may be desired to provide a contact between the transistor gates 20 . To do so, FIG. 7 illustrates that a layer of photoresist 38 is deposited over insulation layer 34 and patterned to expose a contact site 40 . A subsequent etching step removes the insulation layer 34 material from contact site 40 yet has greater difficulty in removing the second oxide 30 near the bottom of the contact site 40 , as seen in FIG. 8 . As an example, the in-process device can be exposed to a low-pressure HF vapor or a buffered HF solution at 23° C., which will etch BPSG to a greater degree than the boron-doped second oxide 30 .
  • the second oxide 30 may then be removed by a second etch.
  • This second etch may take place in the form of another wet etch using HF.
  • a reactive sputter etch or a plasma etch may be performed using gases such as CHF 3 , CF 4 , and C 2 F 6 .
  • Exemplary plasma etch parameters include using CF 4 at a flow rate of 50 sccm, CHF 3 at a flow rate of 50 sccm, argon at a flow rate of 1000 sccm, a chamber pressure ranging from 0.2 to 0.002 torr, and an RF power of 750 W, for a time necessary to sufficiently remove enough of the second oxide 30 .
  • This etch may be used to remove the first oxide 28 and gate oxide 24 as well. Alternatively, separate etch steps may be applied to these oxides. Subsequent processing steps known in the art may be carried out to complete the DRAM.
  • FIG. 9 illustrates that a polycrystalline plug 50 is eventually deposited within the contact site 40 .
  • a non-conformal insulator 52 which can be formed in a manner such as that used to form the second oxide 30 above, may be deposited and doped: with boron. Thereafter, a damascene process may be used to define a container in which a capacitor will appear.
  • a layer of insulation 54 as shown in FIG. 11 can be deposited and etched according to a patterned mask (not shown), with the non-conformal insulator 52 used to stop that etch. An additional etch may then be used to clear the boron-doped non-conformal insulator 52 from above the plug 50 . However, this etch is optional. Regardless of whether this optional etch is performed, processing may continue, including steps that provide a capacitor within the container.
  • FIG. 12 demonstrates that non-conformal etch stops apply to other damascene-created structures as well.
  • an oxide layer 42 has been deposited over a support surface 44 (assumed to be a BPSG layer) and subsequently annealed in a boron-containing atmosphere.
  • An insulating layer 46 is then deposited thereover and patterned according to a mask (not shown) to form an opening 48 configured to receive a conductive material. The etch process used to form the opening 48 will generally stop once the oxide layer 42 is reached.
  • the current invention also includes within its scope exemplary embodiments wherein the doped non-conformal oxide is used for purposes other than stopping etching or CMP.
  • a non-conformal oxide 56 has been deposited onto the surface of a material 58 and at the bottom of a trench 59 defined by that material 58 .
  • the material 58 is assumed to be BPSG but could be another material.
  • Annealing the non-conformal oxide 56 in diborane using the parameters discussed above implants boron 60 into the non-conformal oxide 56 . Further annealing of that oxide 56 can drive the boron 60 into adjacent regions 62 of the material 58 .
  • the non-conformal oxide 56 may then be removed, leaving a trench 59 having a doped bottom and substantially undoped sides, and the material 58 around the trench 59 having a doped surface.
  • a barrier layer 64 such as a nitride may be deposited before etching the trench 59 .
  • the result after annealing the boron-doped non-conformal oxide 56 is depicted in FIG. 14 .
  • Yet another alternative is to remove the doped surface by way of a planarization step, such as CMP, performed before annealing.
  • Still another alternative is to provide process parameters such that the deposition of the non-conformal oxide on the top of the material 58 is reduced or perhaps even eliminated.
  • the non-conformal oxide 56 will be thicker at the bottom of trench 59 than amounts, if any, at the top, as seen in FIG. 15 .
  • the temperature during deposition approaches 100° C.
  • the non-conformal oxide will deposit a thicker amount on the surface than at the bottom of a trench 59 in that surface, an extreme result of such being depicted in FIG. 16 .
  • the current invention includes within its scope exemplary embodiments wherein a non-conformal doped oxide has different thicknesses on a first horizontal surface 100 , a second horizontal surface 200 , and a non-horizontal surface 300 of a device, wherein such thicknesses are determined by process parameters including the ones addressed above. Further, the thickness at any of these regions may be reduced to zero.
  • a non-conformal oxide 66 can be deposited and subsequently doped, using, for example, the methylsilane deposition/diborane anneal steps discussed above. The result is seen in FIG. 18 .
  • the oxide 66 can be subsequently etched or CMP'd to make the oxide 66 generally level with the surface of support material 68 .
  • BSG borosilicate glass
  • this exemplary embodiment offers an alternative method for filling trenches with a boron-doped insulator.
  • the boron-doped insulator in this and other exemplary embodiments could be considered to be a low dielectric constant (low-K) BSG, wherein a low dielectric constant is considered to be at most 3.
  • exemplary embodiments of the current invention have applications in other contexts where BSG or other low-K dielectrics are used.
  • a doped non-conformal oxide could be used as an interlayer dielectric (ILD).
  • the current invention includes within its scope exemplary embodiments that provide a non-conformal oxide by other ways.
  • another way to flow the oxide onto the underlying layer is through a spin-on-glass (SOG) process.
  • SOG spin-on-glass
  • the SOG process involves depositing a suspension of glass particles in an inorganic carrier onto a spinning substrate.
  • Conventional photoresist tools can be used to achieve such a deposition.
  • the organic carrier is then driven off of the substrate using a thermal process, and the remaining glass is reflowed to fill spaces in the underlying topography and to planarize the glass surface.
  • HDP high-density plasma
  • plasma gases including silicon-containing, oxygen-containing, and nonreactive gasses (e.g. a noble gas) are used to deposit an oxide while simultaneously etching the oxide to prevent gaps from forming in the oxide material.
  • the density of the plasma is greater than 10 10 ions per cm 3 .
  • Exemplary parameters include an ambient of O 2 (flowed at a rate of 120-500 sccm), SiH 4 (flowed at a rate of 80-250 sccm), and Ar (flowed at a rate of 0-50 sccm); an RF bias at 13.56 MHz; a temperature ranging from 350-700° C.; and a bias power ranging from 0 to 2000 W.
  • other CVD processes could be used to provide a non-conformal layer.
  • the dopant be boron.
  • Exemplary embodiments of the current invention include those in which at least one other impurity replaces or is added along with boron.
  • U.S. Pat. No. 5,985,770 discussed above discloses doping the oxide precursor with various materials before and during formation of the oxide layer.
  • application '987 indicates that phosphorous doping can be accomplished using PH 3 , phosphates, or phosphites; fluorine doping can involve NF 3 or F 2 ; carbon may serve as the dopant using C 2 H 6 , trimethyl silane ((CH 3 ) 3 SiH) or CH 4 ; and nitrogen may dope the oxide using NF 3 or NH 3 .
  • the current invention includes within its scope exemplary embodiments that involve doping a non-conformal oxide after its formation with the dopants above (either alone or in combination) by using precursor gases such as the ones above (again either alone or in combination). More specific exemplary embodiments include doping one portion of the non-conformal oxide with a first dopant and a second portion of the oxide with a second dopant. Appropriate masking of the portions can be used to allow for such selective doping.
  • a diffusion barrier layer such as the first oxide 28
  • the barrier layer may be provided through standard methods resulting in a conformal layer.
  • an SiO 2 barrier layer could be provided under known conformal CVD parameters.
  • a conformal layer of tetraethylorthosilicate (TEOS)-based glass could be layered before the non-conformal layer is provided and subsequently doped.
  • the first oxide under the doped second oxide is preferred to help prevent diffusion into other portions of the DRAM.
  • the first oxide is not required, as careful processing can dope the second oxide without having the dopant diffuse beyond the oxide.
  • embodiments without the first oxide fall within the scope of the invention.
  • the current invention includes within its scope the use of other chemicals to provide a non-conformal oxide, including (but not limited to) dimethylsilane, trimethylsilane, tetramethylsilane, pentamethyldisilane, and combinations of chemicals.
  • other chemicals including (but not limited to) dimethylsilane, trimethylsilane, tetramethylsilane, pentamethyldisilane, and combinations of chemicals.
  • exemplary embodiments of the current invention have been illustrated in the context of a DRAM, these and other embodiments apply to semiconductor devices in general. Accordingly, the invention is not limited except as stated in the claims.

Abstract

In a DRAM fabrication process, a first oxide is provided over a transistor gate and over a substrate extending from under the gate. The deposition is non-conformal in that the oxide is thicker over the gate and over the substrate than it is on the side of the gate. A second non-conformal oxide is provided over the first non-conformal oxide. The second oxide is annealed in a boron-containing atmosphere, and the first oxide prevents boron diffusion from the second oxide into the gate and substrate. The second oxide may then serve as an etch stop, a CMP stop, or both.

Description

    RELATED APPLICATION
  • This application is a divisional of application Ser. No. 09/531,680, filed Mar. 20, 2000.
  • TECHNICAL FIELD
  • The present invention relates generally to a doped non-conformal layer in a semiconductor device. More specifically, the present invention relates to a boron-doped oxide that can be used as a stopping layer for etching or chemical-mechanical planarization (CMP), among other uses.
  • BACKGROUND OF THE INVENTION
  • The formation of semiconductor devices (which may actually include conductive and insulative materials as well as semiconductive elements) often involves removing amounts of material included as part of the device. Occasionally, the desired result of removing material is a planarized surface. Other times, the desired result is an opening extending at least partway into the material. Examples of both results occur in the manufacture of dynamic random access memory (DRAM) devices, wherein transistor gates are formed over a semiconductor substrate. Once the gates are formed, an insulator can be deposited between and over them. The surface of this insulator is lowered to the general level of the gate top and planarized through etching or CMP. After that, a contact opening is etched through the insulator to a doped region of the semiconductor substrate that forms a transistor source or drain. This opening will subsequently be filled with conductive material, thereby allowing electrical communication with the doped substrate.
  • This process of forming a hole within an insulation layer and filling that hole with a conductive material is generally known as a damascene process. Damascene processes offer an alternative to etching away undesired portions of a continuous conductive layer and surrounding the remaining portions with insulation. Damascene processes used at various fabrication stages provide additional examples of where material removal is desired in the context of DRAM devices. For example, initially providing the damascene insulation layer may involve CMP before the hole is formed therein, and forming the hole usually involves an etching step.
  • During CMP or etching steps such as those described above, it is often preferable to provide some sort of CMP stop or etch stop at a location defining the extent of the removal process. Oftentimes this CMP/etch stop will be some sort of material that is more resistant if not completely immune to the CMP/etch process than is the material that is to be removed. For example, U.S. Pat. No. 5,485,035 by Lin et al. discloses using a first boron-doped oxide layer in carrying out a planarizing etch back (see Lin's FIG. 3) and a second boron-doped oxide layer to stop the via etch through an overlying insulating layer (Lin's FIG. 5).
  • Such oxides can be deposited by growing them from a surface in an oxidizing atmosphere or by conventional deposition methods, such as chemical vapor deposition (CVD). Another method of providing oxide is a process known as Flowfill. Flowfill involves reacting silane with vaporized hydrogen peroxide. The reaction results in a gas which condenses as a liquid on a substrate cooled to about 0° C. A subsequent heat treatment dries the liquid to form SiO2.
  • As for the application of Flowfill-created oxides, prior art discloses a CMP process that stops within a Flowfill layer, although it is unclear from one particular reference whether this is a matter of properly timing the CMP or due to some property of the oxide itself. See Sabine Penka, Integration Aspects of Flowfill and Spin-on-Glass Process for Sub-0.35 μm Interconnects, PROCEEDINGS OF THE IEEE 1998 INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, at 271 (1998). Significantly, this reference further specifies that “Flowfill . . . need[s] to be enclosed by a base and a cap oxide.” Other references further emphasize the presence of a base and cap. See, e.g., U. Höckele, et al., Flowfill-Process as a New Concept for Inter-Metal-Dielectrics, MATERIALS SCIENCE FORUM, at 235 (1998); A. Hass Bar-Ilan et al., A comparative study of sub-micron gap filling and planarization techniques, PROCEEDINGS OF THE SPIE—THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING, at 278-279 (1995); K. Beekmann et al., SUB-MICRON GAP FILL AND IN-SITU PLANARISATION USING FLOWFILL™ TECHNOLOGY, at 137 (1996). The base layer is an oxide provided by plasma-enhanced CVD (PECVD) and serves as an adhesion layer for the Flowfill oxide.
  • Concerning altering the properties of Flowfill layers, U.S. Pat. No. 5,985,770, also assigned to Micron Technology Inc., discloses gas phase doping of a Flowfill layer before or during the heat treatment that ultimately solidifies the Flowfill liquid into SiO2.
  • Given the state of the prior art in terms of CMP and etch stops, there is a constant need in the art to find a new etch stop or CMP stop and new ways of making them. Moreover, there is also a need in the art to find new applications for and modifications of the Flowfill process.
  • SUMMARY OF THE INVENTION
  • Accordingly, exemplary embodiments of the current invention provide a doped non-conformal oxide. In a preferred exemplary embodiment, a non-conformal oxide that resists doping is initially provided by way of a Flowfill process. Next is provided a second non-conformal oxide that is configured to accept dopant more readily. Subsequently the second oxide is annealed in an atmosphere containing boron. Alternative method embodiments include other ways of flowing at least one of the oxides. Still other alternatives address other ways of providing non-conformal oxides, such as through a high-density plasma CVD. Yet other alternative exemplary embodiments address the use of a doped non-conformal oxide as an etch stop and/or a CMP stop.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 depicts a cross section of an in-process DRAM as known in the prior art.
  • FIGS. 2-11 illustrate cross-sections of an in-process DRAM having undergone steps in exemplary method embodiments of the current invention. These figures also show various exemplary apparatus embodiments within the scope of the current invention.
  • FIG. 12 illustrates a modified damascene process included as an exemplary embodiment of the current invention.
  • FIG. 13 shows a cross-section of an in-process semiconductor device portion having undergone steps included in another exemplary embodiment of the current invention.
  • FIG. 14 shows a cross-section of an in-process semiconductor device portion having undergone steps included in an alternative exemplary embodiment of the current invention.
  • FIG. 15 is a cross-section of an exemplary apparatus embodiment of the current invention.
  • FIG. 16 is a cross-section of another exemplary apparatus embodiment of the current invention.
  • FIG. 17 is a cross-section of still another exemplary apparatus embodiment of the current invention.
  • FIG. 18 shows a cross-section of an in-process semiconductor device portion having undergone steps included in yet another exemplary embodiment of the current invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 depicts a portion of a wafer in the process of having DRAM devices formed thereon. Specifically, FIG. 1 shows two transistor gates 20 flanked by insulating spacers 22. The gates 20 may include one or more conductive layers and an insulating cap. Further, the transistor gates 20 are over a gate oxide 24 which, in turn, overlies a substrate 26. In the current application, the term “substrate” or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). Further, the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above.
  • FIG. 2 illustrates a step taken as part of an exemplary method embodiment of the current invention. A first oxide 28 is provided over the transistor gates. Preferably the first oxide 28 is provided by the Flowfill process mentioned above. More specifically, the first oxide 28 is provided by reacting silane (SiH4) with hydrogen peroxide (H2O2) at a pressure of about 1 Torr, a substrate temperature of 0° C., an SiH4 flow rate of about 100 sccm, and an H2O2 flow rate of about 0.6 g/minute. The result is silanol (Si(OH)4)—a liquid that flows over the cooled substrate. Once deposited, heating the liquid Si(OH)4 to about 450° C. forms solid SiO2. As a result of this process, the first oxide 28 not only deposits on top of the gates 20 but also between them. However, the first oxide 28 is non-conformal in that horizontal portions are thicker than non-horizontal portions. Of further note is that this Flowfill process is used without necessarily providing an adhesion layer. In addition, the use of SiH4 results in an oxide that will not readily accept dopant.
  • FIG. 3 illustrates that a second oxide 30 is subsequently deposited over the first oxide 28. Preferably, deposition occurs in situ—in the same chamber as the previous oxide deposition. For this second oxide 30, it is preferred to react methylsilane—H3SiCH3—with hydrogen peroxide—H2O2—under parameters similar to those described above. The result is a flowable material (plus organic by products). This material can be considered an oxide precursor in that it forms SiO2 after being heated to about 450° C. Accordingly, such a thermal treatment is carried out, thereby forming the second oxide 30. Like the first oxide 28, second oxide 30 deposits non-conformally with respect to the underlying surface. For example, in the exemplary embodiment pictured, the thickness of the second oxide 30 over a particular horizontal surface is generally constant given the self-planarizing nature of the deposition. Regarding non-horizontal surfaces, the second oxide 30 will vary in thickness and, in fact, may not deposit at all on some non-horizontal surfaces. Acceptable exemplary thicknesses for the second oxide 30 in this embodiment include 500 to 1000 Angstrom-thick horizontal portions and 0-50 Angstrom-thick non-horizontal portions. Thus, in at least some embodiments, the location of the second oxide 30 is limited to discrete portions of the underlying support structure or structures.
  • Unlike the first oxide 28, this second oxide 30 is porous and will readily accept dopant. Without limiting the current invention, it is believed that this second oxide 30 will do so because of its porous nature. As for the creation of these pores, it is thought that the formation process described above results in gaps within the second oxide 30 that are bigger than the lattice constant defined by the Si—O bonds of that layer. These gaps, which may define lengths of 10 to 20 Angstroms and greater, may accommodate a dopant that is supplied in a later step. Accordingly, the term “pore” as used in this application, including the claims, is defined as a gap in a material, wherein the gap is bigger than the lattice constant of that material.
  • Accordingly, the second oxide 30 is subsequently doped with boron 32, the result of which is seen in FIG. 4. One way of doping is to anneal the second oxide 30 in an atmosphere containing boron. Exemplary parameters for such an anneal include an atmosphere wherein diborane (B2H6) contributes at least a partial pressure of the ambient; a temperature of 400-800° C.; a pressure ranging from 0.5 Torr to 760 Torr; and a process time ranging from 10 seconds to 5 minutes. The first oxide layer 28 prevents most if not all diffusion of boron into other portions of the in-process DRAM device.
  • Next, an insulation layer 34 seen in FIG. 5 is layered over the in-process DRAM. This insulation layer is preferably formed of a glass such as borophosphosilicate glass (BPSG). The deposition of BPSG is a somewhat conformal process, resulting in an insulation layer 34 having a non-planarized surface 36. To achieve the desired planarized surface for that layer 34, a CMP process known in the art may be enacted. The portions of second oxide 30 atop the transistor gates 20, being harder to planarize than the overlying insulation layer 34, act as a CMP stop layer. The result, seen in FIG. 6, is an insulation layer 34 having a planarized surface 36′ at the level defined by the second oxide 30 atop the gates 20.
  • Moreover, the lower portions of the second oxide 30 may also serve to stop another removal process. For example, it may be desired to provide a contact between the transistor gates 20. To do so, FIG. 7 illustrates that a layer of photoresist 38 is deposited over insulation layer 34 and patterned to expose a contact site 40. A subsequent etching step removes the insulation layer 34 material from contact site 40 yet has greater difficulty in removing the second oxide 30 near the bottom of the contact site 40, as seen in FIG. 8. As an example, the in-process device can be exposed to a low-pressure HF vapor or a buffered HF solution at 23° C., which will etch BPSG to a greater degree than the boron-doped second oxide 30. The second oxide 30 may then be removed by a second etch. This second etch may take place in the form of another wet etch using HF. Alternatively, a reactive sputter etch or a plasma etch may be performed using gases such as CHF3, CF4, and C2F6. Exemplary plasma etch parameters include using CF4 at a flow rate of 50 sccm, CHF3 at a flow rate of 50 sccm, argon at a flow rate of 1000 sccm, a chamber pressure ranging from 0.2 to 0.002 torr, and an RF power of 750 W, for a time necessary to sufficiently remove enough of the second oxide 30. This etch may be used to remove the first oxide 28 and gate oxide 24 as well. Alternatively, separate etch steps may be applied to these oxides. Subsequent processing steps known in the art may be carried out to complete the DRAM.
  • The subsequent processing steps, however, may lead to other exemplary embodiments involving a non-conformal boron-doped oxide. FIG. 9 illustrates that a polycrystalline plug 50 is eventually deposited within the contact site 40. Assuming the in-process DRAM device will be incorporating capacitors using high-K dielectrics, it will be preferred to recess the plug 50 so that its surface does not reach the top of the contact site 40. As shown in FIG. 10, a non-conformal insulator 52, which can be formed in a manner such as that used to form the second oxide 30 above, may be deposited and doped: with boron. Thereafter, a damascene process may be used to define a container in which a capacitor will appear. For example, a layer of insulation 54 as shown in FIG. 11 can be deposited and etched according to a patterned mask (not shown), with the non-conformal insulator 52 used to stop that etch. An additional etch may then be used to clear the boron-doped non-conformal insulator 52 from above the plug 50. However, this etch is optional. Regardless of whether this optional etch is performed, processing may continue, including steps that provide a capacitor within the container.
  • FIG. 12 demonstrates that non-conformal etch stops apply to other damascene-created structures as well. In that figure, an oxide layer 42 has been deposited over a support surface 44 (assumed to be a BPSG layer) and subsequently annealed in a boron-containing atmosphere. An insulating layer 46 is then deposited thereover and patterned according to a mask (not shown) to form an opening 48 configured to receive a conductive material. The etch process used to form the opening 48 will generally stop once the oxide layer 42 is reached.
  • The current invention also includes within its scope exemplary embodiments wherein the doped non-conformal oxide is used for purposes other than stopping etching or CMP. In FIG. 13, for example, a non-conformal oxide 56 has been deposited onto the surface of a material 58 and at the bottom of a trench 59 defined by that material 58. The material 58 is assumed to be BPSG but could be another material. Annealing the non-conformal oxide 56 in diborane using the parameters discussed above implants boron 60 into the non-conformal oxide 56. Further annealing of that oxide 56 can drive the boron 60 into adjacent regions 62 of the material 58. The non-conformal oxide 56 may then be removed, leaving a trench 59 having a doped bottom and substantially undoped sides, and the material 58 around the trench 59 having a doped surface.
  • Alternatively, if it is not desired to dope the surface of material 58, a barrier layer 64 such as a nitride may be deposited before etching the trench 59. The result after annealing the boron-doped non-conformal oxide 56 is depicted in FIG. 14. Yet another alternative is to remove the doped surface by way of a planarization step, such as CMP, performed before annealing.
  • Still another alternative is to provide process parameters such that the deposition of the non-conformal oxide on the top of the material 58 is reduced or perhaps even eliminated. For example, if methylsilane is reacted with hydrogen peroxide at room temperature (about 20° C.), then the non-conformal oxide 56 will be thicker at the bottom of trench 59 than amounts, if any, at the top, as seen in FIG. 15. Further, it is also believed that, as the temperature during deposition approaches 100° C., the non-conformal oxide will deposit a thicker amount on the surface than at the bottom of a trench 59 in that surface, an extreme result of such being depicted in FIG. 16. Thus, as seen in FIG. 17, the current invention includes within its scope exemplary embodiments wherein a non-conformal doped oxide has different thicknesses on a first horizontal surface 100, a second horizontal surface 200, and a non-horizontal surface 300 of a device, wherein such thicknesses are determined by process parameters including the ones addressed above. Further, the thickness at any of these regions may be reduced to zero.
  • In other cases, it may simply be desired to fill a trench 65 with a doped oxide, and embodiments of the current invention can accommodate such cases. A non-conformal oxide 66 can be deposited and subsequently doped, using, for example, the methylsilane deposition/diborane anneal steps discussed above. The result is seen in FIG. 18. The oxide 66 can be subsequently etched or CMP'd to make the oxide 66 generally level with the surface of support material 68. Currently, borosilicate glass (BSG) is deposited in such trenches by way of conventional means, but as trenches become narrower in width, standard BSG deposition methods may not work. Thus, this exemplary embodiment offers an alternative method for filling trenches with a boron-doped insulator. In fact, the boron-doped insulator in this and other exemplary embodiments could be considered to be a low dielectric constant (low-K) BSG, wherein a low dielectric constant is considered to be at most 3. Accordingly, exemplary embodiments of the current invention have applications in other contexts where BSG or other low-K dielectrics are used. For example, a doped non-conformal oxide could be used as an interlayer dielectric (ILD).
  • In addition, while it is preferred to deposit the oxide by heating the product of a methylsilane/hydrogen peroxide reaction, the current invention includes within its scope exemplary embodiments that provide a non-conformal oxide by other ways. For example, another way to flow the oxide onto the underlying layer is through a spin-on-glass (SOG) process. The SOG process involves depositing a suspension of glass particles in an inorganic carrier onto a spinning substrate. Conventional photoresist tools can be used to achieve such a deposition. The organic carrier is then driven off of the substrate using a thermal process, and the remaining glass is reflowed to fill spaces in the underlying topography and to planarize the glass surface.
  • Another way of providing a non-conformal oxide is through the use of a high-density plasma (HDP) CVD process. In such a process, plasma gases including silicon-containing, oxygen-containing, and nonreactive gasses (e.g. a noble gas) are used to deposit an oxide while simultaneously etching the oxide to prevent gaps from forming in the oxide material. The density of the plasma is greater than 1010 ions per cm3. Exemplary parameters include an ambient of O2 (flowed at a rate of 120-500 sccm), SiH4 (flowed at a rate of 80-250 sccm), and Ar (flowed at a rate of 0-50 sccm); an RF bias at 13.56 MHz; a temperature ranging from 350-700° C.; and a bias power ranging from 0 to 2000 W. Moreover, other CVD processes could be used to provide a non-conformal layer.
  • In addition, it is not necessary that the dopant be boron. Exemplary embodiments of the current invention include those in which at least one other impurity replaces or is added along with boron. It is noted that U.S. Pat. No. 5,985,770 discussed above discloses doping the oxide precursor with various materials before and during formation of the oxide layer. For example, application '987 indicates that phosphorous doping can be accomplished using PH3, phosphates, or phosphites; fluorine doping can involve NF3 or F2; carbon may serve as the dopant using C2H6, trimethyl silane ((CH3)3SiH) or CH4; and nitrogen may dope the oxide using NF3 or NH3. The current invention includes within its scope exemplary embodiments that involve doping a non-conformal oxide after its formation with the dopants above (either alone or in combination) by using precursor gases such as the ones above (again either alone or in combination). More specific exemplary embodiments include doping one portion of the non-conformal oxide with a first dopant and a second portion of the oxide with a second dopant. Appropriate masking of the portions can be used to allow for such selective doping.
  • Furthermore, in embodiments wherein a diffusion barrier layer (such as the first oxide 28) is preferred, it is not necessary that the diffusion barrier be deposited in a non-conformal manner. Other exemplary embodiments allow for the barrier layer to be provided through standard methods resulting in a conformal layer. For example, an SiO2 barrier layer could be provided under known conformal CVD parameters. Alternatively, a conformal layer of tetraethylorthosilicate (TEOS)-based glass could be layered before the non-conformal layer is provided and subsequently doped.
  • Given the variety of alternative embodiments described above, one skilled in the art can appreciate that, although specific embodiments of this invention have been described above for purposes of illustration, various modifications may be made without departing from the spirit and scope of the invention. Returning to the first exemplary embodiment described above, for instance, the first oxide under the doped second oxide is preferred to help prevent diffusion into other portions of the DRAM. However, the first oxide is not required, as careful processing can dope the second oxide without having the dopant diffuse beyond the oxide. Thus, embodiments without the first oxide fall within the scope of the invention. Further, as an addition to or an alternative to the preferred monomethylsilane/peroxide reaction, the current invention includes within its scope the use of other chemicals to provide a non-conformal oxide, including (but not limited to) dimethylsilane, trimethylsilane, tetramethylsilane, pentamethyldisilane, and combinations of chemicals. Moreover, while exemplary embodiments of the current invention have been illustrated in the context of a DRAM, these and other embodiments apply to semiconductor devices in general. Accordingly, the invention is not limited except as stated in the claims.

Claims (58)

1-16. (canceled)
17. A method of processing an in-process semiconductor device, comprising:
non-conformally depositing an oxide over said in-process semiconductor device;
doping said oxide; and
depositing an insulator over said oxide.
18. The method in claim 17, further comprising:
initiating a removal of at least a portion of said insulator; and
halting said removal using said oxide.
19. The method in claim 18, wherein said initiating step comprises initiating an etching of said insulator; and wherein said halting step comprises using said oxide as an etch stop.
20. The method in claim 18, wherein said initiating step comprises initiating a planarization of said insulator.
21. The method in claim 20, wherein said step of initiating a planarization of said insulator comprises initiating a chemical-mechanical planarization of said insulator; and wherein said halting step comprises using said oxide as a CMP stop.
22. A method of providing oxide for an in-process semiconductor device, comprising:
depositing a first oxide over said in-process semiconductor device; and
non-conformally depositing a porous second oxide onto said first oxide.
23. The method in claim 22, wherein said step of depositing a first oxide comprises depositing said first oxide in a chamber; and wherein said step of non-conformally depositing a porous second oxide comprises depositing said second oxide in said chamber.
24. The method in claim 22, wherein said step of non-conformally depositing a porous second oxide comprises reacting methylsilane with hydrogen peroxide.
25. The method in claim 22, wherein said step of non-conformally depositing a porous second oxide comprises reacting H3SiCH3 with H2O2.
26. The method in claim 25, wherein said step of non-conformally depositing a porous second oxide further comprises:
cooling said in-process semiconductor device to about 0° C. before said reacting step; and
providing a temperature of about 450° C. inside said chamber after said reacting step.
27. The method in claim 26, wherein said step of depositing a first oxide comprises reacting silane with hydrogen peroxide.
28. A method of providing a doped oxide, comprising:
flowing an oxide precursor over a portion of a semiconductor device;
forming an oxide from said precursor; and
subsequently annealing said oxide in an atmosphere containing a dopant.
29. The method in claim 28, wherein said annealing step comprises annealing said oxide in an atmosphere consisting of a selection of PH3, a phosphate, a phosphite, NF3, F2, C2H6, trimethyl silane, CH4, NH3, B2H6, and combinations thereof.
30. The method in claim 29, wherein said annealing step further comprises annealing at a temperature ranging from 400 to 800° C., at a pressure ranging from 0.5 to 760 Torr, and for a time ranging from 10 seconds to 5 minutes.
31. A method of processing a surface of an in-process memory device, comprising:
providing said surface as part of said memory device using a non-CVD process;
flowing a material onto said surface;
turning said material into a first oxide; and
doping said first oxide.
32. The method in claim 31, wherein said step of providing said surface comprises providing a barrier oxide using a Flowfill process; and wherein said method further comprises blocking diffusion of a dopant from said first oxide using said barrier oxide.
33. The method in claim 32, wherein said step of doping said first oxide comprises:
doping a first portion of said first oxide with a first impurity; and
doping a second portion of said first oxide with a second impurity.
34. A method of providing an etch stop for a semiconductor device, comprising:
providing at least one support surface as part of said semiconductor device, said surface having a horizontal portion and a non-horizontal portion;
depositing an oxide onto said support surface, wherein said oxide has a uniform thickness on said horizontal portion and a variable thickness on said non-horizontal portion; and
doping said oxide.
35. The method in claim 34, wherein said depositing step comprises depositing said oxide by way of a CVD process.
36. The method in claim 35, wherein said depositing step comprises depositing said oxide by way of an HDP CVD process.
37. A method of providing a CMP stop for a semiconductor device, comprising:
providing an element of said semiconductor device, said element having a top and a side;
depositing an oxide over said element, wherein said depositing leaves more of said oxide on said top than on said side; and
annealing said oxide in a doping atmosphere.
38. The method in claim 37, wherein said step of depositing an oxide comprises:
flowing a precursor to said oxide over said element; and
heating said precursor.
39. The method of claim 38, wherein said step of depositing an oxide comprises depositing said oxide using a spin-on-glass process.
40. A method of selectively doping a circuit device material, comprising:
depositing an oxide over a first horizontal surface of said circuit device material to the exclusion of a vertical surface of said material;
introducing a dopant into said oxide; and
diffusing said dopant from said oxide into said material.
41. The method in claim 40, further comprising a step of depositing a diffusion barrier over a second horizontal surface of said material; and wherein said step of depositing an oxide further comprises depositing said oxide over said diffusion barrier.
42. A method of filling a trench included as part of a semiconductor device, comprising:
reacting methylsilane with hydrogen peroxide in a chamber containing said semiconductor device;
allowing a product from a reaction of said methylsilane and said hydrogen peroxide to at least fill said trench;
changing said product into a silicon oxide; and
heating said silicon oxide in a boron atmosphere.
43. A fabrication process for a DRAM including a semiconductor substrate, said process comprising:
depositing an undoped self-planarizing first oxide over an in-process device included as a part of said DRAM;
depositing an undoped self-planarizing second oxide over said first oxide; and
doping said second oxide.
44. The process in claim 43, further comprising:
depositing an insulation layer over said second oxide;
planarizing said insulation layer; and
using said second oxide as a planarization stop.
45. The process in claim 43, further comprising:
depositing an insulation layer over said second oxide;
etching an opening in said insulation layer; and
using said second oxide as an etch stop.
46. The process in claim 45, wherein said step of using said second oxide as an etch stop comprises using a portion of said second oxide over said substrate as said etch stop.
47. The process in claim 46, said step of etching an opening in said insulation layer comprises etching said insulation layer at a first etch rate; and wherein said step of using said second oxide as an etch stop comprises etching said second oxide at a second etch rate, wherein said second etch rate is less than said first etch rate.
48. The process in claim 47, wherein said step of etching said insulation layer comprises exposing said insulation to a selection of an HF vapor and an HF liquid.
49. The process in claim 48, wherein said step of etching said insulation layer comprises exposing said insulation to a buffered HF liquid having a temperature of about 23° C.
50. The process in claim 48, wherein said step of etching said second oxide comprises exposing said second oxide to said selection.
51. A damascene process, comprising:
providing a material over a semiconductor substrate, said material having a fluid property;
forming an oxide from said material in response to allowing said material to lose said fluid property;
providing an insulation layer over said oxide;
etching an opening in said insulation layer;
halting said etching with said oxide; and
depositing a conductive material within said opening.
52. The damascene process in claim 51, further comprising a step of removing at least a portion of said oxide after said halting step and before said depositing step.
53. The damascene process in claim 52, wherein said step of forming an oxide comprises:
forming said oxide onto a BPSG layer; and
doping said oxide before said step of providing an insulation layer.
54. The damascene process in claim 52, wherein:
said step of providing a material comprises depositing said material having a planar surface and defining at least two different thicknesses, wherein depositing said material occurs before providing said insulation layer; and
said method further comprises doping said oxide before providing said insulation layer.
55. The damascene process in 54, wherein said step of depositing said material comprises depositing said material over a gate and over a conductive plug next to said gate, wherein a top of said plug is lower in elevation than a top of said gate.
56. The damascene process in claim 55, wherein said etching step comprises etching using a selection of a reactive sputter process and a plasma process.
57. The damascene process in claim 56, wherein said etching step comprises plasma etching using a gas comprising fluorine, wherein said gas includes a selection of CHF3, CF4, and C2F6.
58. The damascene process in claim 57, wherein said plasma etching step comprises:
providing a chamber configured to accommodate said semiconductor substrate;
flowing CF4 into said chamber at a rate of 50 sccm;
flowing CHF3 into said chamber at a rate of 50 sccm;
flowing Argon into said chamber at a rate of 1000 sccm;
providing pressure of 0.2 to 0.002 Torr inside said chamber; and
providing 750 W of RF power to said chamber.
59-65. (canceled)
66. A method of depositing an interlayer dielectric, comprising:
providing a first level of a semiconductor device, said first level defining a topography and comprising insulation;
depositing BSG onto discrete portions of said topography, said BSG having a dielectric constant of at most 3; and
providing a second level of said semiconductor device over said BSG.
67. The method in claim 66, wherein said step of depositing BSG comprises:
depositing glass onto said topography, said depositing resulting in a planar surface of said glass; and
lowering a dielectric constant of said glass.
68. The method in claim 67, wherein said step of depositing glass comprises:
flowing a silicon oxide precursor over said topography; and
hardening said precursor into a silicon oxide.
69. The method in claim 68, wherein said step of lowering a dielectric constant of said glass comprises doping said silicon oxide with boron.
70. The method in claim 69, wherein said step of providing a first level of a semiconductor device comprises providing a first level further comprising at least one conductive structure.
71. A method of processing a portion of a device including a higher horizontal surface, a lower horizontal surface, and a non-horizontal surface, said method comprising:
providing an oxide in a non-conformal manner over said higher horizontal surface, said lower horizontal surface, and said non-horizontal surface; and
introducing an impurity into said oxide.
72. The method in claim 71, wherein said step of providing an oxide in a non-conformal manner comprises providing an oxide having a first thickness on said higher horizontal surface, a second thickness on said lower horizontal surface, and a third thickness on said non-horizontal surface, wherein said first, second, and third thicknesses are different.
73. The method in claim 72, wherein said step of providing an oxide comprises providing an oxide having a first thickness greater than said second thickness.
74. The method in claim 72, wherein said step of providing an oxide comprises providing an oxide having a second thickness greater than said first thickness.
75. The method in claim 74, wherein said step of providing an oxide in a non-conformal manner comprises reacting methylsilane and hydrogen peroxide in an environment including a substrate having a temperature of about 20° C.
76. The method in claim 75, wherein said step of providing an oxide comprises providing an oxide over a non-horizontal surface connecting said higher horizontal surface to said lower horizontal surface.
77. A method of forming a doped oxide over a substrate, comprising:
reacting a methylsilane with hydrogen peroxide proximate said substrate;
forming an oxide from a product of said methylsilane and said hydrogen peroxide; and
introducing a dopant into said oxide.
78. The method in claim 77, wherein said reacting step comprises reacting said hydrogen peroxide with a selection comprising dimethylsilane, trimethylsilane, tetramethylsilane, pentamethyldisilane, and combinations thereof.
79-88. (canceled)
US11/292,449 2000-03-20 2005-12-02 Method to form etch and/or CMP stop layers Abandoned US20060089002A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/292,449 US20060089002A1 (en) 2000-03-20 2005-12-02 Method to form etch and/or CMP stop layers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/531,680 US6348706B1 (en) 2000-03-20 2000-03-20 Method to form etch and/or CMP stop layers
US10/010,895 US7052997B2 (en) 2000-03-20 2001-11-09 Method to form etch and/or CMP stop layers
US11/292,449 US20060089002A1 (en) 2000-03-20 2005-12-02 Method to form etch and/or CMP stop layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/010,895 Division US7052997B2 (en) 2000-03-20 2001-11-09 Method to form etch and/or CMP stop layers

Publications (1)

Publication Number Publication Date
US20060089002A1 true US20060089002A1 (en) 2006-04-27

Family

ID=24118608

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/531,680 Expired - Fee Related US6348706B1 (en) 2000-03-20 2000-03-20 Method to form etch and/or CMP stop layers
US10/010,895 Expired - Fee Related US7052997B2 (en) 2000-03-20 2001-11-09 Method to form etch and/or CMP stop layers
US11/292,449 Abandoned US20060089002A1 (en) 2000-03-20 2005-12-02 Method to form etch and/or CMP stop layers

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/531,680 Expired - Fee Related US6348706B1 (en) 2000-03-20 2000-03-20 Method to form etch and/or CMP stop layers
US10/010,895 Expired - Fee Related US7052997B2 (en) 2000-03-20 2001-11-09 Method to form etch and/or CMP stop layers

Country Status (1)

Country Link
US (3) US6348706B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120256289A1 (en) * 2011-04-11 2012-10-11 Silvia Borsari Forming High Aspect Ratio Isolation Structures

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274479B1 (en) * 1998-08-21 2001-08-14 Micron Technology, Inc Flowable germanium doped silicate glass for use as a spacer oxide
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
US6649541B1 (en) * 2001-08-01 2003-11-18 Advanced Micro Devices, Inc. Method for preventing or reducing delamination of deposited insulating layers
US6661043B1 (en) * 2003-03-27 2003-12-09 Taiwan Semiconductor Manufacturing Company One-transistor RAM approach for high density memory application
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US20070013070A1 (en) * 2005-06-23 2007-01-18 Liang Mong S Semiconductor devices and methods of manufacture thereof
KR100625945B1 (en) * 2005-06-30 2006-09-18 매그나칩 반도체 유한회사 Method for manufacturing photodiode in cmos image sensor
KR100894786B1 (en) * 2007-05-03 2009-04-24 주식회사 하이닉스반도체 Method of manufacturing a memory device
US8318605B2 (en) * 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
JP2010206094A (en) * 2009-03-05 2010-09-16 Elpida Memory Inc Semiconductor device and method of manufacturing the same
KR101142334B1 (en) 2009-06-04 2012-05-17 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
US8203134B2 (en) 2009-09-21 2012-06-19 Micron Technology, Inc. Memory devices with enhanced isolation of memory cells, systems including same and methods of forming same
US8906760B2 (en) 2012-03-22 2014-12-09 Tokyo Electron Limited Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme
WO2013154842A1 (en) * 2012-04-11 2013-10-17 Tokyo Electron Limited Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for finfet scheme
US8741723B2 (en) * 2012-04-25 2014-06-03 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device
US9142422B2 (en) * 2013-11-04 2015-09-22 Globalfoundries Inc Methods of fabricating defect-free semiconductor structures
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9379013B1 (en) * 2014-12-04 2016-06-28 Sony Corporation Method for forming a self-aligned contact in a damascene structure used to form a memory device
US10707166B2 (en) 2016-10-04 2020-07-07 International Business Machines Corporation Advanced metal interconnects
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US10553479B2 (en) * 2017-02-16 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact pad and fabrication method therefore
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
US10522398B2 (en) 2017-08-31 2019-12-31 International Business Machines Corporation Modulating metal interconnect surface topography
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10658235B2 (en) 2018-06-21 2020-05-19 International Business Machines Corporation Rework for metal interconnects using etch and thermal anneal
US10930548B2 (en) * 2019-01-17 2021-02-23 Micron Technology, Inc. Methods of forming an apparatus for making semiconductor dieves
KR20220117385A (en) 2021-02-15 2022-08-24 삼성전자주식회사 Semiconductor device and method of fabricating the same

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3971710A (en) * 1974-11-29 1976-07-27 Ibm Anodized articles and process of preparing same
US4016017A (en) * 1975-11-28 1977-04-05 International Business Machines Corporation Integrated circuit isolation structure and method for producing the isolation structure
US4474831A (en) * 1982-08-27 1984-10-02 Varian Associates, Inc. Method for reflow of phosphosilicate glass
US5192706A (en) * 1990-08-30 1993-03-09 Texas Instruments Incorporated Method for semiconductor isolation
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5448097A (en) * 1992-02-20 1995-09-05 Matsushita Electronics Corporation Interlayer dielectric film, and semiconductor device and solid-state image pickup device using the same, and method of manufacturing the same
US5485035A (en) * 1992-03-31 1996-01-16 Sgs-Thomson Microelectronics, Inc. Method for planarization of an integrated circuit
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
US5633211A (en) * 1992-03-27 1997-05-27 Matsushita Electric Industrial Co., Ld. Semiconductor device and process
US5641545A (en) * 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
US5796133A (en) * 1993-01-27 1998-08-18 Samsung Electronics Co., Ltd. Semiconductor device capacitor having lower electrodes separated by low dielectric spacer material
US5804506A (en) * 1995-08-17 1998-09-08 Micron Technology, Inc. Acceleration of etch selectivity for self-aligned contact
US5814564A (en) * 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
US5858869A (en) * 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
US5897364A (en) * 1996-06-24 1999-04-27 Chartered Semiconductor Manufacturing, Ltd. Method of forming N- and P-channel transistors with shallow junctions
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US5963837A (en) * 1997-04-30 1999-10-05 Siemens Aktiengesellschaft Method of planarizing the semiconductor structure
US5985770A (en) * 1997-08-21 1999-11-16 Micron Technology, Inc. Method of depositing silicon oxides
US6184143B1 (en) * 1997-09-08 2001-02-06 Hitachi, Ltd. Semiconductor integrated circuit device and fabrication process thereof
US6395647B1 (en) * 1999-09-02 2002-05-28 Micron Technology, Inc. Chemical treatment of semiconductor substrates
US6492282B1 (en) * 1997-04-30 2002-12-10 Siemens Aktiengesellschaft Integrated circuits and manufacturing methods

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3971710A (en) * 1974-11-29 1976-07-27 Ibm Anodized articles and process of preparing same
US4016017A (en) * 1975-11-28 1977-04-05 International Business Machines Corporation Integrated circuit isolation structure and method for producing the isolation structure
US4474831A (en) * 1982-08-27 1984-10-02 Varian Associates, Inc. Method for reflow of phosphosilicate glass
US5192706A (en) * 1990-08-30 1993-03-09 Texas Instruments Incorporated Method for semiconductor isolation
US5448097A (en) * 1992-02-20 1995-09-05 Matsushita Electronics Corporation Interlayer dielectric film, and semiconductor device and solid-state image pickup device using the same, and method of manufacturing the same
US5633211A (en) * 1992-03-27 1997-05-27 Matsushita Electric Industrial Co., Ld. Semiconductor device and process
US5485035A (en) * 1992-03-31 1996-01-16 Sgs-Thomson Microelectronics, Inc. Method for planarization of an integrated circuit
US5796133A (en) * 1993-01-27 1998-08-18 Samsung Electronics Co., Ltd. Semiconductor device capacitor having lower electrodes separated by low dielectric spacer material
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
US5641545A (en) * 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
US5804506A (en) * 1995-08-17 1998-09-08 Micron Technology, Inc. Acceleration of etch selectivity for self-aligned contact
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5897364A (en) * 1996-06-24 1999-04-27 Chartered Semiconductor Manufacturing, Ltd. Method of forming N- and P-channel transistors with shallow junctions
US6492282B1 (en) * 1997-04-30 2002-12-10 Siemens Aktiengesellschaft Integrated circuits and manufacturing methods
US5963837A (en) * 1997-04-30 1999-10-05 Siemens Aktiengesellschaft Method of planarizing the semiconductor structure
US5814564A (en) * 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
US5858869A (en) * 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5985770A (en) * 1997-08-21 1999-11-16 Micron Technology, Inc. Method of depositing silicon oxides
US6184143B1 (en) * 1997-09-08 2001-02-06 Hitachi, Ltd. Semiconductor integrated circuit device and fabrication process thereof
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US6395647B1 (en) * 1999-09-02 2002-05-28 Micron Technology, Inc. Chemical treatment of semiconductor substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120256289A1 (en) * 2011-04-11 2012-10-11 Silvia Borsari Forming High Aspect Ratio Isolation Structures
US9093266B2 (en) * 2011-04-11 2015-07-28 Micron Technology, Inc. Forming high aspect ratio isolation structures

Also Published As

Publication number Publication date
US6348706B1 (en) 2002-02-19
US20020081852A1 (en) 2002-06-27
US7052997B2 (en) 2006-05-30

Similar Documents

Publication Publication Date Title
US6348706B1 (en) Method to form etch and/or CMP stop layers
US6265303B1 (en) Integrated circuit dielectric and method
EP1037275B1 (en) Method for forming a porous silicon oxide film
US6351039B1 (en) Integrated circuit dielectric and method
KR100424969B1 (en) Manufacturing Method of Semiconductor Device
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
US6284644B1 (en) IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
EP0881678A2 (en) Improvements in or relating to porous dielectric structures
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
US7314828B2 (en) Repairing method for low-k dielectric materials
US6372670B1 (en) Method and apparatus for forming an interlayer insulating film, and semiconductor device
KR100430114B1 (en) Method for forming an interlayer insulating film, and semiconductor device
JP4489898B2 (en) Improved method of deposition and planarization of fluorinated BPSG films
TWI244507B (en) Method of depositing carbon doped SiO2 films and fabricating metal interconnects
EP0909461B1 (en) Method for simplifying the manufacture of an interlayer dielectric stack
US6013583A (en) Low temperature BPSG deposition process
US6800928B1 (en) Porous integrated circuit dielectric with decreased surface porosity
US6136688A (en) High stress oxide to eliminate BPSG/SiN cracking
US6281113B1 (en) Method for forming an interplayer insulating film and semiconductor device
EP0911875A2 (en) Integrated circuit dielectric and method of fabrication thereof
US6784095B1 (en) Phosphine treatment of low dielectric constant materials in semiconductor device manufacturing
KR100505447B1 (en) Fabricating method of semiconductor device with good compactness of flow dielectrics
US20020173169A1 (en) Two-step flourinated-borophosophosilicate glass deposition process
US6784121B1 (en) Integrated circuit dielectric and method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION