US20060060213A1 - Manufacture of ultra-clean surfaces by selective - Google Patents

Manufacture of ultra-clean surfaces by selective Download PDF

Info

Publication number
US20060060213A1
US20060060213A1 US11/128,215 US12821505A US2006060213A1 US 20060060213 A1 US20060060213 A1 US 20060060213A1 US 12821505 A US12821505 A US 12821505A US 2006060213 A1 US2006060213 A1 US 2006060213A1
Authority
US
United States
Prior art keywords
particle
liquid
wafers
cleaning
water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/128,215
Inventor
Walter Huber
John Krueger
Yoram Uziel
Amir Wachs
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Israel Ltd
Sumco USA Corp
Original Assignee
Applied Materials Israel Ltd
Sumco USA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Israel Ltd, Sumco USA Corp filed Critical Applied Materials Israel Ltd
Priority to US11/128,215 priority Critical patent/US20060060213A1/en
Assigned to APPLIED MATERIALS ISRAEL, SUMCO USA CORP reassignment APPLIED MATERIALS ISRAEL ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UZIEL, YORAM, HUBER, WALTER, KRUEGER, JOHN, WACHS, AMIR
Publication of US20060060213A1 publication Critical patent/US20060060213A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A method and apparatus for removing surface impurities from a surface of a material, particularly silicon wafers, includes identifying the location of at least one impurity particle on a surface of the material and applying a liquid to the surface in the vicinity of the at least one impurity particle. The liquid is explosively evaporated to remove the surface particle as part of the evaporation of the liquid. The apparatus can include a source of humidified gas for the liquid and a laser apparatus to provide the heat for liquid evaporation.

Description

  • This application claims priority under 35 USC 119(e) based on provisional patent application no. 60/611,288 filed on Sep. 21, 2004.
  • FIELD OF THE INVENTION
  • The present invention is directed to an apparatus and method for the selective cleaning of impurity-containing substrates such as silicon substrates, and in particular, to a method which combines inspection and selective cleaning to improve subsequent manufacturing steps such as epitaxy or bonding, or SIMOX (=Silicon Implant by Oxygen ).
  • BACKGROUND ART
  • In the field of making silicon wafers for electronic component use, it has been recognized and experimentally verified that surface particles can be the source of defects in a subsequent manufacturing step. A specific example is shown in FIG. 1 wherein the normalized probability of a surface particle creating a defect in an epitaxial layer is displayed.
  • The pie chart of FIG. 1 was generated by measuring the X-Y coordinates and size of a light scattering defect ( “LSD”) on a silicon wafer with an automated surface inspection equipment before and after an epitaxial deposition step was performed on the wafer. The key in the pie chart lists the LSD sizes found on the substrate in microns. The probability of a substrate LSD for creating an epitaxial defect with a size greater than 1 micron by an optical surface inspection system was calculated by determining the percentage of the post epi defect X-Y coordinates that correlate to a LSD location before the epitaxial deposition process. This analysis clearly shows that this probability scales with the LSD size and if all these LSD's could be removed before the epitaxial deposition, then the creation of an epitaxial defect should be greatly reduced. In other words, manufacturing wafer surfaces with zero particles is a desirable condition for reducing defects. This result is not only limited to the growth of defect-free epitaxial layers but also can be applied to other manufacturing steps, like the manufacture of bonded wafers where any surface particles present lead to incomplete bonding and to the creation of defects that are commonly known as voids.
  • The most common approach in the industry to alleviate these problems is to subject all wafers to a cleaning step before the next manufacturing step. The most commonly used cleaning processes are those that remove particles through chemical batch cleans that are highly tailored to specific applications and typically assisted by directing bursts of megasonic pulses to the wafer surface. Typically, a batch of wafers is submerged into a chemical bath where the wafers reside for several minutes. These steps are repeated up to a few times and then the cleaning process is terminated by a rinse in an ultra-pure deionized (DI) water.
  • Another way to view the prior art technique is to gradually reduce the number of particles/impurities on the wafer. A first cleaning is performed to reduce the particle count, for example to 10-30 particles per wafer. This cleaning is typically a batch cleaning wherein a number of wafers are aggressively cleaned to removes stains and impurity particles from the surface. However, this cleaning step is usually insufficient to prepare the surface for subsequent processing, and a second cleaning step is generally needed. After the initial cleaning, a surface inspection is performed, and the rejected wafers are subjected to a second cleaning wherein the particle count is further reduced, for example to 5 particles per wafer. The second cleaning is typically a single wafer treatment such as a brush or chemical spray treatment, although a batch cleaning could also be employed. Another surface inspection is made, and those wafers that do not pass are recleaned using a similar technique or the same technique as used in the initial second cleaning. Wafers that do not pass another inspection after recleaning are discarded. Wafers that pass the third inspection are moved to the next manufacturing operation.
  • One of the major drawbacks to these methods is the re-attachment of particles when the wafers transition through the liquid-air interface in the clean bath. That limitation sets a general lower limit for the particle level that can be achieved and presents a barrier for reaching zero particles.
  • As noted above, the prior art employs single wafer cleaning tools as opposed to the multiple wafer batch techniques mentioned above. The single wafer techniques address the issue of particle re-deposition by applying chemicals to a spinning wafer. In contrast to the batch methods wherein the wafers are moved, the treated wafers are stationary and different chemistries are applied through a spray nozzle or a rotating brush. Although an improvement is seen in the number of LSD's present when wafers are cleaned using single wafer techniques, random addition of particles still occurs.
  • Thus, there is a need for other methods of removing surface particles on silicon wafers or other substrates so as to improve subsequent manufacturing steps. It is the intention of the present invention to eliminate the occurrence of a low level and random deposition of these surface particles
  • SUMMARY OF THE INVENTION
  • It is a first object of the present invention to provide an improved method of reducing defect occurrences during the processing of silicon wafers.
  • Another object of the present invention is a method of removing surface impurities from a surface, particularly a surface of a silicon wafer.
  • Yet another object of the present invention is an apparatus for removing impurities from a surface of a material, particularly, a silicon wafer.
  • One other object of the present invention is a method and apparatus that removes surface impurities from a surface of an object using explosive evaporation of a liquid.
  • Other objects and advantages will be come apparent as a description of the invention proceeds.
  • In satisfaction of the foregoing objects and advantages, the present invention, in one mode, comprises improvements in the methods and apparatus employed for cleaning the surface of material, especially silicon wafers. The invention is not limited to just silicon wafers, any material having impurities that need removal for the material's use are candidates for the invention. In one mode, the invention entails a method of removing surface impurities from a surface. The method first provides a material having at least one impurity particle on a surface thereof. A liquid is applied to the surface of the material in the vicinity of the at least one impurity particle. The liquid is explosively evaporated such that the impurity surface particle is removed as part of the evaporation of the water.
  • In a preferred mode, the explosive evaporation is accomplished by the use of laser heat, wherein a laser beam is directed at the liquid/particle vicinity. The liquid can be derived from any source, but a preferred source is water placed in the vicinity of the particle through condensation as a result of a supply of a humidified gas.
  • While any means can be employed to remove the liquid-particle combination, a preferred way is to employ suction at or near the site of the particle. The evaporation process can be monitored to ascertain whether the particle has been removed. While a water-containing gas is preferred as the source of the liquid, the liquid can be any water-based composition, or alcohol, or an alcohol containing composition.
  • The method of cleaning is especially useful in the processing of silicon wafers, wherein the wafers are inspected for defects. In this aspect of the invention, a plurality of wafers are inspected for the presence of surface particles on a given wafer surface. From this set of wafers, a number of wafers are selected that do not meet a pre-set inspection parameter. For these wafers, a location of each of said surface particles is identified and the selected wafers are subjected to the laser cleaning step. After cleaning, the wafers can be subjected to a further manufacturing step, with or without non-selected wafers.
  • The invention also includes an apparatus for removing impurities from materials, particularly but not limited to silicon wafers. The apparatus includes a means for identifying the location of at least one impurity particle on a surface of the material, and means for applying a liquid to the surface in the vicinity of the at least one impurity particle. Also provided as part of the apparatus are means for explosively evaporating the liquid, the impurity surface particle being removed as part of the evaporation of the liquid.
  • The liquid applying means is preferably a gas applying means wherein the gas and particle are at a temperature such that the liquid in the gas condenses from the gas in the vicinity of the impurity particle to be removed.
  • While any source of heat capable of evaporating the liquid can be employed as part of the means for explosive evaporation of the liquid, it is preferred to employ a laser apparatus. The particle and liquid, once removed from the surface of the material, can be collected in any fashion, with a preferred mode including applying a suction to the vicinity of the impurity particle. A monitoring device such as a microscope or the like can be employed to monitor the particle and its surrounding area to determine if the particle has been removed, or if further treatment is necessary.
  • Any source of liquid capable of being explosively evaporated can be employed in the inventive method and apparatus for cleaning. A preferred liquid is water or a water-containing composition, e.g., a humidified gas, or alcohol or an alcohol containing composition.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The FIG. 1 is a pie chart showing the normalized probability of a pre-epitaxial particle turning into a defect; and
  • FIG. 2 is a schematic of a unitary cleaning platform for wafer treatment;
  • FIG. 3 is a schematic of an apparatus according to the invention; and
  • FIG. 4 is a bar graph shows the effect of the laser cleaning of the invention on the incidence of light scattering defects (LSDs) on a wafer for different size defects.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention is concerned broadly with the treatment of impurity containing materials, and particularly silicon wafers in anticipation of manufacturing steps wherein other material is combined with the wafer. One example of this manufacturing is the creation of an epitaxial layer on the silicon wafer. Another example would be to create bonded or SIMOX wafers.
  • In one aspect, the present invention utilizes a two step approach for achieving a level of zero surface particles on silicon wafers. The first step is to eliminate the re-cleaning of wafers that is described above. Instead of subjecting wafers to multiple cleaning, the invention treats the wafers that have been initially cleaned with the inventive two step approach of inspection and localized cleaning. That is, wafers that meet a pre-set criteria are transferred into a wafer carrier where they are stored for further processing. The pre-set criteria can be any number of characteristics associated with the particles found on the wafer surface, e.g., particle size, number of particles per given wafer, number of particles in a given area, and the like. Any known means can be used for identifying the particle and checking for the pre-set criteria.
  • After inspection of the wafers, wafers that fail the pre-set inspection criteria are collected in a different carrier as a separate group and are sent for cleaning using the inventive localized cleaning technique.
  • Once the wafers are recleaned, they can be combined with the wafers that passed inspection for subsequent processing or can be used in subsequent processing on their own.
  • To demonstrate the advantages associated with the invention, an experiment was conducted using wafers having zero surface particles. This group of wafers was run across a commercially available single wafer brush cleaner followed by a re-inspection of the wafer surface to check for surface particles. It was discovered that only 85% of the wafers were particle-free after the brush clean, thus showing that re-cleaning wafers does not necessarily result in improved performance.
  • According to one mode of the invention, the re-cleaning of the rejected wafers is done using a localized cleaning technique employing laser heating. This cleaning platform incorporates two innovative approaches. First the surface inspection is integrated with a laser cleaning module into one cleaning platform. The second innovation is the concept of a localized cleaning using explosive evaporation of liquid, e.g. water, via laser heat to remove one or more particles identified and targeted for treatment. It should be understood though that other sources of heat as would be known in the art could be employed that would cause the explosive evaporation of the liquid, e.g., water, for surface particle removal. A preferred method of explosively heating the liquid film is achieved within a spectrum of laser wavelengths (e.g. 2-5 microns), although other wavelengths can also function adequately, e.g., 10.2 microns for a CO2 laser. The wavelength should be selected so that is strongly absorbed in the liquid film but not in the underlying silicon, thus preventing damage thereto. It is also preferred to use a nanometer scale pulse width (picosecond would damage the wafers and microsecond won't have enough impact) and an energy flux of <1J/cm2.
  • FIG. 2 shows a schematic of a unitary cleaning platform for wafer treatment designated by the reference numeral 10. The platform 10 includes an inspection station 1, e.g., an Excite inspection station, and a cleaning station 3. In practice, a wafer enters the inspection station at 5 so that its surface can be inspected for the presence, size and/or distribution of one or more particles or other imperfections/impurities that require removal. Once the particle or particles are identified and their location is recorded, the particle parameters are compared to pre-set criteria such as particle size and/or distribution, number of particles or the like. Virtually any criteria can be used depending on the subsequent processing steps that will occur. The identification of the surface particles to be treated can be done using any known technique in the art, and a further description of this aspect of the invention is not necessary for understanding of the invention.
  • Still referring to FIG. 2, if the wafer passes the inspection criteria, it follows path 6 for further processing. If the wafer does not pass the inspection criteria, it is moved to the cleaning station 3 via path 7. The cleaning station 3 has an inlet 9 to receive a cassette 11 containing the wafers requiring treatment. The station 3 has a prealigner shown as box 13 that positions a wafer on a stage 15 for further precise alignment of the particle to be treated with the localized cleaning apparatus designated by the reference numeral 17. Although not shown, the stage can include a pre-alignment device for positioning the wafer for cleaning. A handler robot or the like designated by the number 18 can also be provided for wafer manipulation in the cleaning station 3. The cleaning station 3 includes a filter fan unit shown as box 19 for controlling the atmosphere during the entry and exit to the cleaning operation. Once the wafer is cleaned, it exits the cleaning station via cassette 20. The cleaned wafer is then transferred along path 22 for further processing via the standard mechanical interface (SMIF) loader 24.
  • In one mode, the concept of localized cleaning is accomplished as follows:
      • An X-Y coordinate for each LSD greater than a pre-selected threshold size is located and recorded for each inspected wafer at the inspection station 1.
  • A stage 15 movable in the X-Y directions is provided, and this stage moves the wafer such that the identified particle is positioned beneath a laser cleaning module of the cleaning apparatus 17.
      • A humidified gas is supplied in the vicinity of the LSD, e.g., in an impact area of about 1 mm2, under appropriate temperature control so that a water film is condensed around each LSD individually.
      • The water film is directly heated with an infrared laser pulse such that the particle is removed by explosive evaporation of the water. The laser can be a conventional IR pulsed type that would provide sufficient heat to explosively evaporate the water around the particle. Any other type of a laser or other device or means capable of providing the localized heating of the water for the explosive evaporation can be employed.
  • Removal of the detached particle can be assisted by applying local suction to the detached particle. Other removal techniques or means such as applying pressure or mechanical shock to the area to direct the water vapor away from the wafer surface for collection can also be employed, or a general suction in the treating chamber to move the evaporated water away from the surface of the wafer can be utilized. Removal of the particle does not induce any crystalline damage to the wafer being treated.
  • FIG. 3 shows an example of the cleaning apparatus 17. A wafer 21 is shown having a particle 23 on its surface. Although not shown, apparatus beneath the wafer allows for its rotation and/or translation so as to position a given particle for removal. Rotation motion is shown by the arrow “A” with translation represented by the arrow “B”. A process monitoring microscope 25 or other observation means can be provided to allow monitoring of the operation and determination of whether the particle was removed or not. If this observation determines that cleaning has not occurred, the process can be repeated. This monitoring step allows for iterative cleaning and enabling of judgment of the cleaning performance. Also, the monitoring does not require a separate wafer inspection step. After monitoring, the wafer can be classified as cleaned and sent for further processing, or classified as needing additional cleaning, e.g., subjecting the particle to one or more additional explosive evaporation sequences, or another prior art cleaning, or classified as being rejected.
  • A pulsed infrared laser apparatus 27 is provided that includes an attentuator wheel 29 and focusing lenses and mirror 31. The laser apparatus supplies heat in the form of the laser beam 33 that is directed at the water at or near the particle to cause the explosive evaporation. Also present are means for applying a liquid in the vicinity of the particle to be removed. Water is a preferred liquid, and it is supplied by humidifying a dry nitrogen gas 35, and feeding the dry gas through a humidifier 37. The humidified nitrogen gas 39 is directed along path 41 to the vicinity of the particle to be removed. The gas and wafer temperatures are controlled or set so that the water in the gas condenses in the vicinity of the particle to be removed. A photodiode 43 is provided to check for reflection of light from the applied water to ensure existence of water at the particle vicinity for the evaporation step. While water is supplied using a humidified nitrogen gas, other methods/means could be used to apply the liquid to the vicinity of the particle to be treated, e.g., merely applying water directly rather than through condensation. Other gases besides nitrogen could be used that would be inert to the cleaning environment.
  • A dark field illumination laser 45 can be provided as well to illuminate the area where the particle resides. Also provided is a localized suction device 47, a tip 49 thereof positioned in the vicinity of the particle 23 so that the evaporated water with the removed particle can be collected to prevent re-attachment of the particle to the wafer surface.
  • The advantages of the invention can be seen from the following two comparisons. A first comparison involves the percent recovery of wafers that have zero particles greater than 0.8 micron and less than 3 particles greater than 0.3 microns. The current percent recovery of prior art techniques wherein the wafer are subjected to a first cleaning, inspection, a second cleaning, a second inspection, and a re-cleaning, is about 72%. In contrast, where wafers are subject to the first cleaning, inspection and then cleaning according to the invention, the percent recovery is 85%, a significant increase over the prior art recovery. In essence, the invention eliminates the recleaning step of the prior art technique.
  • A second comparison involves the distribution of the light scattering defects or LSD on a wafer. A comparison was made between a control group of wafers that were subjected to two cleanings and two inspections, i.e., a first aggressive cleaning as described, inspection, a second aggressive cleaning, and then the second inspection.
  • A second group of wafers was agressively cleaned, subjected to surface inspection, and rejects from this inspection were subjected to laser cleaning. The cleaned wafers were then subjected to a second inspection for comparison with the control group. In this comparison, the prior art aggressive cleaning methodology was compared to the laser cleaning technique of the invention.
  • FIG. 4 shows the results of the comparison between the invention and prior art in graphical form. The graph compares the normalized LSD count per wafer for the two groups in terms of LSD particle size. The lightly shaded bars represent the prior art control group and the more heavily shaded bars represent the group subjected to laser cleaning. As is evident from this graph, the normalized count for the inventive processing is significantly lower than that of the prior art. For example, for LSD greater than 0.3 microns, the normalized count is less than 0.2 LSD per wafer for the invention as compared to 1.0 using the prior art cleaning. Improvements are also realized when considering larger defects. For example, for LSD greater than 1.0 microns, the prior art technique results in 0.4 LSD per wafer, whereas practicing the invention results in an LSD count of almost zero. For LSD greater than 10 microns, the prior art cleaning still leaves 0.2 LSD per wafer, whereas the inventive technique effectively removes all defects.
  • The control group and the laser-cleaned group were taken to epitaxial deposition and the defects and epitaxy were measured for comparison purposes. The comparison of the defects is shown in the following Table. The Table categorizes the material by three levels of defects, and measures the yield for the control group of wafers, and the group processed according to the ivnention. The yield in percent is shown using the control group as the basis, the yield being normalized to the control group, and the yields are characterized in terms of the number of defects.
    TABLE
    Process Zero Defect Max = 1 Defect Max = 2 Defect
    Control 1.0 1.0 1.04
    Invention 1.27 1.10 1.04
  • The Table demonstrates that a significant increase in yield is obtained for the laser cleaned wafers as opposed to the control wafers, with the yield increasing with the number of defects decreasing.
  • While water is exemplified as the liquid that would be explosively evaporated, other liquids could be used such as alcohol, or an aqueous-based liquid employing water and other substances, or an alcohol-containing liquid, e.g., alcohol and water. Virtually any liquid that would be capable of being heated in the vicinity of the particle or impurity to be removed such that the liquid would explosively evaporate to remove the particle could be employed in the invention. It should also be understood that the liquid could also contain additives such as surfactants and the like to enhance the particle removal.
  • As such, an invention has been disclosed in terms of preferred embodiments thereof which fulfills each and every one of the objects of the present invention as set forth above and provides a new and improved method and apparatus for improving the surface quality of objects, and particularly silicon wafers.
  • Of course, various changes, modifications and alterations from the teachings of the present invention may be contemplated by those skilled in the art without departing from the intended spirit and scope thereof. It is intended that the present invention only be limited by the terms of the appended claims.

Claims (20)

1. A method of reducing defect occurrence in processing of silicon wafers comprising:
inspecting a plurality of wafers for the presence of surface particles on a given wafer surface;
selecting from said plurality of wafers one or more wafers that have one or more surface particles that do not meet a pre-set inspection parameter and identifying a location of each of said surface particles; and
subjecting the selected wafers to a cleaning step, wherein the cleaning step includes providing a liquid in a vicinity of each detected surface particle and explosively evaporating the liquid, each detected surface particle being removed as part of the evaporation of the liquid, the selected wafers then subjected to a further manufacturing step, with or without non-selected wafers.
2. The method of claim 1, wherein laser heat is used for the explosive evaporation.
3. The method of claim 1, wherein a suction is applied in the vicinity of the detected surface particle during the evaporating step to help remove the detected surface particle.
4. The method of claim 1, wherein the explosive evaporation is monitored to ensure that the detected particle is removed.
5. The method of claim 1, wherein the liquid is provided by condensation from a gas.
6. The method of claim 1, wherein the liquid is water or a water-based composition, or alcohol, or an alcohol containing composition.
7. A method of removing surface impurities from a surface comprising the steps of:
a) providing a material having at least one impurity particle on a surface thereof,
b) applying a liquid to the surface of the material in the vicinity of the at least one impurity particle;
c) explosively evaporating the liquid, the impurity surface particle being removed as part of the evaporation of the water.
8. The method of claim 7, wherein laser heat is used for the explosive evaporation.
9. The method of claim 7, wherein the liquid is applied by condensation from a gas.
10. The method of claim 7, wherein suction is applied during the explosive evaporation step to assist in impurity particle removal.
11. The method of claim 7, further comprising monitoring the explosive evaporation step for particle removal.
12. The method of claim 7, wherein the liquid is water or a water-based composition, or alcohol, or an alcohol containing composition.
13. An apparatus for removing surface impurities from a surface of a material comprising:
a) means for identifying the location of at least one impurity particle on a surface of the material,
b) means for applying a liquid to the surface in the vicinity of the at least one impurity particle;
c) means for explosively evaporating the liquid, the impurity surface particle being removed as part of the evaporation of the liquid.
14. The apparatus of claim 13, wherein the applying means further comprises means for applying a gas in the vicinity of the at least one impurity particle, wherein the gas and particle are at temperatures such that the liquid condenses from the gas in the vicinity of the at least one impurity particle.
15. The apparatus of claim 13, wherein the means for explosively evaporating the liquid is a laser apparatus.
16. The apparatus of claim 13, further comprising means for applying a suction to the vicinity of the at least one impurity particle to assist in particle removal upon evaporation.
17. The apparatus of claim 13, further comprising means for monitoring the explosive evaporation for particle removal.
18. The apparatus of claim 13, wherein the liquid applying means includes a source of water or a water-containing composition, or alcohol, or an alcohol-containing composition.
19. The apparatus of claim 13, wherein the material is a silicon wafer, and means for handling the silicon wafer are provided to interface with the liquid applying means.
20. The method of claim 11, wherein steps (b) and (c) are repeated one or more times if the monitoring step indicates that the particle has not been removed.
US11/128,215 2004-09-21 2005-05-13 Manufacture of ultra-clean surfaces by selective Abandoned US20060060213A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/128,215 US20060060213A1 (en) 2004-09-21 2005-05-13 Manufacture of ultra-clean surfaces by selective

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61128804P 2004-09-21 2004-09-21
US11/128,215 US20060060213A1 (en) 2004-09-21 2005-05-13 Manufacture of ultra-clean surfaces by selective

Publications (1)

Publication Number Publication Date
US20060060213A1 true US20060060213A1 (en) 2006-03-23

Family

ID=36072624

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/128,215 Abandoned US20060060213A1 (en) 2004-09-21 2005-05-13 Manufacture of ultra-clean surfaces by selective

Country Status (1)

Country Link
US (1) US20060060213A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110220147A1 (en) * 2008-11-25 2011-09-15 Schreiber Brian E Apparatus and Method for Cleaning Flexible Webs
US20130092186A1 (en) * 2011-10-18 2013-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Removal of particles on back side of wafer
US20160071745A1 (en) * 2014-09-04 2016-03-10 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
CN111790694A (en) * 2020-07-10 2020-10-20 安徽富乐德科技发展股份有限公司 Method for cleaning submicron pollution particles by laser
US11621175B1 (en) * 2021-12-03 2023-04-04 PulseForge Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer
US11769660B2 (en) * 2021-12-03 2023-09-26 Pulseforge, Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
US6827816B1 (en) * 1999-12-16 2004-12-07 Applied Materials, Inc. In situ module for particle removal from solid-state surfaces
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film
US6827816B1 (en) * 1999-12-16 2004-12-07 Applied Materials, Inc. In situ module for particle removal from solid-state surfaces
US6949147B2 (en) * 1999-12-16 2005-09-27 Oramir Semiconductor Equipment Ltd. In situ module for particle removal from solid-state surfaces
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110220147A1 (en) * 2008-11-25 2011-09-15 Schreiber Brian E Apparatus and Method for Cleaning Flexible Webs
US8585826B2 (en) 2008-11-25 2013-11-19 3M Innovative Properties Company Apparatus and method for cleaning flexible webs
US20130092186A1 (en) * 2011-10-18 2013-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Removal of particles on back side of wafer
US10115581B2 (en) 2011-10-18 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Removal of particles on back side of wafer
US20160071745A1 (en) * 2014-09-04 2016-03-10 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
US10029332B2 (en) * 2014-09-04 2018-07-24 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
US20180311764A1 (en) * 2014-09-04 2018-11-01 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
US10576582B2 (en) * 2014-09-04 2020-03-03 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
CN111790694A (en) * 2020-07-10 2020-10-20 安徽富乐德科技发展股份有限公司 Method for cleaning submicron pollution particles by laser
US11621175B1 (en) * 2021-12-03 2023-04-04 PulseForge Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer
WO2023101694A1 (en) * 2021-12-03 2023-06-08 Ncc Nano, Llc Method and apparatus for removing particles from the surface of a semiconductor wafer
US11769660B2 (en) * 2021-12-03 2023-09-26 Pulseforge, Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer

Similar Documents

Publication Publication Date Title
US6747243B1 (en) Spot cleaning of particles after inspection
US20230067677A1 (en) Sequences and equipment for direct bonding
US7236847B2 (en) Systems and methods for closed loop defect reduction
US20060060213A1 (en) Manufacture of ultra-clean surfaces by selective
US6827816B1 (en) In situ module for particle removal from solid-state surfaces
US8361814B2 (en) Method for monitoring chamber cleanliness
US6566169B1 (en) Method and apparatus for local vectorial particle cleaning
US7368729B2 (en) Method, apparatus and system for specimen fabrication by using an ion beam
US7657390B2 (en) Reclaiming substrates having defects and contaminants
US20040106217A1 (en) Method to detect surface metal contamination
US8822242B2 (en) Methods for monitoring the amount of metal contamination in a process
JP2007036231A (en) Semiconductor wafer, and manufacturing method for semiconductor wafer
CN1294410A (en) Semiconductor mfg. appts.
KR20230162113A (en) Semiconductor wafer cleaning method and semiconductor wafer manufacturing method
US20100068408A1 (en) Methods for electron-beam induced deposition of material inside energetic-beam microscopes
JPH10116872A (en) Production of semiconductor and inspection method therefor, and device therefor
JP2007088137A (en) Method for manufacturing silicon substrate having super-clean surface by local selective cleaning and its manufacturing equipment
US7078689B1 (en) Integrated electron beam and contaminant removal system
JP2002334818A (en) Semiconductor manufacturing apparatus and method of manufacturing the same
JP4877318B2 (en) Inspection / analysis method and sample preparation apparatus
JP2005063984A (en) Method of evaluating wafer and method of managing wafer manufacturing process
JP2006128440A (en) Semiconductor manufacturing equipment and method of manufacturing semiconductor device
Casper et al. Semiconductor Yield Enhancement through Particle Control
KR20040063920A (en) Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
KR20090044540A (en) Apparatus for cleaning reticle and method for fabricating semiconductor device using the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS ISRAEL, ISRAEL

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUBER, WALTER;KRUEGER, JOHN;UZIEL, YORAM;AND OTHERS;REEL/FRAME:016288/0147;SIGNING DATES FROM 20050412 TO 20050509

Owner name: SUMCO USA CORP, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUBER, WALTER;KRUEGER, JOHN;UZIEL, YORAM;AND OTHERS;REEL/FRAME:016288/0147;SIGNING DATES FROM 20050412 TO 20050509

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION