US20050282397A1 - Semiconductor constructions - Google Patents

Semiconductor constructions Download PDF

Info

Publication number
US20050282397A1
US20050282397A1 US11/208,339 US20833905A US2005282397A1 US 20050282397 A1 US20050282397 A1 US 20050282397A1 US 20833905 A US20833905 A US 20833905A US 2005282397 A1 US2005282397 A1 US 2005282397A1
Authority
US
United States
Prior art keywords
composition resist
resist
resist portion
composition
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/208,339
Inventor
Donald Yates
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/208,339 priority Critical patent/US20050282397A1/en
Publication of US20050282397A1 publication Critical patent/US20050282397A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks

Definitions

  • the present invention relates to semiconductor processing patterning methods and constructions.
  • a continuing goal of semiconductor processing is increased miniaturization while maintaining high performance.
  • Modern semiconductor processes are heavily reliant on photolithography when preparing semiconductors to achieve this goal.
  • Photolithography typically involves the following steps. Initially, a layer of resist is formed over a substrate. A reticle/mask is subsequently placed above the resist and radiation is allowed to pass through openings of the reticle/mask and contact the resist in patterns defined by the reticle/mask. Depending on whether the resist is a negative resist or a positive resist, the radiation renders exposed portions of the resist more or less soluble in a solvent relative to unexposed portions. The solvent is subsequently utilized to remove the more soluble portions of the resist while leaving the less soluble portions as a patterned mask. The mask pattern can be transferred to the underlying substrate with a suitable etch. Exemplary methods of prior art photolithography and a problem therewith are illustrated in FIGS. 1-3 .
  • a semiconductor substrate 1 at one stage of semiconductor processing includes a bulk substrate 3 , multilayers 4 (e.g., conductive, semiconductive and/or insulative layers) and a resist 5 .
  • multilayers 4 e.g., conductive, semiconductive and/or insulative layers
  • resist 5 e.g., a resist that is a semiconductor substrate 1 at one stage of semiconductor processing.
  • semiconductor substrate and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • photoresist layer 5 has been processed to form masking blocks 7 . It would be desirable that these masking blocks be of constant respective width in the illustrated cross-section from top to bottom. However in certain instances, the patterned photoresist tends to flare out at the bottoms/bases, as shown, forming what are commonly referred to as “footing”, depicted by feet 9 .
  • layers 4 have been etched using blocks 7 as an etch mask. As shown, feet 9 have functioned as part of that mask making the pattern of layers 4 substantially wider than the predominate width of blocks 7 . In many instances, this is undesirable and/or difficult to predict or control.
  • a semiconductor processing patterning method includes forming a second composition resist layer over a different first composition resist layer. Overlapping portions of the first and second composition resist layers are exposed to actinic energy effective to change solubility of the exposed portions versus the unexposed portions of each of the first and second composition resist layers in a developer solution. The first and second composition resist layers are developed with the developer solution under conditions effective to remove the exposed portions of the first composition resist layer at a faster rate than removing the exposed portions of the second composition resist layer.
  • a semiconductor construction includes a semiconductor substrate having a patterned resist mask received thereon.
  • the resist mask includes a first composition resist portion and a different second composition resist portion received over the first composition resist portion.
  • the first composition resist portion has opposing sidewalls in at least one cross section and the second composition resist portion has opposing sidewalls in the one cross section. At least a portion of the opposing sidewalls of the first composition resist portion are recessed laterally inward of at least a portion of the opposing sidewalls of the second composition resist portion.
  • FIG. 1 is a diagrammatic cross-sectional view of a prior art semiconductor construction at one stage of processing.
  • FIG. 2 is a view of the FIG. 1 construction shown at a processing step subsequent to that of FIG. 1 .
  • FIG. 3 is a view of the FIG. 2 construction shown at a processing step subsequent to that of FIG. 2 .
  • FIG. 4 is a diagrammatic cross-sectional view of a semiconductor construction in accordance with an aspect of the present invention at one stage of processing.
  • FIG. 5 is a view of the FIG. 4 construction shown at a processing step subsequent to that of FIG. 4 .
  • FIG. 6 is a view of the FIG. 5 construction shown at a processing step subsequent to that of FIG. 5 .
  • FIG. 7 is an enlarged view of a portion of the FIG. 6 construction.
  • FIG. 8 is a view of the FIG. 6 construction shown at a processing step subsequent to that of FIG. 6 .
  • a wafer fragment 12 includes a bulk semiconductor substrate material 14 , for example monocrystalline silicon. Of course other substrates, for example semiconductor-on-insulator substrates and other substrates whether existing or yet-to-be developed, could be utilized.
  • One or more series of layers 16 are formed over material 14 for patterning.
  • a first layer of resist 18 is formed over substrate 16 / 14 . Resist layer 18 might be photosensitive or otherwise impacted by actinic energy (for example UV radiation, e-beam or other actinic energy) to change solubility of exposed versus unexposed portions in a suitable developer solution.
  • actinic energy for example UV radiation, e-beam or other actinic energy
  • resist layer 18 might be a positive resist or a negative resist.
  • the first layer of resist layer 18 is photosensitive to electromagnetic radiation at no greater than about 325 nm.
  • an exemplary thickness for layer 18 is less than about 700 ⁇ .
  • a second layer of resist 20 is formed over first layer of resist 18 .
  • second layer of resist 20 is formed “on” (meaning in direct physical contact) with first layer of resist 18 .
  • First layer of resist 18 and second layer of resist 20 can be of different compositions at least as initially formed. Further, second layer of resist 20 might be a positive resist or a negative resist.
  • resist layers 18 and 20 are both positive resist with layer 18 being provided to have a greater solubility in a developer solution than does second positive resist layer 20 at least after exposure to actinic energy effective to increase the solubility of each of the first and second positive resist layers in the developer solution.
  • An exemplary material for first layer of resist 18 includes 1-methoxy-2-propanol, while an exemplary material for second layer of resist 20 includes cyclohexanone and 2-heptanone.
  • An exemplary developer solution for such materials inlcudes tetramethyl ammonium hydroxide (TMAH).
  • exemplary ways of modifying the erosion rate or the etch rate of a resist include adding to, subtracting from, or varying the composition of any of the components within the resist.
  • the use of, and/or type of resins, stabilizers, photoactive agents, polymers, molecular weight distribution, etc. can have an impact on the erosion or etch rate of a resist as is known and determined by people of skill in the art.
  • a specific exemplary positive resist material for first layer of resist 18 is SEPR-402 available from Shin-Etsu Chemical Company of Chiyoda-ku, Tokyo. Such is understood to include 1-methoxy-2-propanol, ethyl lactate and one or more proprietary components. After suitable exposure to actinic energy and development in a 21° C., 2.26 N/2.25% by weight TMAH in H 2 O developer solution, SEPR-402 has an erosion or etch rate of about 500 Angstroms per minute.
  • first layer of resist 18 is M230Y available from the JSR Corporation of Sunnyvale, Calif. Such is understood to include 1-methoxy-2-propanol, ethyl lactate and various proprietary components. In the same developer solution described above, such has an erosion rate of about 300 Angstroms per minute. With such exemplary different etch rates, the M230Y might be used as an exemplary material for second layer of resist 20 , with material SEPR-402 used as an exemplary material for first layer of resist 18 . However, in certain circumstances it may be beneficial to utilize a material having an even slower etch rate for second layer of resist 20 . By way of example only, such includes AR-360S manufactured by the JSR Corporation. Such is understood to include cyclohexanone, 2-heptanone and at least three proprietary components. Such material has an erosion or etch rate after exposure and development in the above developer solution of approximately 50 Angstroms per minute.
  • first layer of resist 18 has a thickness which is less than a total thickness of all layers received above it. In one implementation, first layer of resist 18 has a thickness which is less than or equal to about 50% (more preferably 25%, even more preferably 10%, and still more preferably 5%) of the total thickness of first layer of resist 18 and all layers received over first layer of resist 18 . Further for example where the only other layer received above first layer of resist 18 is second layer of resist 20 , the above-referred relationships apply relative to the thickness of second layer of resist 20 .
  • first resist layer 18 and second resist layer 20 have been exposed to actinic energy effective to change solubility of the exposed portions versus the unexposed portions of each of the first and second resist layers 18 and 20 in a developer solution.
  • actinic energy effective to change solubility of the exposed portions versus the unexposed portions of each of the first and second resist layers 18 and 20 in a developer solution.
  • the first and second layers of resist are both positive resists, and the illustrated overlapping portions 22 and 24 are masked while the remaining portions of layers 18 and 20 would be exposed to effectively increase their solubility in a developer solution.
  • negative resist could be utilized where the opposite relationship would occur.
  • first layer of resist 18 and second layer of resist 20 are developed with a suitable developer solution to form mask patterns 26 and 28 which comprise the first and second resist layers.
  • the developing solution removes or etches the exposed portions, for example those exposed in FIG. 5 , of first layer of resist 18 at a rate that is faster than the exposed portions of second layer of resist 20 .
  • first resist layer 18 of the respective mask patterns 26 and 28 has respective opposing sidewalls 30 and 32 in at least one cross-section, for example that illustrated by FIG. 6 .
  • second resist layer 20 of the respective mask patterns 26 and 28 has respective opposing sidewall 34 and 36 in the one cross-section.
  • first resist layer 18 are received laterally inward of at least a portion of opposing sidewalls 34 and 36 of second resist layer 20 in the one cross-section.
  • the entirety of the opposing sidewalls 30 and 32 of first resist layer 18 in the one-cross-section are recessed laterally inward of opposing sidewalls 34 and 36 of second resist layer 20 in the one cross-section ( FIGS. 6 and 7 ).
  • the opposing sidewalls of the first resist layer and the opposing sidewalls of the second resist layer are of different shapes in the one cross-section.
  • opposing sidewalls 34 and 36 of second resist layer 20 are generally straight along a substantial portion of their length, while opposing sidewalls 30 and 32 of first resist layer 18 are not.
  • opposing sidewalls 30 and 32 of first resist layer 18 are at least partially curved in the one cross-section, with FIGS. 6 and 7 showing such sidewalls as being curved about their substantial entire lengths.
  • one goal or object might be to reduce or eliminate the described footing.
  • reduced footing or footing elimination a possible non-limiting theory is that one or both of the sidewall recessing, or faster etching rate of the first layer of resist, provides for the attack of any forming foot from underneath the foot as well as to a greater degree from its sides.
  • the prior art processes may essentially be limited to the attack of only the top of the foot by developer solutions.
  • material 16 of substrate 12 has been etched using mask patterns 26 and 28 as a mask.
  • the artisan would, of course, select a suitable chemistry or chemistries in etching material 16 , and at least some of one or more of resist materials 20 and 18 might also be etched to some degree in the process.
  • the invention also contemplates a semiconductor construction independent of the exemplary above-described methods.
  • a construction comprises a semiconductor substrate having a patterned resist mask received thereon, for example as shown in FIG. 6 .
  • the resist mask comprises a first composition resist portion and a different second composition resist portion received over the first composition resist portion.
  • the first composition resist portion has opposing sidewalls in at least one cross-section
  • the second composition resist portion has opposing sidewalls in the one cross-section. At least a portion of the opposing sidewalls of the first composition resist portion are recessed laterally inward of at least a portion of the opposing sidewalls of the second composition resist portion.
  • Exemplary and preferred attributes of the resist mask, first composition resist portion, second composition resist portion, and opposing sidewalls are as described above with respect to the methodical aspects.

Abstract

The invention includes semiconductor processing patterning methods and semiconductor constructions. A semiconductor processing patterning method includes forming a second composition resist layer over a different first composition resist layer. Overlapping portions of the first and second composition resist layers are exposed to actinic energy effective to change solubility of the exposed portions versus the unexposed portions of each of the first and second composition resist layers in a developer solution. The first and second composition resist layers are developed with the developer solution under conditions effective to remove the exposed portions of the first composition resist layer at a faster rate than removing the exposed portions of the second composition resist layer. Additional aspects and implementations are contemplated.

Description

    TECHNICAL FIELD
  • The present invention relates to semiconductor processing patterning methods and constructions.
  • BACKGROUND OF THE INVENTION
  • A continuing goal of semiconductor processing is increased miniaturization while maintaining high performance. Modern semiconductor processes are heavily reliant on photolithography when preparing semiconductors to achieve this goal.
  • Photolithography typically involves the following steps. Initially, a layer of resist is formed over a substrate. A reticle/mask is subsequently placed above the resist and radiation is allowed to pass through openings of the reticle/mask and contact the resist in patterns defined by the reticle/mask. Depending on whether the resist is a negative resist or a positive resist, the radiation renders exposed portions of the resist more or less soluble in a solvent relative to unexposed portions. The solvent is subsequently utilized to remove the more soluble portions of the resist while leaving the less soluble portions as a patterned mask. The mask pattern can be transferred to the underlying substrate with a suitable etch. Exemplary methods of prior art photolithography and a problem therewith are illustrated in FIGS. 1-3.
  • Referring first to FIG. 1, a semiconductor substrate 1 at one stage of semiconductor processing is shown that includes a bulk substrate 3, multilayers 4 (e.g., conductive, semiconductive and/or insulative layers) and a resist 5. To aid in interpretation of the claims that follow, the terms “semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Referring to FIG. 2, photoresist layer 5 has been processed to form masking blocks 7. It would be desirable that these masking blocks be of constant respective width in the illustrated cross-section from top to bottom. However in certain instances, the patterned photoresist tends to flare out at the bottoms/bases, as shown, forming what are commonly referred to as “footing”, depicted by feet 9.
  • Referring to FIG. 3, layers 4 have been etched using blocks 7 as an etch mask. As shown, feet 9 have functioned as part of that mask making the pattern of layers 4 substantially wider than the predominate width of blocks 7. In many instances, this is undesirable and/or difficult to predict or control.
  • SUMMARY OF THE INVENTION
  • The invention includes semiconductor processing patterning methods and semiconductor constructions. In one implementation, a semiconductor processing patterning method includes forming a second composition resist layer over a different first composition resist layer. Overlapping portions of the first and second composition resist layers are exposed to actinic energy effective to change solubility of the exposed portions versus the unexposed portions of each of the first and second composition resist layers in a developer solution. The first and second composition resist layers are developed with the developer solution under conditions effective to remove the exposed portions of the first composition resist layer at a faster rate than removing the exposed portions of the second composition resist layer.
  • In one implementation, a semiconductor construction includes a semiconductor substrate having a patterned resist mask received thereon. The resist mask includes a first composition resist portion and a different second composition resist portion received over the first composition resist portion. The first composition resist portion has opposing sidewalls in at least one cross section and the second composition resist portion has opposing sidewalls in the one cross section. At least a portion of the opposing sidewalls of the first composition resist portion are recessed laterally inward of at least a portion of the opposing sidewalls of the second composition resist portion.
  • Additional aspects and implementations are contemplated.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings
  • FIG. 1 is a diagrammatic cross-sectional view of a prior art semiconductor construction at one stage of processing.
  • FIG. 2 is a view of the FIG. 1 construction shown at a processing step subsequent to that of FIG. 1.
  • FIG. 3 is a view of the FIG. 2 construction shown at a processing step subsequent to that of FIG. 2.
  • FIG. 4 is a diagrammatic cross-sectional view of a semiconductor construction in accordance with an aspect of the present invention at one stage of processing.
  • FIG. 5 is a view of the FIG. 4 construction shown at a processing step subsequent to that of FIG. 4.
  • FIG. 6 is a view of the FIG. 5 construction shown at a processing step subsequent to that of FIG. 5.
  • FIG. 7 is an enlarged view of a portion of the FIG. 6 construction.
  • FIG. 8 is a view of the FIG. 6 construction shown at a processing step subsequent to that of FIG. 6.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).
  • An exemplary semiconductor processing patterning method, in accordance with some aspects of the invention, is described with reference to FIGS. 4-8. Referring to FIG. 4, a wafer fragment 12 includes a bulk semiconductor substrate material 14, for example monocrystalline silicon. Of course other substrates, for example semiconductor-on-insulator substrates and other substrates whether existing or yet-to-be developed, could be utilized. One or more series of layers 16 are formed over material 14 for patterning. A first layer of resist 18 is formed over substrate 16/14. Resist layer 18 might be photosensitive or otherwise impacted by actinic energy (for example UV radiation, e-beam or other actinic energy) to change solubility of exposed versus unexposed portions in a suitable developer solution. Further, resist layer 18 might be a positive resist or a negative resist. In one implementation, the first layer of resist layer 18 is photosensitive to electromagnetic radiation at no greater than about 325 nm. By way of example, an exemplary thickness for layer 18 is less than about 700 Å.
  • A second layer of resist 20 is formed over first layer of resist 18. As shown in one implementation, second layer of resist 20 is formed “on” (meaning in direct physical contact) with first layer of resist 18. First layer of resist 18 and second layer of resist 20 can be of different compositions at least as initially formed. Further, second layer of resist 20 might be a positive resist or a negative resist.
  • In one implementation, resist layers 18 and 20 are both positive resist with layer 18 being provided to have a greater solubility in a developer solution than does second positive resist layer 20 at least after exposure to actinic energy effective to increase the solubility of each of the first and second positive resist layers in the developer solution. An exemplary material for first layer of resist 18 includes 1-methoxy-2-propanol, while an exemplary material for second layer of resist 20 includes cyclohexanone and 2-heptanone. An exemplary developer solution for such materials inlcudes tetramethyl ammonium hydroxide (TMAH).
  • Regardless of whether positive or negative resist are utilized, exemplary ways of modifying the erosion rate or the etch rate of a resist include adding to, subtracting from, or varying the composition of any of the components within the resist. For example, the use of, and/or type of resins, stabilizers, photoactive agents, polymers, molecular weight distribution, etc., can have an impact on the erosion or etch rate of a resist as is known and determined by people of skill in the art.
  • By way of example only, a specific exemplary positive resist material for first layer of resist 18 is SEPR-402 available from Shin-Etsu Chemical Company of Chiyoda-ku, Tokyo. Such is understood to include 1-methoxy-2-propanol, ethyl lactate and one or more proprietary components. After suitable exposure to actinic energy and development in a 21° C., 2.26 N/2.25% by weight TMAH in H2O developer solution, SEPR-402 has an erosion or etch rate of about 500 Angstroms per minute.
  • Another example for first layer of resist 18 is M230Y available from the JSR Corporation of Sunnyvale, Calif. Such is understood to include 1-methoxy-2-propanol, ethyl lactate and various proprietary components. In the same developer solution described above, such has an erosion rate of about 300 Angstroms per minute. With such exemplary different etch rates, the M230Y might be used as an exemplary material for second layer of resist 20, with material SEPR-402 used as an exemplary material for first layer of resist 18. However, in certain circumstances it may be beneficial to utilize a material having an even slower etch rate for second layer of resist 20. By way of example only, such includes AR-360S manufactured by the JSR Corporation. Such is understood to include cyclohexanone, 2-heptanone and at least three proprietary components. Such material has an erosion or etch rate after exposure and development in the above developer solution of approximately 50 Angstroms per minute.
  • Other layers of resist and/or with other non-resist layers might also be utilized. For example, multi-level resist which typically combines resist and non-resist layers might be utilized. Regardless, in one preferred implementation, first layer of resist 18 has a thickness which is less than a total thickness of all layers received above it. In one implementation, first layer of resist 18 has a thickness which is less than or equal to about 50% (more preferably 25%, even more preferably 10%, and still more preferably 5%) of the total thickness of first layer of resist 18 and all layers received over first layer of resist 18. Further for example where the only other layer received above first layer of resist 18 is second layer of resist 20, the above-referred relationships apply relative to the thickness of second layer of resist 20.
  • Referring to FIG. 5, overlapping portions of first resist layer 18 and second resist layer 20 have been exposed to actinic energy effective to change solubility of the exposed portions versus the unexposed portions of each of the first and second resist layers 18 and 20 in a developer solution. For example, such could be conducted using a photolithographic mask/reticle, or by any other existing or yet-to-be developed techniques. In the particular illustrated and described implementation, the first and second layers of resist are both positive resists, and the illustrated overlapping portions 22 and 24 are masked while the remaining portions of layers 18 and 20 would be exposed to effectively increase their solubility in a developer solution. Of course, negative resist could be utilized where the opposite relationship would occur.
  • Referring to FIG. 6, first layer of resist 18 and second layer of resist 20 are developed with a suitable developer solution to form mask patterns 26 and 28 which comprise the first and second resist layers. In one implementation, the developing solution removes or etches the exposed portions, for example those exposed in FIG. 5, of first layer of resist 18 at a rate that is faster than the exposed portions of second layer of resist 20. Regardless, first resist layer 18 of the respective mask patterns 26 and 28 has respective opposing sidewalls 30 and 32 in at least one cross-section, for example that illustrated by FIG. 6. Further in one implementation, second resist layer 20 of the respective mask patterns 26 and 28 has respective opposing sidewall 34 and 36 in the one cross-section. As shown, at least a portion of opposing sidewalls 30 and 32 of first resist layer 18 are received laterally inward of at least a portion of opposing sidewalls 34 and 36 of second resist layer 20 in the one cross-section. As shown, the entirety of the opposing sidewalls 30 and 32 of first resist layer 18 in the one-cross-section are recessed laterally inward of opposing sidewalls 34 and 36 of second resist layer 20 in the one cross-section (FIGS. 6 and 7).
  • In one implementation, the opposing sidewalls of the first resist layer and the opposing sidewalls of the second resist layer are of different shapes in the one cross-section. For example and by way of example only, opposing sidewalls 34 and 36 of second resist layer 20 are generally straight along a substantial portion of their length, while opposing sidewalls 30 and 32 of first resist layer 18 are not. Further in one implementation, opposing sidewalls 30 and 32 of first resist layer 18 are at least partially curved in the one cross-section, with FIGS. 6 and 7 showing such sidewalls as being curved about their substantial entire lengths.
  • In addressing the issue or problem identified in the Background section above, one goal or object might be to reduce or eliminate the described footing. Where reduced footing or footing elimination occurs, a possible non-limiting theory is that one or both of the sidewall recessing, or faster etching rate of the first layer of resist, provides for the attack of any forming foot from underneath the foot as well as to a greater degree from its sides. The prior art processes may essentially be limited to the attack of only the top of the foot by developer solutions.
  • Referring to FIG. 8, material 16 of substrate 12 has been etched using mask patterns 26 and 28 as a mask. The artisan would, of course, select a suitable chemistry or chemistries in etching material 16, and at least some of one or more of resist materials 20 and 18 might also be etched to some degree in the process.
  • In one aspect, the invention also contemplates a semiconductor construction independent of the exemplary above-described methods. Such a construction comprises a semiconductor substrate having a patterned resist mask received thereon, for example as shown in FIG. 6. The resist mask comprises a first composition resist portion and a different second composition resist portion received over the first composition resist portion. The first composition resist portion has opposing sidewalls in at least one cross-section, and the second composition resist portion has opposing sidewalls in the one cross-section. At least a portion of the opposing sidewalls of the first composition resist portion are recessed laterally inward of at least a portion of the opposing sidewalls of the second composition resist portion. Exemplary and preferred attributes of the resist mask, first composition resist portion, second composition resist portion, and opposing sidewalls are as described above with respect to the methodical aspects.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims (14)

1-57. (canceled)
58. A semiconductor construction comprising a semiconductor substrate having a patterned resist mask received thereon, the resist mask comprising a first composition resist portion and a different second composition resist portion received over the first composition resist portion, the first composition resist portion having opposing sidewalls in at least one cross section and the second composition resist portion having opposing sidewalls in the one cross section, at least a portion of the opposing sidewalls of the first composition resist portion being recessed laterally inward of at least a portion of the opposing sidewalls of the second composition resist portion.
59. The semiconductor construction of claim 58 wherein the second composition resist portion is received on the first composition resist portion.
60. The semiconductor construction of claim 58 wherein both the first composition resist portion and the second composition resist portion comprise negative resist.
61. The semiconductor construction of claim 58 wherein both the first composition resist portion and the second composition resist portion comprise positive resist.
62. The semiconductor construction of claim 58 wherein the first composition resist portion has a thickness which is less than a thickness of the second composition resist portion.
63. The semiconductor construction of claim 58 wherein the first composition resist portion has a thickness which is less than a total thickness of all layers received over the first composition resist portion.
64. The semiconductor construction of claim 58 wherein the first composition resist portion has a thickness which is less than or equal to about 50% of a total thickness of the first composition resist portion and all layers received over the first composition resist portion.
65. The semiconductor construction of claim 58 wherein the first composition resist portion has a thickness which is less than or equal to about 25% of a total thickness of the first composition resist portion and all layers received over the first composition resist portion.
66. The semiconductor construction of claim 58 wherein the first composition resist portion has a thickness which is less than or equal to about 10% of a total thickness of the first composition resist portion and all layers received over the first composition resist portion.
67. The semiconductor construction of claim 58 wherein the first composition resist portion has a thickness which is less than or equal to about 5% of a total thickness of the first composition resist portion and all layers received over the first composition resist portion.
68. The semiconductor construction of claim 58 wherein the opposing sidewalls of the first composition resist portion are at least partially curved in the one cross section.
69. The semiconductor construction of claim 58 wherein the opposing sidewalls of the first composition resist portion and the opposing sidewalls of the second composition resist portion are of different shapes in the one cross section.
70. The semiconductor construction of claim 58 wherein an entirety of the opposing sidewalls of the first composition resist portion in the one cross section are recessed laterally inward of the opposing sidewalls of the second composition resist portion in the one cross section.
US11/208,339 2003-06-26 2005-08-18 Semiconductor constructions Abandoned US20050282397A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/208,339 US20050282397A1 (en) 2003-06-26 2005-08-18 Semiconductor constructions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/609,311 US7384727B2 (en) 2003-06-26 2003-06-26 Semiconductor processing patterning methods
US11/208,339 US20050282397A1 (en) 2003-06-26 2005-08-18 Semiconductor constructions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/609,311 Division US7384727B2 (en) 2003-06-26 2003-06-26 Semiconductor processing patterning methods

Publications (1)

Publication Number Publication Date
US20050282397A1 true US20050282397A1 (en) 2005-12-22

Family

ID=33540844

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/609,311 Expired - Fee Related US7384727B2 (en) 2003-06-26 2003-06-26 Semiconductor processing patterning methods
US11/208,339 Abandoned US20050282397A1 (en) 2003-06-26 2005-08-18 Semiconductor constructions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/609,311 Expired - Fee Related US7384727B2 (en) 2003-06-26 2003-06-26 Semiconductor processing patterning methods

Country Status (1)

Country Link
US (2) US7384727B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115532B2 (en) 2003-09-05 2006-10-03 Micron Technolgoy, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7241705B2 (en) 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
JP5250291B2 (en) * 2008-01-15 2013-07-31 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5820719B2 (en) 2011-12-21 2015-11-24 東京応化工業株式会社 Resist pattern forming method
WO2017116858A1 (en) 2015-12-30 2017-07-06 Fujifilm Electronic Materials U.S.A., Inc. Photosensitive stacked structure

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3934057A (en) * 1973-12-19 1976-01-20 International Business Machines Corporation High sensitivity positive resist layers and mask formation process
US5994194A (en) * 1996-07-10 1999-11-30 Trw Inc. Self-aligned base ohmic metal for an HBT device cross-reference to related applications
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6169019B1 (en) * 1997-05-14 2001-01-02 Kabushiki Kaisha Toshiba Semiconductor apparatus and manufacturing method therefor
US6582861B2 (en) * 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6613240B2 (en) * 1999-12-06 2003-09-02 Epion Corporation Method and apparatus for smoothing thin conductive films by gas cluster ion beam
US20030211729A1 (en) * 2002-04-25 2003-11-13 Heon Lee Method of fabricating a sub-lithographic sized via
US20040219736A1 (en) * 1999-03-09 2004-11-04 Tokyo Electron Limited Semiconductor device and method of manufacturing the same
US6838363B2 (en) * 2002-09-30 2005-01-04 Advanced Micro Devices, Inc. Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material
US20050035480A1 (en) * 1999-12-02 2005-02-17 Raffaello Bernabei Process for the cold transformation of municipal refuses and/or sludge into inert materials, plant for carrying out said process and products obtained
US6943126B1 (en) * 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
US6956980B2 (en) * 2000-09-18 2005-10-18 Sumitomo Osaka Cement Co., Ltd. Optical waveguide type optical modulator and production method therefor
US20050244571A1 (en) * 2002-05-21 2005-11-03 Interfloat Corporation Method and device for the production of an antireflective coating, antireflective coating, and antireflective-coated substrate
US20050266664A1 (en) * 2004-05-28 2005-12-01 Harrison Michael G Method for forming a fully silicided semiconductor device
US20050268619A1 (en) * 2004-06-08 2005-12-08 Ress Robert A Jr Method and apparatus for increasing the pressure of cooling fluid within a gas turbine engine
US20060064969A1 (en) * 2003-10-29 2006-03-30 Toyota Jidosha Kabushiki Kaisha Exhaust purification device of compression ignition type internal combustion engine
US20060183277A1 (en) * 2003-12-19 2006-08-17 Brask Justin K Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1094068A (en) * 1963-12-26 1967-12-06 Rca Corp Semiconductive devices and methods of producing them
US3772101A (en) * 1972-05-01 1973-11-13 Ibm Landless plated-through hole photoresist making process
US4086074A (en) * 1976-01-22 1978-04-25 Corning Glass Works Antireflective layers on phase separated glass
US4180596A (en) * 1977-06-30 1979-12-25 International Business Machines Corporation Method for providing a metal silicide layer on a substrate
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4622735A (en) * 1980-12-12 1986-11-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device utilizing self-aligned silicide regions
JPS60235745A (en) * 1984-05-07 1985-11-22 Hoya Corp Porous antireflection film and its manufacture
US4645562A (en) * 1985-04-29 1987-02-24 Hughes Aircraft Company Double layer photoresist technique for side-wall profile control in plasma etching processes
CA1216962A (en) * 1985-06-28 1987-01-20 Hussein M. Naguib Mos device processing
US4766090A (en) * 1986-04-21 1988-08-23 American Telephone And Telegraph Company, At&T Bell Laboratories Methods for fabricating latchup-preventing CMOS device
JPH0834242B2 (en) * 1988-12-08 1996-03-29 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5236865A (en) * 1991-01-16 1993-08-17 Micron Technology, Inc. Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer
US5323047A (en) * 1992-01-31 1994-06-21 Sgs-Thomson Microelectronics, Inc. Structure formed by a method of patterning a submicron semiconductor layer
US5406123A (en) * 1992-06-11 1995-04-11 Engineering Research Ctr., North Carolina State Univ. Single crystal titanium nitride epitaxial on silicon
KR950007478B1 (en) * 1992-06-17 1995-07-11 금성일렉트론주식회사 Anti reflective method in metal mask step
US5275695A (en) * 1992-12-18 1994-01-04 International Business Machines Corporation Process for generating beveled edges
US5470794A (en) * 1994-02-23 1995-11-28 Advanced Micro Devices Method for forming a silicide using ion beam mixing
US5444024A (en) * 1994-06-10 1995-08-22 Advanced Micro Devices, Inc. Method for low energy implantation of argon to control titanium silicide formation
US5693971A (en) * 1994-07-14 1997-12-02 Micron Technology, Inc. Combined trench and field isolation structure for semiconductor devices
JP3444562B2 (en) * 1995-03-28 2003-09-08 東京応化工業株式会社 Preparation method of resist solution
US5955244A (en) * 1996-08-20 1999-09-21 Quantum Corporation Method for forming photoresist features having reentrant profiles using a basic agent
US6548854B1 (en) * 1997-12-22 2003-04-15 Agere Systems Inc. Compound, high-K, gate and capacitor insulator layer
EP0851473A3 (en) 1996-12-23 1998-07-22 Lucent Technologies Inc. Method of making a layer with high dielectric K, gate and capacitor insulator layer and device
FR2758003B1 (en) * 1996-12-27 1999-06-18 France Telecom ANTI-REFLECTIVE TREATMENT OF REFLECTIVE SURFACES
JPH10341447A (en) * 1997-04-11 1998-12-22 Fuji Photo Film Co Ltd Image signal processor
US6096621A (en) * 1997-04-23 2000-08-01 Elantec, Inc. Polysilicon filled trench isolation structure for soi integrated circuits
US6037239A (en) * 1997-04-23 2000-03-14 Elantec, Inc. Method for making a contact structure for a polysilicon filled trench isolation
US6277728B1 (en) * 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6188097B1 (en) * 1997-07-02 2001-02-13 Micron Technology, Inc. Rough electrode (high surface area) from Ti and TiN
TW432896B (en) * 1997-10-15 2001-05-01 Siemens Ag Preparation of organic electroluminescencizing elements
US6207485B1 (en) * 1998-01-05 2001-03-27 Advanced Micro Devices Integration of high K spacers for dual gate oxide channel fabrication technique
US5998264A (en) * 1998-03-06 1999-12-07 Wu; Shye-Lin Method of forming high density flash memories with MIM structure
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
KR100285701B1 (en) * 1998-06-29 2001-04-02 윤종용 Manufacturing method and structure of trench isolation
US5904517A (en) * 1998-07-08 1999-05-18 Advanced Micro Devices, Inc. Ultra thin high K spacer material for use in transistor fabrication
US6383723B1 (en) * 1998-08-28 2002-05-07 Micron Technology, Inc. Method to clean substrate and improve photoresist profile
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US20010006759A1 (en) * 1998-09-08 2001-07-05 Charles R. Shipley Jr. Radiation sensitive compositions
US6180465B1 (en) * 1998-11-20 2001-01-30 Advanced Micro Devices Method of making high performance MOSFET with channel scaling mask feature
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6291363B1 (en) * 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US6255035B1 (en) * 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6133105A (en) * 1999-04-27 2000-10-17 United Microelectronics Corp. Method of manufacturing borderless contact hole including a silicide layer on source/drain and sidewall of trench isolation structure
US6297527B1 (en) * 1999-05-12 2001-10-02 Micron Technology, Inc. Multilayer electrode for ferroelectric and high dielectric constant capacitors
US6376149B2 (en) * 1999-05-26 2002-04-23 Yale University Methods and compositions for imaging acids in chemically amplified photoresists using pH-dependent fluorophores
US6277709B1 (en) * 2000-07-28 2001-08-21 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation structure
US6350560B1 (en) * 2000-08-07 2002-02-26 Shipley Company, L.L.C. Rinse composition
US6780103B2 (en) * 2000-08-31 2004-08-24 Igt Gaming device having skill/perceived skill bonus round
KR100379612B1 (en) * 2000-11-30 2003-04-08 삼성전자주식회사 Shallow trench isolation type semiconductor device and method of forming the same
US6440793B1 (en) * 2001-01-10 2002-08-27 International Business Machines Corporation Vertical MOSFET
WO2002069394A1 (en) 2001-02-27 2002-09-06 Fairchild Semiconductor Corporation Process for depositing and planarizing bpsg for dense trench mosfet application
US6511896B2 (en) * 2001-04-06 2003-01-28 Micron Technology, Inc. Method of etching a substantially amorphous TA2O5 comprising layer
US6780766B2 (en) * 2001-04-06 2004-08-24 Micron Technology, Inc. Methods of forming regions of differing composition over a substrate
JP2002323775A (en) * 2001-04-26 2002-11-08 Fujitsu Ltd Pattern forming method
US6817086B2 (en) * 2001-05-10 2004-11-16 International Business Machines Corporation Photolithographic process for extreme resolution of track width definition of a read head
US20020196651A1 (en) * 2001-06-22 2002-12-26 Rolf Weis Memory cell layout with double gate vertical array transistor
KR100428806B1 (en) * 2001-07-03 2004-04-28 삼성전자주식회사 Structure of Trench Isolation and Method of Forming The Same
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US6753618B2 (en) * 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (en) * 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
US6780728B2 (en) * 2002-06-21 2004-08-24 Micron Technology, Inc. Semiconductor constructions, and methods of forming semiconductor constructions
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US6964901B2 (en) * 2003-06-03 2005-11-15 Micron Technology, Inc. Methods of forming rugged electrically conductive surfaces and layers
US7049702B2 (en) * 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US7026243B2 (en) * 2003-10-20 2006-04-11 Micron Technology, Inc. Methods of forming conductive material silicides by reaction of metal with silicon
US7045428B2 (en) * 2004-05-26 2006-05-16 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a conductor that facilitates current flow across a P/N junction

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3934057A (en) * 1973-12-19 1976-01-20 International Business Machines Corporation High sensitivity positive resist layers and mask formation process
US5994194A (en) * 1996-07-10 1999-11-30 Trw Inc. Self-aligned base ohmic metal for an HBT device cross-reference to related applications
US6169019B1 (en) * 1997-05-14 2001-01-02 Kabushiki Kaisha Toshiba Semiconductor apparatus and manufacturing method therefor
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US20040219736A1 (en) * 1999-03-09 2004-11-04 Tokyo Electron Limited Semiconductor device and method of manufacturing the same
US20050035480A1 (en) * 1999-12-02 2005-02-17 Raffaello Bernabei Process for the cold transformation of municipal refuses and/or sludge into inert materials, plant for carrying out said process and products obtained
US6613240B2 (en) * 1999-12-06 2003-09-02 Epion Corporation Method and apparatus for smoothing thin conductive films by gas cluster ion beam
US6956980B2 (en) * 2000-09-18 2005-10-18 Sumitomo Osaka Cement Co., Ltd. Optical waveguide type optical modulator and production method therefor
US6582861B2 (en) * 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US20030211729A1 (en) * 2002-04-25 2003-11-13 Heon Lee Method of fabricating a sub-lithographic sized via
US20050244571A1 (en) * 2002-05-21 2005-11-03 Interfloat Corporation Method and device for the production of an antireflective coating, antireflective coating, and antireflective-coated substrate
US6838363B2 (en) * 2002-09-30 2005-01-04 Advanced Micro Devices, Inc. Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material
US6943126B1 (en) * 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
US20060064969A1 (en) * 2003-10-29 2006-03-30 Toyota Jidosha Kabushiki Kaisha Exhaust purification device of compression ignition type internal combustion engine
US20060183277A1 (en) * 2003-12-19 2006-08-17 Brask Justin K Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US20050266664A1 (en) * 2004-05-28 2005-12-01 Harrison Michael G Method for forming a fully silicided semiconductor device
US20050268619A1 (en) * 2004-06-08 2005-12-08 Ress Robert A Jr Method and apparatus for increasing the pressure of cooling fluid within a gas turbine engine

Also Published As

Publication number Publication date
US7384727B2 (en) 2008-06-10
US20040265746A1 (en) 2004-12-30

Similar Documents

Publication Publication Date Title
US7018936B2 (en) Ion implant lithography method of processing a semiconductor substrate
KR100420910B1 (en) Intermediate Layer Lithography
US7846843B2 (en) Method for manufacturing a semiconductor device using a spacer as an etch mask for forming a fine pattern
US20060051956A1 (en) Imageable bottom anti-reflective coating for high resolution lithography
US20060281320A1 (en) Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
US6599844B2 (en) Method and forming fine patterns of semiconductor devices using passivation layers
KR100434133B1 (en) Texas instruments incorporated
US7943521B2 (en) Method for patterning a semiconductor device
US20050282397A1 (en) Semiconductor constructions
JP2000091318A (en) Manufacture of semiconductor device
US6764946B1 (en) Method of controlling line edge roughness in resist films
US8815496B2 (en) Method for patterning a photosensitive layer
US6100010A (en) Photoresist film and method for forming pattern thereof
US6900139B1 (en) Method for photoresist trim endpoint detection
US20050118531A1 (en) Method for controlling critical dimension by utilizing resist sidewall protection
US8409457B2 (en) Methods of forming a photoresist-comprising pattern on a substrate
US6849538B2 (en) Semiconductor device and a fabrication method thereof
KR100320898B1 (en) Method of forming photoresist pattern
US20060154184A1 (en) Method for reducing feature line edge roughness
US6649525B1 (en) Methods and systems for controlling resist residue defects at gate layer in a semiconductor device manufacturing process
JP2004533110A (en) Improved transistor gate using e-beam radiation
US6294465B1 (en) Method for making integrated circuits having features with reduced critical dimensions
JP3036500B2 (en) Photoresist pattern forming method and semiconductor substrate
KR100827488B1 (en) Method for forming a metal line pattern of the semiconductor device
US20230078946A1 (en) Hybrid Development of EUV Resists

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION