US20050271813A1 - Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials - Google Patents

Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials Download PDF

Info

Publication number
US20050271813A1
US20050271813A1 US11/127,767 US12776705A US2005271813A1 US 20050271813 A1 US20050271813 A1 US 20050271813A1 US 12776705 A US12776705 A US 12776705A US 2005271813 A1 US2005271813 A1 US 2005271813A1
Authority
US
United States
Prior art keywords
gas
hafnium
precursor
substrate
seconds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/127,767
Inventor
Shreyas Kher
Pravin Narwankar
Rahul Sharangapani
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/127,767 priority Critical patent/US20050271813A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NARWANKAR, PRAVIN, SHARANGAPANI, RAHUL, KHER, SHREYAS
Publication of US20050271813A1 publication Critical patent/US20050271813A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0357For producing uniform flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/206Flow affected by fluid contact, energy field or coanda effect [e.g., pure fluid device or system]
    • Y10T137/2087Means to cause rotational flow of fluid [e.g., vortex generator]

Definitions

  • Embodiments of the invention generally relate to methods and apparatuses for depositing materials on a substrate, and more specifically, to methods and apparatuses for depositing high-k dielectric materials by vapor deposition processes.
  • vapor deposition processes have played an important role in depositing materials on substrates.
  • the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 0.07 ⁇ m and aspect ratios of 10 or greater are being considered. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important.
  • ALD atomic layer deposition
  • reactant gases are sequentially introduced into a process chamber containing a substrate.
  • a first reactant is pulsed into the process chamber and is adsorbed onto the substrate surface.
  • a second reactant is pulsed into the process chamber and reacts with the first reactant to form a deposited material.
  • a purge step is typically carried out between the delivery of each reactant gas.
  • the purge step may be a continuous purge with the carrier gas or a pulse purge between the delivery of the reactant gases.
  • Ozone or atomic oxygen is a common oxidant or oxidizing source for ALD processes.
  • a low process temperature may be advantageously maintained during the deposition process while forming the dielectric material due to the radical state of ozone and atomic oxygen. While the high reactivity at low temperature is an attribute of the radical oxidizing agents, undesirable side reactions are prevalent throughout the process chamber forming contaminants on the substrate.
  • water or oxygen may be used as an oxidizing source to form dielectric materials during an ALD process.
  • ALD processes due to the moderate reactivity of water or oxygen, ALD processes generally require slower flow rates, longer exposure periods and higher temperatures than radical oxygen sources.
  • ALD processes that use water or oxygen require an extended purge period after each oxidizing pulse and therefore increase fabrication throughput. Furthermore, the slow flow rates and high temperatures usually increase contaminants on the substrate surface.
  • Steam oxidation processes have been used to passivate or oxidize metal or silicon materials during conventional CVD processes.
  • water vapor is plumbed into the process chamber after being generated by boiling water contained within a secondary container.
  • hydrogen gas and oxygen gas are fed into a process chamber preheated at a high temperature (e.g., >1,000° C.).
  • the generated water vapor reacts with a metal surface or a silicon surface to form dielectric materials, such as metal oxides or silicon oxides.
  • the aforementioned steam oxidation processes may produce an effective water vapor for use during a CVD process, the generated water vapor is not acceptable for use during an ALD process.
  • Water vapor derived from these steam oxidation processes may cause contaminants on the substrate surface and modest control over process temperature or the contents of the oxidizing water vapor.
  • ALD processes require immediate access to reagents of a consistent composition that may be quantitatively delivered into the process chamber.
  • a method for forming a hafnium-containing material on a substrate positioned within a process chamber includes exposing the substrate to a hafnium precursor to form a hafnium-containing layer thereon, purging the process chamber, exposing the hafnium-containing layer to an oxidizing gas to form a hafnium oxide material thereon and again purging the process chamber.
  • a silicon oxide material is deposited on the hafnium oxide material by exposing the substrate to a silicon precursor to form a silicon-containing layer thereon, purging the process chamber, exposing the substrate to the oxidizing gas to form a silicon oxide material thereon and again purging the process chamber.
  • the method further includes that the oxidizing gas contains water vapor formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator.
  • the water vapor generator has a catalyst that may contain palladium, platinum, nickel, iron, chromium, ruthenium, rhodium, combinations thereof or alloys thereof.
  • the hydrogen source gas and/or the oxygen source gas may be diluted with an additional gas.
  • a forming gas containing about 5 vol % of hydrogen in nitrogen may be used as the hydrogen source gas.
  • an excess of oxygen source gas is provided into water vapor generator to provide the oxidizing gas with oxygen enriched water vapor.
  • the substrate is exposed to the oxidizing gas during a pre-soak process subsequent to depositing a hafnium-containing material or other dielectric materials.
  • a method for depositing a hafnium-containing material on a substrate during an atomic layer deposition process includes positioning the substrate within a process chamber, flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to generate an oxidizing gas containing water vapor and sequentially exposing the substrate to the oxidizing gas and a process gas containing a hafnium precursor to form a hafnium-containing material on the substrate.
  • the process gas contains a secondary precursor, such as a silicon precursor or an aluminum precursor.
  • the process gas may be formed by combining within the process chamber a gas containing the hafnium precursor and at least another gas containing the secondary precursor, such by providing a pulse of each gas containing the precursors.
  • the process gas may be formed by vaporizing a reagent mixture containing at least the hafnium precursor and the secondary precursor.
  • the deposited hafnium-containing material may contain hafnium oxide, hafnium silicate, hafnium silicon oxynitride, hafnium oxynitride, hafnium aluminate, derivatives thereof or combinations thereof.
  • method for forming a dielectric material on a substrate during an atomic layer deposition process includes positioning a substrate within a process chamber and sequentially exposing the substrate to the oxidizing gas and at least one precursor, such as a hafnium precursor, a zirconium precursor, a silicon precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor or combinations thereof.
  • the water vapor may be formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator.
  • dielectric material examples include hafnium oxide, hafnium silicate, zirconium oxide, zirconium silicate, lanthanum oxide, lanthanum silicate, tantalum oxide, tantalum silicate, titanium oxide, titanium silicate, aluminum oxide, aluminum silicate, silicon oxide, derivatives thereof or combinations thereof.
  • a substrate is sequentially exposed to the oxidizing gas and a process gas containing a hafnium precursor and a silicon precursor.
  • a substrate is sequentially exposed to a hafnium precursor, the oxidizing gas, a silicon precursor and again the oxidizing gas.
  • a method for forming a hafnium-containing dielectric stack on a substrate which includes forming at least one hafnium oxide layer and at least one hafnium silicate layer.
  • the method includes sequentially exposing the substrate to the oxidizing gas and a first process gas containing a hafnium precursor to form a first hafnium-containing material thereon and sequentially exposing the substrate to the oxidizing gas and a second process gas containing the hafnium precursor to form a second hafnium-containing material on the first hafnium-containing material.
  • the first process gas also contains a silicon precursor.
  • the method further includes forming an oxidizing gas containing water vapor by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator.
  • FIG. 1 shows a process sequence for depositing a hafnium-containing material by an ALD process according to an embodiment described herein;
  • FIG. 2A depicts a schematic view of a process system configured according to an embodiment described herein;
  • FIG. 2B depicts a schematic view of a water vapor generator system according to an embodiment described herein;
  • FIG. 3 shows a process sequence for depositing a hafnium-containing material by an ALD process according to another embodiment described herein;
  • FIG. 4 shows a process sequence for depositing a hafnium-containing material by an ALD process according to another embodiment described herein;
  • FIGS. 5A-5E show some of the pulsing sequences for the hafnium and silicon precursors during ALD process according to embodiments described herein;
  • FIG. 6 depicts a schematic cross-sectional view of a process chamber that may be used during a deposition process according to an embodiment described herein;
  • FIG. 7 depicts a schematic cross-sectional view of another process chamber that may be used during a deposition process according to an embodiment described herein;
  • FIG. 8 depicts a schematic cross-sectional view of another process chamber that may be used during a deposition process according to an embodiment described herein;
  • FIGS. 9A-9B depict schematic views of thermally insulating liners that may be used with the process chambers according to embodiments described herein;
  • FIG. 10 depicts a schematic view of process chamber lid assembly that may be used during a deposition process according to an embodiment described herein.
  • the invention provides methods for depositing hafnium-containing materials and other high-k dielectric materials on substrate surfaces by atomic layer deposition (ALD) processes.
  • ALD atomic layer deposition
  • an ALD process is conducted by sequentially pulsing a hafnium precursor and an oxidizing gas into an ALD process chamber to form a hafnium-containing material.
  • the oxidizing gas contains water vapor derived from a water vapor generator (WVG) system coupled to the ALD process chamber.
  • WVG system generates the oxidizing gas at low temperatures (e.g., ⁇ 500° C.) by exposing a hydrogen source gas and an oxygen source gas to a catalyst.
  • the composition of the oxidizing gas may be precisely controlled to provide water vapor enriched in various ratios of oxygen or hydrogen.
  • the ALD processes utilizing the WVG system to produce water vapor have elemental control of the composition of the deposited dielectric materials, minimized contaminants on the substrate and rapid process times that increase fabrication throughput.
  • FIG. 1 illustrates an exemplary process sequence 100 for forming a hafnium-containing material, such as hafnium oxide, according to one embodiment of the invention.
  • a substrate is loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 110 ).
  • Process conditions may include temperature of the substrate or the process chamber, chamber pressure and gas flow rates.
  • the substrate may be exposed to an optional pre-soak process and purge prior to starting an ALD cycle (step 115 ).
  • the substrate is exposed to a pulse of a hafnium precursor introduced into the process chamber alone or in combinations with a carrier gas for a time period in a range from about 0.1 seconds to about 5 seconds (step 120 ).
  • a pulse of purge gas is then introduced into the processing chamber (step 130 ) to purge or otherwise remove any residual hafnium precursor or by-products.
  • a pulse of oxidizing gas is introduced into the processing chamber (step 140 ).
  • the oxidizing gas may include a mixture of several oxidizing agents, such as water vapor and oxygen.
  • a pulse of purge gas is again introduced into the process chamber (step 150 ) to purge or otherwise remove any residual oxidizing gas or by-products.
  • Suitable carrier gases or purge gases may include helium, argon, nitrogen, hydrogen, forming gas, oxygen or combinations thereof.
  • a “pulse” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a process chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • the duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the particular compound.
  • a “half-reaction” as used herein refers to an exposing step followed by a removing step.
  • the exposing step provides introducing a reagent into the process chamber and adsorbing or chemically reacting the reagent on a substrate contained therein, such as a pulse of process gas containing the reagent.
  • the purge step provides removing excess reagent or reaction by-products from the chamber introducing a gas (e.g., purge gas or carrier gas), evacuating with a vacuum system or combinations thereof.
  • a gas e.g., purge gas or carrier gas
  • a layer of a hafnium-containing material such as hafnium oxide, is deposited on the substrate.
  • a deposition cycle forms a layer with a thickness in the range from about 1 ⁇ to about 10 ⁇ .
  • subsequent deposition cycles may be needed to deposit hafnium-containing material having a desired thickness.
  • a deposition cycle (steps 120 through 150 ) may be repeated to achieve the predetermined thickness of the hafnium-containing material.
  • process sequence 100 may be stopped as indicated at step 170 .
  • the hafnium oxide material formed by the deposition process has the empirical chemical formula HfO x .
  • Hafnium oxide may have the molecular chemical formula HfO 2 , but by varying process conditions (e.g., timing, temperature or precursors), hafnium oxides may be less oxidized, such as HfO 1.8 .
  • hafnium oxide is deposited by the processes herein with the molecular chemical formula is HfO 2 or the oxygen:hafnium concentration is less than 2.
  • a substrate may be exposed to a pretreatment process or a pre-soak process in order to terminate the substrate surface with a variety of functional groups, as depicted during step 115 .
  • the pretreatment process may expose the substrate to a reagent, such as NH 3 , B 2 H 6 , SiH 4 , SiH 6 , H 2 O, HF, HCl, O 2 , O 3 , H 2 O, H 2 O 2 , H 2 , atomic-H, atomic-N, atomic-O, alcohols, amines, derivatives thereof or combination thereof.
  • the functional groups may provide a base for an incoming chemical precursor to attach on the substrate surface.
  • the pretreatment process may expose the substrate surface to the reagent for a period in a range from about 1 second to about 2 minutes, preferably from about 5 seconds to about 60 seconds.
  • a pre-soak process may include optionally exposing the substrate to the oxidizing gas containing water vapor generated from the WVG system.
  • the pre-soak process provides the substrate surface with hydroxyl terminated functional groups that react with precursors containing amino-type ligands (e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS) during a subsequent exposure.
  • precursors containing amino-type ligands e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS
  • the substrate surface may be exposed to the oxidizing gas containing water vapor for a time period in a range from about 3 seconds to about 90 seconds, preferably from about 5 seconds to about 60 seconds, and more preferably, from about 10 seconds to about 30 seconds.
  • the process chamber is usually purged with a carrier gas or a purge gas to remove excess oxidizing gas and any volatile by-products therein.
  • the substrate surface may be exposed for about 9 seconds to an oxidizing gas containing water vapor generated from the WVG system. Thereafter, the process chamber is purged for about 6 seconds and an ALD process cycle is initiated by providing a pulse of a process gas containing TDEAH or TDMAH.
  • the substrate surface may be exposed for about 15 seconds to an oxidizing gas containing water vapor generated from the WVG system.
  • the process chamber is purged for about 10 seconds and an ALD process cycle is initiated by providing a pulse of a process gas containing TDMAS or Tris-DMAS.
  • the ALD process is typically conducted in a process chamber at a pressure in the range from about 1 Torr to about 100 Torr, preferably from about 1 Torr to about 20 Torr, and more preferably in a range from about 1 Torr to about 10 Torr.
  • the temperature of the substrate is usually maintained in the range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., and more preferably from about 250° C. to about 500° C.
  • the hafnium precursor is introduced into the process chamber at a rate in the range from about 5 standard cubic centimeters per minute (sccm) to about 200 sccm.
  • the hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in the range from about 50 sccm to about 1,000 sccm.
  • the hafnium precursor may be pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds, depending on the particular process conditions, hafnium precursor or desired composition of the deposited hafnium-containing material.
  • the hafnium precursor is pulsed into the process chamber at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the hafnium precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the hafnium precursor is preferably hafnium tetrachloride (HfCl 4 ). In another example, the hafnium precursor is preferably a tetrakis(dialkylamino)hafnium compound, such as tetrakis(diethylamino)hafnium ((Et 2 N) 4 Hf or TDEAH).
  • the hafnium precursor is generally dispensed into process chamber 280 by introducing a carrier gas through ampoule 282 containing the hafnium precursor, as depicted in FIG. 2A .
  • Ampoule 282 may include an ampoule, a bubble, a cartridge or other container used for containing or dispersing chemical precursors.
  • a suitable ampoule, such as the PROE-VAPTM, is available from Advanced Technology Materials, Inc., located in Danbury, Conn.
  • Ampoule 282 is in fluid communication with process chamber 280 by conduit 283 .
  • Conduit 283 may be a tube, a pipe, a line, a hose or other conduits known in the art.
  • ampoule 282 is at distance 284 from process chamber 280 .
  • Distance 284 is usually less than about 2 meters preferably, less than about 1.25 meters, and more preferably about 0.7 meters or less. Distance 284 may be minimized in order to maintain consistent hafnium precursor flow. Also, while conduit 283 may be straight or have bends, conduit 283 is preferably straight or has as few bends as possible. Conduit 283 may be wrapped with a heating tape to maintain a predetermined temperature. The temperature of ampoule 282 is maintained at a temperature depending on the hafnium precursor within, such as in a range from about 20° C. to about 300° C. In one example, ampoule 282 contains HfCl 4 at a temperature in a range from about 150° C. to about 200° C.
  • ampoule 282 may be part of a liquid delivery system containing injector valve system 281 .
  • Injector valve system 281 is connected to ampoule 282 and process chamber 280 by conduit 283 .
  • a source of carrier gas is usually connected to injected valve system 281 (not shown).
  • Ampoule 282 containing a liquid precursor e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS
  • a liquid precursor e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS
  • ampoule 282 containing a liquid precursor may be pressurized at a pressure in a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 100° C. or less, preferably in a range from about 20° C. to about 60° C.
  • Injector valve system 281 combines the liquid precursor with a carrier gas to form a precursor vapor that is injected into process chamber 280 .
  • a carrier gas may include nitrogen, argon, helium, hydrogen or combinations thereof and the carrier may be pre-heated to a temperature in a range from about 85° C. to about 150° C.
  • a suitable injector valve is available from Horiba-Stec, located in Kyoto, Japan.
  • the oxidizing gas is introduced to process chamber 280 with a flow a rate in the range from about 0.05 sccm to about 1,000 sccm, preferably in the range from about 0.5 sccm to about 100 sccm.
  • the oxidizing gas is pulsed into process chamber 280 at a rate in a range from about 0.05 seconds to about 10 seconds, preferably, from about 0.08 seconds to about 3 seconds, and more preferably, from about 0.1 seconds to about 2 seconds.
  • the oxidizing gas is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 1.7 seconds.
  • the oxidizing gas is pulsed at a rate in a range from about 0.1 seconds to about 3 seconds, for example, about 0.5 seconds.
  • the oxidizing gas may be produced from water vapor generator (WVG) system 286 in fluid communication with process chamber 280 by conduit 287 .
  • Fittings 212 and 214 may be used to link conduit 287 to WVG system 286 or to process chamber 280 .
  • Suitable fittings include UPG fittings available from Fujikin of America, Inc.
  • conduit 287 is in fluid communication with process chamber 280 through an ALD valve assembly.
  • Conduit 287 may be a tube, a pipe. a line or a hose composed of a metal (e.g., stainless steel or aluminum), rubber or plastic (e.g., PTFE).
  • a pipe formed from stainless steel 316L is used as conduit 287 .
  • the WVG system 286 generates ultra-high purity water vapor by means of a catalytic reaction of an oxygen source gas (e.g., O 2 ) and a hydrogen source gas (e.g., H 2 ) at a low temperature (e.g., ⁇ 500° C.).
  • the hydrogen and oxygen source gases each flow into WVG system 286 at a flow rate in the range from about 5 sccm to about 200 sccm, preferably, from about 10 sccm to about 100 sccm.
  • the flow rates of the oxygen and hydrogen source gases are independently adjusted to have a presence of oxygen or an oxygen source gas and an absence of the hydrogen or hydrogen source gas within the outflow of the oxidizing gas.
  • An oxygen source gas useful to generate an oxidizing gas containing water vapor may include oxygen (O 2 ), atomic oxygen (O), ozone (O 3 ), nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), dinitrogen pentoxide (N 2 O 5 ), hydrogen peroxide (H 2 O 2 ), derivatives thereof or combinations thereof.
  • a hydrogen source gas useful to generate an oxidizing gas containing water vapor may include hydrogen (H 2 ), atomic hydrogen (H), forming gas (N 2 /H 2 ), ammonia (NH 3 ), hydrocarbons (e.g., CH 4 ), alcohols (e.g., CH 3 OH), derivatives thereof or combinations thereof.
  • a carrier gas may be co-flowed with either the oxygen source gas or the hydrogen source gas and may include N 2 , He, Ar or combinations thereof.
  • the oxygen source gas is oxygen or nitrous oxide and the hydrogen source gas is hydrogen or a forming gas, such as 5 vol % of hydrogen in nitrogen.
  • a hydrogen source gas and an oxygen source gas may be diluted with a carrier gas to provide sensitive control of the water vapor within the oxidizing gas during deposition processes.
  • a slower water vapor flow rate (about ⁇ 10 sccm water vapor) may be desirable to complete the chemical reaction during an ALD process to form a hafnium-containing material or other dielectric materials.
  • a slower water vapor flow rate dilutes the water vapor concentration within the oxidizing gas.
  • the diluted water vapor is at a concentration to oxidize adsorbed precursors on the substrate surface. Therefore, a slower water vapor flow rate minimizes the purge time after the water vapor exposure to increase the fabrication throughput.
  • a mass flow controller may be used to control a hydrogen source gas with a flow rate of about 0.5 sccm while producing a stream of water vapor with a flow rate of about 0.5 sccm.
  • MFC mass flow controller
  • a diluted hydrogen source gas e.g., forming gas
  • a faster water vapor flow rate (about >10 sccm water vapor) may be desirable to complete the chemical reaction during an ALD process while forming a hafnium-containing material or other dielectric materials.
  • about 100 sccm of hydrogen gas deliveries about 100 sccm of water vapor.
  • the forming gas may be selected with a hydrogen concentration in a range from about 1% to about 95% by volume in a carrier gas, such as argon or nitrogen.
  • a hydrogen concentration of a forming gas is in a range from about 1% to about 30% by volume in a carrier gas, preferably from about 2% to about 20%, and more preferably, from about 3% to about 10%, for example, a forming gas may contain about 5% hydrogen and about 95% nitrogen.
  • a hydrogen concentration of a forming gas is in a range from about 30% to about 95% by volume in a carrier gas, preferably from about 40% to about 90%, and more preferably from about 50% to about 85%, for example, a forming gas may contain about 80% hydrogen and about 20% nitrogen.
  • a WVG system receives a hydrogen source gas containing 5% hydrogen (95% nitrogen) with a flow rate of about 10 sccm and an oxygen source gas (e.g., O 2 ) with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor with a flow rate of about 0.5 sccm and oxygen with a flow rate of about 9.8 sccm.
  • a hydrogen source gas containing 5% hydrogen (95% nitrogen) with a flow rate of about 10 sccm and an oxygen source gas (e.g., O 2 ) with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor with a flow rate of about 0.5 sccm and oxygen with a flow rate of about 9.8 sccm.
  • a WVG system receives a hydrogen source gas containing 5% hydrogen forming gas with a flow rate of about 20 sccm and an oxygen source gas with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor with a flow rate of about 1 sccm and oxygen with a flow rate of about 9 sccm.
  • a WVG system receives a hydrogen source gas containing hydrogen gas with a flow rate of about 20 sccm and an oxygen source gas with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor at a rate of about 10 sccm and oxygen at a rate of about 9.8 sccm.
  • nitrous oxide as an oxygen source gas, is used with a hydrogen source gas to form a water vapor during ALD processes. Generally, 2 molar equivalents of nitrous oxide are substituted for each molar equivalent of oxygen gas.
  • a WVG system contains a catalyst, such as catalyst-lined reactor or a catalyst cartridge, in which the oxidizing gas containing water vapor is generated by a catalytic chemical reaction between a source of hydrogen and a source of oxygen.
  • a WVG system is unlike pyrogenic generators that produce water vapor as a result of an ignition reaction, usually at temperatures over 1,000° C.
  • a WVG system containing a catalyst usually produces water vapor at a low temperature in the range from about 100° C. to about 500° C., preferably at about 350° C. or less.
  • the catalyst contained within a catalyst reactor may include a metal or alloy, such as palladium, platinum, nickel, iron, chromium, ruthenium, rhodium, alloys thereof or combinations thereof.
  • the ultra-high purity water is ideal for the ALD processes in the present invention.
  • an oxygen source gas is allowed to flow through the WVG system for about 5 seconds.
  • the hydrogen source gas is allowed to enter the reactor for about 5 seconds.
  • the catalytic reaction between the oxygen and hydrogen source gases e.g., H 2 and O 2 ) generates a water vapor. Regulating the flow of the oxygen and hydrogen source gases allows precise control of oxygen and hydrogen concentrations within the formed oxidizing gas containing water vapor.
  • the water vapor may contain remnants of the hydrogen source gas, the oxygen source gas or combinations thereof.
  • WVG Water Vapor Generator
  • CSGS Catalyst Steam Generator System
  • FIG. 2B illustrates one configuration of WVG system 286 .
  • Hydrogen source 262 , oxygen source 264 and carrier gas source 266 are connected to WVG system 286 by conduit system 261 .
  • Conduit system 261 contains conduits and valves that allow gases from hydrogen source 262 , oxygen source 264 and/or carrier gas source 266 to be independently in fluid communication with catalyst reactor 270 through gas inputs 267 and gas filter 268 . Water vapor is formed within and emitted from catalyst reactor 270 .
  • conduit system 261 contains conduits and valves that allow gases from hydrogen source 262 and oxygen source 264 to independently bypass catalyst reactor 270 at junction 271 .
  • additional hydrogen source gas and/or oxygen source gas may bypass catalyst reactor 270 and combine with water vapor to form an oxidizing gas enriched with oxygen or hydrogen.
  • Gas sensor 272 and gas filter 274 are connected to conduit system 261 downstream from catalyst reactor 270 .
  • Gas sensor 272 may be used to determine the composition of the oxidizing gas including oxygen, hydrogen and water concentrations.
  • the oxidizing gas may pass through gas filter 274 prior to exiting WVG system 286 .
  • the pulses of a purge gas, preferably argon or nitrogen, at steps 130 and 150 are typically introduced at a flow rate in a range from about 2 standard liters per minute (slm) to about 22 slm, preferably about 10 slm.
  • Each processing cycle (steps 120 through 150 ) occurs for a time period in a range from about 0.01 seconds to about 20 seconds. In one example, the process cycle lasts about 10 seconds. In another example, the process cycle lasts about 2 seconds. Longer processing steps lasting about 10 seconds deposit excellent hafnium-containing films, but reduce the throughput.
  • the specific purge gas flow rates and duration of process cycles are obtained through experimentation. In one example, a 300 mm diameter wafer requires about twice the flow rate for the same duration as a 200 mm diameter wafer in order to maintain similar throughput.
  • hydrogen gas is applied as a carrier gas, purge and/or a reactant gas to reduce halogen contamination from the deposited materials.
  • halogen atoms e.g., HfCl 4 , SiCl 4 and Si 2 Cl 6
  • Hydrogen is a reductant and will produce hydrogen halides (e.g., HCl) as a volatile and removable by-product. Therefore, hydrogen may be used as a carrier gas or reactant gas when combined with a precursor compound (e.g., hafnium, silicon, oxygen precursors) and may include another carrier gas (e.g., Ar or N 2 ).
  • a water/hydrogen mixture at a temperature in the range from about 100° C. to about 500° C., is used to reduce the halogen concentration and increase the oxygen concentration of the deposited material.
  • a water/hydrogen mixture may be derived by feeding an excess of hydrogen source gas into a WVG system to form a hydrogen enriched water vapor.
  • FIG. 3 illustrates an exemplary process sequence 200 for forming a hafnium-containing material, such as hafnium silicate.
  • a substrate is loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 205 ).
  • the substrate may be exposed to an optional pre-soak process and purge prior to starting an ALD cycle (step 207 ).
  • the substrate is exposed to pulse of a hafnium precursor that is introduced into the process chamber for a time period in a range from about 0.1 seconds to about 5 seconds (step 210 ).
  • a pulse of purge gas is introduced into the process chamber (step 215 ) to purge or otherwise remove any residual hafnium precursor or by-products.
  • a pulse of oxidizing gas is introduced into the process chamber for a time period in a range from about 0.1 seconds to about 10 seconds (step 220 ).
  • the oxidizing gas may include several oxidizing agents, such as water vapor and oxygen derived from a WVG system.
  • a pulse of purge gas is again introduced into the process chamber (step 225 ) to purge or otherwise remove any residual oxidizing compound or by-products.
  • the substrate is then exposed to pulse of a silicon precursor that is introduced into the process chamber for a time period in a range from about 0.1 seconds to about 10 seconds (step 230 ).
  • a pulse of purge gas is again pulsed into the process chamber (step 235 ) to purge or otherwise remove any residual silicon precursor or by-products.
  • oxidizing gas is introduced into the process chamber for a time period in a range from about 0.1 seconds to 10 seconds (step 240 ).
  • a pulse of purge gas is again introduced into the processing chamber (step 245 ) to purge or otherwise remove any residual oxidizing compound or by-products.
  • Suitable carrier gases or purge gases may include helium, argon, nitrogen, hydrogen, forming gas, oxygen or combinations thereof.
  • a hafnium-containing material such as hafnium silicate, having a first thickness is deposited on the substrate surface.
  • each deposition cycle forms a layer with a thickness in the range from about 0.5 ⁇ to about 10 ⁇ .
  • subsequent deposition cycles may be needed to deposit a hafnium-containing material with a predetermined thickness.
  • a deposition cycle (steps 210 through 245 ) may be repeated until the desired or predetermined thickness for the hafnium-containing material is achieved at step 250 and process sequence 200 is stopped at step 260 .
  • hafnium silicate material formed by the deposition processes described herein has the empirical chemical formula HfSi y O x .
  • Hafnium silicate may be a homogenous mixture of hafnium oxide (HfO x or HfO 2 ) and silicon oxide (SiO x or SiO 2 ) or a single phase HfSiO 4 material.
  • Hafnium silicate may have the molecular chemical formula HfSiO 4 , but by varying process conditions (e.g., timing, temperature, precursors), hafnium silicates may vary by elemental concentration, for example, HfSiO 3.8 or HfSi 0.8 O 3.8 .
  • the ALD process depicted in FIG. 3 typically occurs within a process chamber at a pressure in a range from about 1 Torr to about 100 Torr, preferably from about 1 Torr to about 20 Torr, and more preferably, from about 1 Torr to about 10 Torr.
  • the temperature of the substrate is usually in a range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., and more preferably from about 250° C. to about 500° C.
  • the optional pre-soak process in step 207 is subsequent to starting an ALD cycle and may include exposing the substrate to the oxidizing gas containing water vapor generated from a WVG system, as described in step 115 .
  • the hafnium precursor is introduced into the process chamber with a flow rate in the range from about 5 sccm to about 200 sccm.
  • the hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in the range from about 50 sccm to about 1,000 sccm.
  • the hafnium precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the hafnium precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds.
  • the hafnium precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the hafnium precursor is preferably hafnium tetrachloride, while in other examples, the hafnium precursor is preferably TDEAH or other tetrakis(dialkylamino)hafnium compounds.
  • the hafnium precursor is generally dispensed into process chamber 280 by introducing a carrier gas through ampoule 282 containing the hafnium precursor, as depicted in FIG. 2A .
  • the temperature of ampoule 282 is maintained at a temperature depending on the hafnium precursor within, such as in a range from about 20° C. to about 300° C.
  • ampoule 282 contains HfCl 4 at a temperature in a range from about 150° C. to about 200° C.
  • ampoule 282 containing a liquid precursor e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS
  • a liquid precursor e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS
  • ampoule 282 containing a liquid precursor may be pressurized at a pressure in a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 100° C. or less, preferably in a range from about 20° C. to about 60° C.
  • Injector valve system 281 combines the liquid precursor with a carrier gas to form a precursor vapor that is injected into process chamber 280 .
  • a carrier gas may include nitrogen, argon, helium, hydrogen or combinations thereof and the carrier may be pre-heated to a temperature in a range from about 85° C. to about 150° C.
  • oxidizing gas containing water vapor is introduced into process chamber 280 at a rate in the range from about 20 sccm to about 1,000 sccm, preferably from about 50 sccm to about 200 sccm.
  • the oxidizing gas is pulsed into process chamber 280 a rate in a range from about 0.1 seconds to about 10 seconds, depending on the particular process conditions and desired composition of the deposited hafnium-containing material.
  • the oxidizing gas is pulsed at a rate from about 1 second to about 3 seconds, for example, about 1.7 seconds.
  • the oxidizing gas is pulsed at a rate from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the oxidizing gas may be produced from WVG system 286 that is in fluid communication with process chamber 280 by conduit 287 .
  • a hydrogen source gas (H 2 ) and an oxygen source gas (O 2 ) each flow independently into WVG system 286 with a flow rate in a range from about 20 sccm to about 300 sccm.
  • the oxygen source gas is at a higher flow rate than the hydrogen source gas.
  • the hydrogen source gas has a flow rate of about 100 sccm and oxygen source gas has a flow rate of about 120 sccm to enrich the water vapor with oxygen.
  • the flow of hydrogen is higher than the flow of oxygen, for example, the hydrogen source gas has a flow rate of about 250 sccm and oxygen source gas has a flow rate of about 100 sccm. Therefore, the water vapor flowing from the WVG system is enriched with hydrogen.
  • the outflow of oxidizing gas contains partial flow rates of water vapor of about 100 sccm and hydrogen of about 50 sccm.
  • a water vapor enriched with hydrogen has several important functions. First, an excess of hydrogen in the water vapor increases the removal rate of certain contaminants, such as halogens.
  • a stack may contain a dielectric layer sandwiched between two metal layers, such as aluminum or tungsten. While forming the dielectric layer, such as a silicate compound, the excess hydrogen reduces the metal layer while the water vapor oxidizes the dielectric layer.
  • the silicon precursor is introduced to the process chamber with a flow rate in a range from about 5 sccm to about 200 sccm or with a flow rate in the range from about 1 mg/min to about 50 mg/min, preferably about 5 mg/min to about 25 mg/min.
  • the silicon precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in the range from about 50 sccm to about 1,000 sccm.
  • the silicon precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds, depending on the particular process and desired silicon concentration. In one embodiment, the silicon precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds.
  • the silicon precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the silicon precursor is preferably tris(dimethylamino)silane ((Me 2 N) 3 SiH or Tris-DMAS), tetrakis(dimethylamino)silane ((Me 2 N) 4 Si or TDMAS) or other dialkylaminosilanes, while in other examples the silicon precursor is preferably silane (SiH 4 ).
  • the pulses of a purge gas, such as argon or nitrogen, during steps 215 , 225 , 235 and 245 are typically introduced with a flow rate in a range from about 2 slm to about 22 slm, preferably about 10 slm.
  • Each process cycle (steps 210 through 245 ) may occur for a time period in a range from about 2 seconds to about 40 seconds. In one example, the time period of the process cycle lasts about 20 seconds, while in another example, the time period of the process cycle lasts about 4 seconds. Longer process steps lasting about 20 seconds deposit excellent hafnium-containing films, but with reduced throughput.
  • hafnium-containing materials such as hafnium silicate
  • hafnium-containing materials may be formed by omitting either of the steps that introduce the oxidizing gas and the subsequent purge step.
  • steps 220 and 225 are omitted, therefore a hafnium silicate material may be formed by sequentially pulsing the hafnium precursor, purge gas, silicon precursor, purge gas, oxidizing gas and purge gas.
  • steps 240 and 245 are omitted, therefore a hafnium silicate material may be formed by sequentially pulsing the hafnium precursor, purge gas, oxidizing gas, purge gas, silicon precursor and purge gas.
  • FIG. 4 illustrates an exemplary process sequence 300 for forming a hafnium-containing material, such as a hafnium silicate, according to another embodiment of the invention.
  • a substrate is loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 310 ).
  • the substrate may be exposed to an optional pre-soak process and purge prior to starting an ALD cycle (step 315 ).
  • the substrate is exposed to a pulse of a hafnium precursor and a pulse of a silicon precursor that completely or at least partially overlap in time and are introduced into the process chamber for a time period in a range from about 0.1 seconds to about 5 seconds (step 320 ).
  • a pulse of purge gas is pulsed into the processing chamber (step 330 ) to purge or otherwise remove any residual hafnium precursor, silicon precursor or by-products.
  • a pulse of oxidizing gas is introduced into the processing chamber (step 340 ).
  • the oxidizing gas may include several oxidizing agents, such as water vapor and oxygen derived from a WVG system.
  • a pulse of purge gas is again introduced into the processing chamber (step 350 ) to purge or otherwise remove any residual reducing compound.
  • Suitable carrier gases or purge gases may include helium, argon, nitrogen, hydrogen, forming gas, oxygen or combinations thereof.
  • a hafnium-containing material such as a hafnium silicate, having a first thickness will be deposited on the substrate surface.
  • each deposition cycle forms a layer with a thickness in the range from about 0.5 ⁇ to about 10 ⁇ .
  • subsequent deposition cycles may be needed to deposit a hafnium-containing material with a predetermined thickness.
  • a deposition cycle (steps 320 through 350 ) may be repeated until the desired or predetermined thickness for the hafnium-containing material is achieved at step 360 and process sequence 300 is stopped at step 370 .
  • the ALD process depicted in FIG. 4 typically occurs within a process chamber at a pressure in a range from about 1 Torr to about 100 Torr, preferably from about 1 Torr to about 20 Torr, and more preferably from about 1 Torr to about 10 Torr.
  • the temperature of the substrate is usually in a range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., and more preferably from about 250° C. to about 500° C.
  • the optional pre-soak process in step 315 is subsequent to starting an ALD cycle and may include exposing the substrate to the oxidizing gas containing water vapor generated from a WVG system, as described in step 115 .
  • the hafnium precursor and the silicon precursor are each introduced by flowing into the process chamber as a pulse of precursor, i.e., a pulsed precursor is the introduction of that precursor into the process chamber.
  • a pulsed precursor is the introduction of that precursor into the process chamber.
  • t 1 corresponds to the time period that a hafnium precursor and a silicon precursor are pulsed during step 320
  • t 2 corresponds to the time period during steps 330 , 340 and 350 .
  • the time periods t 1 and t 2 are not graphed to scale relative to each other.
  • the hafnium precursor and silicon precursor are independently pulsed during the same time period, such that both precursors flow during all of t 1 .
  • a hafnium precursor and a silicon precursor are simultaneously pulsed for about 2 seconds.
  • the hafnium precursor and silicon precursor are independently pulsed, so that a first precursor flows during all of t 1 and the second precursor flows during the intermediate of t 1 .
  • a hafnium precursor is pulsed for about 2 seconds and a silicon precursor is pulsed for about 1.5 seconds during the intermediate of the pulsed hafnium precursor.
  • a silicon precursor is pulsed for about 2 seconds and a hafnium precursor is pulsed for about 1.5 seconds during the intermediate of the pulsed silicon precursor.
  • the hafnium precursor and silicon precursor are independently pulsed with a partially overlap, such that a first precursor flows at the beginning of t 1 but does not flow to the end of t 1 and the second precursor does not flow at the beginning of t 1 but does flow to the end of t 1 .
  • a hafnium precursor is pulsed for about 1.5 seconds at the beginning of t 1 and a silicon precursor is pulsed for about 1.5 seconds at the end of t 1 .
  • a silicon precursor is pulsed for about 1.75 seconds at the beginning of t 1 and a hafnium precursor is pulsed for about 1.5 seconds at the end of t 1 .
  • a first precursor e.g., hafnium precursor
  • a second precursor e.g., silicon precursor
  • a hafnium precursor, a silicon precursor or other precursor may be independently pulsed into the process chamber with any partial overlap of time or with no overlap of time.
  • t 1 when t 1 lasts about 2 seconds, a hafnium precursor is pulsed for about 2 seconds and a silicon precursor is pulsed for 0.5 seconds during the pulse of hafnium precursor.
  • a hafnium precursor is pulsed for about 0.5 seconds and a silicon precursor is pulsed for 0.5 seconds with no overlap of or not during the pulse of hafnium precursor.
  • a hafnium precursor is pulsed for about 0.5 seconds and a silicon precursor is pulsed for 0.5 seconds with an overlap of or during the pulse of hafnium precursor.
  • multiple pulses a first precursor and a second precursor may be pulsed during time period t 1 .
  • the hafnium precursor is introduced into the process chamber with a flow rate in a range from about 5 sccm to about 200 sccm.
  • the hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in a range from about 50 sccm to about 1,000 sccm.
  • the hafnium precursor may be pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the hafnium precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds.
  • the hafnium precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the hafnium precursor is preferably hafnium tetrachloride, while in other examples, the hafnium precursor is preferably TDEAH.
  • the hafnium precursor is generally dispensed into process chamber 280 by introducing a carrier gas through ampoule 282 containing the hafnium precursor, as depicted in FIG. 2A .
  • the carrier gas and the hafnium precursor form a precursor vapor that flows through conduit 283 into process chamber 280 .
  • the temperature of ampoule 282 is maintained at a temperature depending on the hafnium precursor within, such as in a range from about 20° C. to about 300° C.
  • ampoule 282 contains HfCl 4 at a temperature in a range from about 150° C. to about 200° C.
  • ampoule 282 containing a liquid precursor may be pressurized to transfer the liquid precursor to injector valve system 281 .
  • ampoule 282 containing a liquid precursor may be pressurized at a pressure in a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 100° C. or less, preferably in a range from about 20° C. to about 60° C.
  • Injector valve system 281 combines the liquid precursor with a carrier gas to form a precursor vapor that is injected into process chamber 280 .
  • a carrier gas may include nitrogen, argon, helium, hydrogen or combinations thereof and the carrier may be pre-heated to a temperature in a range from about 85° C. to about 150° C.
  • the silicon precursor is introduced into the process chamber with a flow rate in a range from about 5 sccm to about 200 sccm or with a flow rate in a range from about 1 mg/min to about 50 mg/min, preferably from about 5 mg/min to about 25 mg/min.
  • the silicon precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in a range from about 50 sccm to about 1,000 sccm.
  • the silicon precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the silicon precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds.
  • the silicon precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the silicon precursor is preferably Tris-DMAS or TDMAS, while in other examples, the silicon precursor is preferably silane.
  • the hafnium precursor and the silicon precursor may be combined prior to pulsing into the process chamber.
  • the hafnium/silicon precursor mixture is formed by combining a proportional amount of a hafnium precursor and a silicon precursor in order to achieve a desired Hf:Si ratio within the deposited hafnium-containing material.
  • a process gas containing the hafnium/silicon precursor mixture may be formed by flowing a carrier gas through the precursor mixture within an ampoule.
  • the hafnium/silicon precursor mixture is sequentially pulsed with the oxidizing gas by an ALD process to form a hafnium-containing material, such as a hafnium silicate material.
  • Hafnium silicates deposited by the processes described herein have the empirical chemical formula HfSi y O x , wherein y may be adjusted by varying the molar ratio of the hafnium precursor and the silicon precursor within the hafnium/silicon precursor mixture. For example, if the ratio of hafnium precursor to silicon precursor is greater than 1, than y is probably less than 1. However, if the ratio of hafnium precursor to silicon precursor is less than 1, than y is probably greater than 1.
  • the oxidizing gas is introduced into process chamber 280 with a flow rate in a range from about 20 sccm to about 1,000 sccm, preferably in a range from about 50 sccm to about 200 sccm.
  • the oxidizing gas is pulsed into process chamber 280 at a rate in a range from about 0.1 seconds to about 10 seconds.
  • the oxidizing gas is pulsed at a rate in a range from about 1 second to about 3 seconds, for example, about 1.7 seconds.
  • the oxidizing gas is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • the oxidizing gas is produced from WVG system 286 that is in fluid communication to process chamber 280 by conduit 287 .
  • the hydrogen source gas and the oxygen source gas each flow into WVG system 286 with a flow rate in a range from about 20 sccm to about 200 sccm.
  • the flow rate of the oxygen source gas is higher than the flow rate of the hydrogen source gas, for example, the hydrogen source gas has a flow rate of about 100 sccm and the oxygen source gas has a flow rate of about 120 sccm. Therefore, the water vapor flowing from WVG system 286 is enriched with oxygen.
  • the outflow of oxidizing gas includes partial flow rates of water vapor of about 100 sccm and oxygen of about 70 sccm.
  • the hydrogen source gas has a flow rate of about 250 sccm and the oxygen source gas has a flow rate of about 100 sccm. Therefore, the water vapor flowing from the WVG system is enriched with hydrogen.
  • the pulses of a purge gas, such as argon or nitrogen, during steps 330 and 350 are typically introduced with a flow rate in a range from about 2 slm to about 22 slm, preferably about 10 slm.
  • Each process cycle (steps 320 through 350 ) may occur for a time period in a range from about 0.5 seconds to about 20 seconds. In one example, the process cycle lasts about 10 seconds. In another example, the process cycle lasts about 2 seconds.
  • an alternative oxidizing gas such as a traditional oxidant, may be used instead of the oxidizing gas containing water vapor formed from a WVG system.
  • the alternative oxidizing gas is introduced into the process chamber from an oxygen source containing water not derived from a WVG system, oxygen (O 2 ), ozone (O 3 ), atomic-oxygen (O), hydrogen peroxide (H 2 O 2 ), nitrous oxide (N 2 O), nitric oxide (NO), dinitrogen pentoxide (N 2 O 5 ), nitrogen dioxide (NO 2 ), derivatives thereof or combinations thereof.
  • embodiments of the invention provide processes that benefit from oxidizing gas containing water vapor formed from a WVG system, other embodiments provide processes that utilize the alternative oxidizing gas or traditional oxidants while forming hafnium-containing materials and other dielectric materials during deposition processes described herein.
  • precursors are within the scope of embodiments of the invention for depositing the dielectric materials described herein.
  • One important precursor characteristic is to have a favorable vapor pressure.
  • Precursors at ambient temperature and pressure may be gas, liquid or solid. However, volatilized precursors are used within the ALD chamber.
  • Organometallic compounds contain at least one metal atom and at least one organic-containing functional group, such as amides, alkyls, alkoxyls, alkylaminos or anilides.
  • Precursors may include organometallic, inorganic or halide compounds.
  • hafnium precursors include hafnium compounds containing ligands such as halides, alkylaminos, cyclopentadienyls, alkyls, alkoxides, derivatives thereof or combinations thereof.
  • Hafnium halide compounds useful as hafnium precursors may include HfCl 4 , Hfl 4 , and HfBr 4 .
  • Hafnium alkylamino compounds useful as hafnium precursors include (RR′N) 4 Hf, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl.
  • Hafnium precursors useful for depositing hafnium-containing materials include (Et 2 N) 4 Hf, (Me 2 N) 4 Hf, (MeEtN) 4 Hf, ( t BuC 5 H 4 ) 2 HfCl 2 , (C 5 H 5 ) 2 HfCl 2 , (EtC 5 H 4 ) 2 HfCl 2 , (Me 5 C 5 ) 2 HfCl 2 , (Me 5 C 5 )HfCl 3 , ( i PrC 5 H 4 ) 2 HfCl 2 , ( i PrC 5 H 4 )HfCl 3 , ( t BuC 5 H 4 ) 2 HfMe 2 , (acac) 4 Hf, (hfac) 4 Hf, (tfac) 4 Hf, (thd) 4 Hf, (NO 3 ) 4 Hf, ( t BuO) 4 Hf, ( i PrO) 4 Hf, (EtO)
  • silicon precursors useful for depositing silicon-containing materials include silanes, alkylaminosilanes, silanols or alkoxy silanes, for example, silicon precursors may include (Me 2 N) 4 Si, (Me 2 N) 3 SiH, (Me 2 N) 2 SiH 2 , (Me 2 N)SiH 3 , (Et 2 N) 4 Si, (Et 2 N) 3 SiH, (MeEtN) 4 Si, (MeEtN) 3 SiH, Si(NCO) 4 , MeSi(NCO) 3 , SiH 4 , Si 2 H 6 , SiCl 4 , Si 2 Cl 6 , MeSiCl 3 , H 2 SiCl 3 , Me 2 SiCl 2 , H 2 SiCl 2 , MeSi(OH) 3 , Me 2 Si(OH) 2 , (MeO) 4 Si, (EtO) 4 Si or derivatives thereof.
  • higher silanes are used as silicon precursors within some embodiments of the invention. Higher silanes are disclosed in commonly assigned U.S.
  • silicon precursors used during the deposition process herein include (Me 2 N) 3 SiH, (Et 2 N) 3 SiH, (Me 2 N) 4 Si, (Et 2 N) 4 Si or SiH 4 .
  • nitrogen may be added to the hafnium-containing materials and other dielectric materials deposited during processes described herein.
  • a hafnium oxide material may be nitrided to form a hafnium oxynitride material
  • a hafnium silicate material may be nitrided to form a hafnium silicon oxynitride material.
  • a hafnium silicate film is deposited silicon-rich and contains little or no nitrogen near the substrate/dielectric interface. As the film thickness is increased, more hafnium is incorporated into the film in order to increase the dielectric constant. Nitrogen may also be added to the bulk of the film to decrease the diffusion of dopants through the film. Alternatively, nitrogen may be added near the top of the film in order to provide a stable capping layer.
  • Nitrogen may also be added to hafnium-containing materials and other dielectrics materials by nitrogen bombardment, such as with a nitrogen plasma, annealing the substrate in a nitrogen-containing environment, and/or including a nitrogen precursor into an additional half reaction within the ALD cycle.
  • a nitrogen plasma process may include exposing the substrate surface to a plasma nitridation process after a half reaction, at the completion of an ALD cycle and/or at the completion of the deposition of a hafnium-containing material. For example, a nitridizing remote-plasma is exposed to a hafnium oxide film to form a hafnium oxynitride film or to a hafnium silicate film to form a hafnium silicon oxynitride film.
  • a hafnium-containing material deposited on a substrate is annealed in a nitrogen-containing environment, such as N 2 , NH 3 , N 2 H 4 , NO, N 2 O, atomic-N or combinations thereof.
  • the substrate is heated to a temperature in the range from about 800° C. to about 1,100° C. for a time period in the range from about 15 seconds to about 10 minutes.
  • a substrate containing a hafnium silicate film is thermally annealed at 900° C. for 1 minute in a chamber filled with NH 3 to form a hafnium silicon oxynitride film.
  • the hafnium silicon oxynitride material may be formed during an ALD process by providing cycles containing a hafnium precursor half reaction, a silicon precursor half reaction, a nitrogen precursor half reaction, and at least one oxidizing gas half reaction.
  • the nitrogen precursor half reaction may be added into the ALD process during a cycle at any ratio relative to the hafnium, silicon and oxygen precursor half reactions.
  • a nitrogen precursor half reaction is added at about every two ALD cycles of hafnium, silicon and oxygen precursor half reactions.
  • the cycle ratio can be varied in order to control the nitrogen ratio incorporated within film depth.
  • an ALD process may form a hafnium silicon oxynitride graded film with a higher concentration of nitrogen near the top of the film than in a lower portion of the film.
  • the top of the film containing the higher nitrogen concentration is about the upper 20% or less of the film, preferably, the upper 10% or less, and more preferably, the upper 5% or less.
  • the oxidizing gas contains water vapor formed from a WVG system.
  • Exemplary nitrogen precursors may include: NH 3 , N 2 , hydrazines (e.g., N 2 H 4 or MeN 2 H 3 ), amines (e.g., Me 3 N, Me 2 NH or MeNH 2 ), anilines (e.g., C 6 H 5 NH 2 ), organic azides (e.g., MeN 3 or Me 3 SiN 3 ), inorganic azides (e.g., NaN 3 or Cp 2 CoN 3 ), radical nitrogen compounds (e.g., N 3 , N 2 , N, NH or NH 2 ), derivatives thereof or combinations thereof.
  • Radical nitrogen compounds can be produced by heat, hot-wires or plasma.
  • a variety of metal oxides and metal silicates may be formed by sequentially pulsing metal precursors with oxidizing gas containing water vapor derived from a WVG system.
  • the ALD processes disclosed herein e.g., process sequences 100 , 200 and 300 ) above may be altered by substituting the hafnium and/or silicon precursors with other metal precursors to form additional dielectric materials, such as hafnium aluminates, titanium silicates, zirconium oxides, zirconium silicates, zirconium aluminates, tantalum oxides, tantalum silicates, titanium oxides, titanium silicates, silicon oxides, aluminum oxides, aluminum silicates, lanthanum oxides, lanthanum silicates, lanthanum aluminates, nitrides thereof, derivatives thereof or combinations thereof.
  • a combined process contains a first ALD process to form a first dielectric material and a second ALD process to form a second dielectric material.
  • the combined process may be used to produce a variety of hafnium-containing materials, for example, hafnium aluminum silicate or hafnium aluminum silicon oxynitride.
  • a dielectric stack material is formed by depositing a first hafnium-containing material on a substrate and subsequently depositing a second hafnium-containing material thereon.
  • the first and second hafnium-containing materials may vary in composition, so that one layer may contain hafnium oxide and the other layer may contain hafnium silicate.
  • the lower layer contains silicon.
  • Alternative metal precursors used during ALD processes described herein include ZrCl 4 , Cp 2 Zr, (Me 2 N) 4 Zr, (Et 2 N) 4 Zr, TaF 5 , TaCl 5 , ( t BuO) 5 Ta, (Me 2 N) 5 Ta, (Et 2 N) 5 Ta, (Me 2 N) 3 Ta(N t Bu), (Et 2 N) 3 Ta(N t Bu), TiCl 4 , Til 4 , ( i PrO) 4 Ti, (Me 2 N) 4 Ti, (Et 2 N) 4 Ti, AlCl 3 , Me 3 Al, Me 2 AlH, (AMD) 3 La, ((Me 3 Si)( t Bu)N) 3 La, ((Me 3 Si) 2 N) 3 La, ( t Bu 2 N) 3 La, ( i Pr 2 N) 3 La, derivatives thereof or combinations thereof.
  • the product materials may be used as high-k transistor gate dielectric materials, transistor gate interface engineering, high-k capacitor dielectric materials (DRAMs), seed layers, diffusion barrier layers, adhesion layers, insulator layers and functionalized surface groups for patterned surfaces (e.g., selective deposition).
  • DRAMs high-k capacitor dielectric materials
  • seed layers may be used as high-k transistor gate dielectric materials, transistor gate interface engineering, high-k capacitor dielectric materials (DRAMs), seed layers, diffusion barrier layers, adhesion layers, insulator layers and functionalized surface groups for patterned surfaces (e.g., selective deposition).
  • MEMS microelectromechanical systems
  • the materials formed during process described herein may be used as insulating or structural films.
  • FIG. 6 depicts a schematic cross-sectional view of process chamber 610 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein.
  • Process chamber 610 generally houses substrate support pedestal 648 , which is used to support a substrate (not shown).
  • Substrate support pedestal 648 is movable in a vertical direction inside process chamber 610 using displacement mechanism 648 A.
  • the substrate can be heated to some desired temperature prior to or during deposition.
  • substrate support pedestal 648 may be heated using embedded heating element 652 A.
  • Substrate support pedestal 648 may be resistively heated by applying an electric current from AC power supply 652 to heating element 652 A.
  • the substrate (not shown) is, in turn, heated by support pedestal 648 .
  • substrate support pedestal 648 may be heated using radiant heaters such as, for example, lamps (not shown).
  • Temperature sensor 650 A such as a thermocouple, is also embedded in substrate support pedestal 648 to monitor the temperature of pedestal 648 in a conventional manner. The measured temperature is used in a feedback loop to control AC power supply 652 for heating element 652 A, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • Vacuum pump 618 is used to evacuate process chamber 610 and to maintain the pressure inside process chamber 610 .
  • Gas manifold 634 through which process gases are introduced into process chamber 610 , is located above the substrate support pedestal 648 .
  • Gas manifold 634 is connected to a gas panel (not shown), which controls and supplies various process gases to process chamber 610 .
  • Gas manifold 634 Proper control and regulation of the gas flows to gas manifold 634 are performed by mass flow controllers (not shown) and microprocessor controller 670 .
  • Gas manifold 634 allows process gases to be introduced and uniformly distributed in process chamber 610 . Additionally, gas manifold 634 may optionally be heated to prevent condensation of any reactive gases within the manifold.
  • Gas manifold 634 includes a plurality of electronic control valves (not shown).
  • the electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to process chamber 610 with valve open and close cycles at a rate within a range from about 0.01 seconds to about 10 seconds, preferably from about 0.1 seconds to about 5 seconds, for example, a longer cycle may last about 3 seconds and a shorter cycle may last about 0.5 seconds.
  • Microprocessor controller 670 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines, as required, may be stored in the memory or executed by a remotely located source (e.g., computer or server).
  • the software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention.
  • the software routines may be performed in the hardware, as an application specific integrated circuit or other type of hardware implementation or a combination of software or hardware.
  • FIG. 7 is a schematic cross-sectional view of one embodiment of process chamber 680 including gas delivery apparatus 730 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition.
  • gas delivery apparatus 730 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition.
  • a detailed description for process chamber 680 is described in commonly assigned U.S. patent Ser. No. 10/032,284, filed Dec. 21, 2001, entitled, “Gas Delivery Apparatus and Method for Atomic Layer Deposition,” and published as U.S. 20030079686 and commonly assigned U.S. patent Ser. No. 10/281,079, filed Oct. 25, 2002, entitled, “Gas Delivery Apparatus for Atomic Layer Deposition,” and published as U.S. 20030121608, which are both incorporated herein in their entirety by reference.
  • atomic layer deposition ALD
  • rapid chemical vapor deposition and sequential vapor deposition as used herein refer to the sequential introduction of reactants or precursors to deposit a thin layer over a substrate structure.
  • the sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to a desired thickness.
  • a reactant mixture containing more than one precursor e.g., a hafnium precursor and a silicon precursor
  • another precursor e.g., water vapor.
  • the process chamber 680 may also be adapted for other deposition techniques.
  • Process chamber 680 contains chamber body 682 having sidewalls 684 and bottom 686 .
  • Slit valve 688 in process chamber 680 provides access for a robot (not shown) to deliver and retrieve substrate 690 , such as a semiconductor wafer with a diameter of 200 mm or 300 mm or a glass substrate, from process chamber 680 .
  • Substrate support 692 supports substrate 690 on substrate receiving surface 691 in process chamber 680 .
  • Substrate support 692 is mounted to lift motor 714 to raise and lower substrate support 692 and substrate 690 disposed thereon.
  • Lift plate 716 connected to lift motor 718 is mounted in process chamber 680 and raises and lowers pins 720 movably disposed through substrate support 692 .
  • Pins 720 raise and lower substrate 690 over the surface of substrate support 692 .
  • Substrate support 692 may include a vacuum chuck, an electrostatic chuck or a clamp ring for securing substrate 690 to substrate support 692 during a process.
  • Substrate support 692 may be heated to increase the temperature of substrate 690 disposed thereon.
  • substrate support 692 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above substrate support 692 .
  • Purge ring 722 may be disposed on substrate support 692 to define purge channel 724 which provides a purge gas to a peripheral portion of substrate 690 to prevent deposition thereon.
  • Gas delivery apparatus 730 is disposed at an upper portion of chamber body 682 to provide a gas, such as a process gas and/or a purge gas, to process chamber 680 .
  • Vacuum system 778 is in communication with pumping channel 779 to evacuate any desired gases from process chamber 680 and to help maintain a desired pressure or a desired pressure range inside pumping zone 766 of process chamber 680 .
  • process gas and/or purge gas enters process chamber 680 normal (i.e., 90°) with respect to the plane of substrate 690 via gas delivery apparatus 730 . Therefore, the surface of substrate 690 is symmetrically exposed to gases that allow uniform film formation on substrates.
  • the process gas may include a hafnium-containing compound (e.g., TDEAH or HfCl 4 ) during one pulse and an oxidizing gas (e.g., water vapor derived from a WVG system) in another pulse.
  • Process chamber 680 may produce a more uniform film than chamber 610 , depicted in FIG. 6 . Also, process chamber 680 employs a smaller cycle time than process chamber 610 , since process chamber 680 usually takes less time to purge and less time to saturate a substrate with precursor than process chamber 610 . Therefore, process chambers 610 and 680 may dose a hafnium-containing compound for about 20 seconds or less, preferably process chamber 680 may dose the hafnium-containing compound for about 10 seconds or less, more preferably for about 5 seconds or less, for example, about 3 seconds or about 0.5 seconds.
  • gas delivery apparatus 730 comprises chamber lid 732 .
  • Chamber lid 732 includes expanding channel 734 extending from a central portion of chamber lid 732 and bottom surface 760 extending from expanding channel 734 to a peripheral portion of chamber lid 732 .
  • Bottom surface 760 is sized and shaped to substantially cover substrate 690 disposed on substrate support 692 .
  • Chamber lid 732 may have choke 762 at a peripheral portion of chamber lid 732 adjacent the periphery of substrate 690 .
  • Cap portion 772 includes a portion of expanding channel 734 and gas inlets 736 A, 736 B.
  • Expanding channel 734 has gas inlets 736 A, 736 B to provide gas flows from two similar valves 742 A, 742 B. The gas may be provided together and/or separately from valves 742 A, 742 B.
  • chamber lid 732 is made from a metallic material such as stainless steel (e.g., iron-chromium alloys optionally containing nickel), aluminum, derivatives thereof, alloys thereof or combinations thereof.
  • chamber lid 732 contains a thermally insulating material, such as fused quartz, sapphire, pyrolytic boron nitrite (PBN) material, ceramic, derivatives thereof or combinations thereof.
  • a thermally insulating liner is added to chamber lid 732 covering a substantial portion of expanding channel 734 and bottom surface 760 (not shown).
  • expanding channel 734 and bottom surface 760 may be machined into chamber lid 732 composed of a thermally insulating material.
  • slit valve 688 contains liner 687
  • side walls 684 contain liner 683
  • bottom surfaces 685 contains liner 689 .
  • valve 742 A and valve 742 B are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source.
  • valve 742 A is coupled to reactant gas source 738 and valve 742 B is coupled to reactant gas source 739 , and both valves 742 A, 742 B are coupled to purge gas source 740 .
  • Valves 742 A, 742 B each include delivery line 743 A, 743 B having valve seat assembly 744 A, 744 B and includes purge line 745 A, 745 B having valve seat assembly 746 A, 746 B in fluid with valves 752 A, 752 B.
  • Delivery line 743 A, 743 B is in fluid communication with reactant gas source 738 , 739 and is in fluid communication with gas inlets 736 A, 736 B of expanding channel 734 . Additional reactant gas sources, delivery lines, gas inlets and valves may be added to gas delivery apparatus 730 in alternative embodiments (not shown).
  • Valve seat assembly 744 A, 744 B of delivery line 743 A, 743 B controls the flow of the reactant gas from reactant gas sources 738 , 739 to expanding channel 734 .
  • Purge line 745 A, 745 B is in fluid communication with purge gas source 740 and intersects delivery line 743 A, 743 B downstream of valve seat assembly 744 A, 744 B of delivery line 743 A, 743 B.
  • Valve seat assembly 746 A, 746 B of purge line 745 A, 745 B controls the flow of the purge gas from purge gas source 740 to delivery line 743 A, 743 B.
  • a carrier gas is used to deliver reactant gases from reactant gas source 738 , 739 , the same gas may be used as a carrier gas and a purge gas (e.g., nitrogen used as a carrier gas and a purge gas).
  • Each valve seat assembly 744 A, 744 B, 746 A, 746 B may comprise a diaphragm and a valve seat.
  • the diaphragm may be biased open or closed and may be actuated closed or open respectively.
  • the diaphragms may be pneumatically actuated or may be electrically actuated. Examples of pneumatically actuated valves include pneumatically actuated valves available from Fujikin and Veriflow. Examples of electrically actuated valves include electrically actuated valves available from Fujikin.
  • Programmable logic controllers 748 A, 748 B may be coupled to valves 742 A, 742 B to control actuation of the diaphragms of valve seat assemblies 744 A, 744 B, 746 A, 746 B of valves 742 A, 742 B.
  • Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds.
  • Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds.
  • Generally pneumatically and electrically actuated valves may provide pulses of gases in time periods as high as about 3 seconds.
  • a typical ALD process utilizes ALD valves to generate pulses of gas while being opened for an interval of about 5 seconds or less, preferably about 3 seconds or less, and more preferably about 2 seconds or less.
  • an ALD valve pulses for an interval in a range from about 0.005 seconds to about 3 seconds, preferably from about 0.02 seconds to about 2 seconds and more preferably from about 0.05 seconds to about 1 second.
  • An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • Each valve 742 A, 742 B may be a zero dead volume valve to enable flushing of a reactant gas from delivery line 743 A, 743 B when valve seat assembly 744 A, 744 B of the valve is closed.
  • purge line 745 A, 745 B may be positioned adjacent valve seat assembly 744 A, 744 B of delivery line 743 A, 743 B.
  • purge line 745 A, 745 B may provide a purge gas to flush delivery line 743 A, 743 B.
  • warmed purge gas e.g., about 50° C.
  • a zero dead volume valve as used herein is defined as a valve which has negligible dead volume (i.e., not necessary zero dead volume).
  • Each valve 742 A, 742 B may be adapted to provide a combined gas flow and/or separate gas flows of reactant gas 738 , 739 and purge gas 740 .
  • a combined gas flow of reactant gas 738 and purge gas 740 provided by valve 742 A comprises a continuous flow of a purge gas from purge gas source 740 through purge line 745 A and pulses of a reactant gas from reactant gas source 738 through delivery line 743 A.
  • the continuous flow of the purge gas may be provided by opening the diaphragm of valve seat assembly 746 A of purge line 745 A.
  • the pulses of the reactant gas from reactant gas source 738 may be provided by opening and closing the diaphragm of valve seat 744 A of delivery line 743 A.
  • one example of separate gas flows of reactant gas 738 and purge gas 740 provided by valve 742 A comprises pulses of a purge gas from purge gas source 740 through purge line 745 A and pulses of a reactant gas from reactant gas source 738 through delivery line 743 A.
  • the pulses of the purge gas may be provided by opening and closing the diaphragm of valve seat assembly 746 A of purge line 745 A.
  • the pulses of the reactant gas from reactant gas source 738 may be provided by opening and closing diaphragm valve seat 744 A of delivery line 743 A.
  • Delivery lines 743 A, 743 B of valves 742 A, 742 B may be coupled to gas inlets 736 A, 736 B through gas conduits 750 A, 750 B.
  • Gas conduits 750 A, 750 B may be integrated or may be separate from valves 742 A, 742 B.
  • valves 742 A, 742 B are coupled in close proximity to expanding channel 734 to reduce any unnecessary volume of delivery line 743 A, 743 B and gas conduits 750 A, 750 B between valves 742 A, 742 B and gas inlets 736 A, 736 B.
  • expanding channel 734 comprises a channel which has an inner diameter which increases from an upper portion to a lower portion of expanding channel 734 adjacent bottom surface 760 of chamber lid 732 .
  • the inner diameter of expanding channel 734 for a chamber adapted to process 200 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at upper portion 737 of the expanding channel 734 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.1 inches (2.79 cm) and about 2.0 inches (5.08 cm) at lower portion 735 of expanding channel 734 .
  • the inner diameter of expanding channel 734 for a chamber adapted to process 300 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at upper portion 737 of the expanding channel 734 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.2 inches (3.05 cm) and about 2.2 inches (5.59 cm) at lower portion 735 of expanding channel 734 for a 300 mm substrate.
  • the above dimension apply to an expanding channel adapted to provide a total gas flow rate in a range from about 500 sccm to about 3,000 sccm.
  • the dimension may be altered to accommodate a certain gas flow therethrough.
  • a larger gas flow will require a larger diameter expanding channel.
  • expanding channel 734 may be shaped as a truncated cone (including shapes resembling a truncated cone). Whether a gas is provided toward the walls of expanding channel 734 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through expanding channel 734 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of substrate 690 .
  • the diameter of expanding channel 734 which is gradually increasing from upper portion 737 to lower portion 735 of expanding channel 734 , allows less of an adiabatic expansion of a gas through expanding channel 734 which helps to control the temperature of the gas.
  • a sudden adiabatic expansion of a gas delivered through gas inlet 736 A, 736 B into expanding channel 734 may result in a drop in the temperature of the gas which may cause condensation of the precursor vapor and formation of particles.
  • a gradually expanding channel 734 according to embodiments of the present invention is believed to provide less of an adiabatic expansion of a gas.
  • the gradually expanding channel 734 may comprise one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or combinations thereof or may comprise sections of one or more tapered inner surfaces (i.e., a portion tapered and a portion non-tapered).
  • gas inlets 736 A, 736 B are located adjacent upper portion 737 of expanding channel 734 .
  • one or more gas inlets 736 A, 736 B may be located along the length of expanding channel 734 between upper portion 737 and lower portion 735 .
  • gas flowing from gas inlets 736 A, 736 B into and through expanding channel 734 of chamber lid 732 forms a circular flow.
  • the exact flow pattern through expanding channel 734 is not known, it is believed that the circular flow may travel with a flow pattern such as a vortex flow, a helix flow, a spiral flow or derivative thereof through expanding channel 734 .
  • the circular flow may be provided in a processing region located between lower portion 735 and substrate receiving surface 691 as opposed to in a compartment separated from substrate 690 .
  • the vortex flow may help to establish a more efficient purge of expanding channel 734 due to the sweeping action of the circular flow across the inner surface of expanding channel 734 .
  • a circular gas flow provides a consistent and conformal delivery of gas across the surface of substrate 690 .
  • control unit 780 such as a programmed personal computer, work station computer, or the like, may be coupled to process chamber 680 to control processing conditions.
  • control unit 780 may be configured to control flow of various process gases and purge gases from gas sources 738 , 739 , 740 through valves 742 A, 742 B during different stages of a substrate process sequence.
  • control unit 780 comprises central processing unit (CPU) 782 , support circuitry 784 , and memory 786 containing associated control software 783 .
  • Control unit 780 may also be configured to control WVG system 286 and/or regulate ampoule 282 .
  • Control unit 780 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • CPU 782 may use any suitable memory 786 , such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to CPU 782 for supporting process chamber 680 .
  • Control unit 780 may be coupled to another controller that is located adjacent individual chamber components, such as programmable logic controllers 748 A, 748 B of valves 742 A, 742 B. Bi-directional communications between control unit 780 and various other components of process chamber 680 are handled through numerous signal cables collectively referred to as signal buses 788 , some of which are illustrated in FIG.
  • control unit 780 may be configured to be responsible for automated control of other activities used in wafer processing, such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
  • process chamber 680 may be adapted to receive three or more gas flows together, partially together (i.e., two of three gas flows together) or separately through three or more gas inlets from connected to three or more gas conduits. Each conduit is coupled to a single or plurality of valves. Further disclosure of process chamber 680 adapted to flow three or more process gas flows is described in commonly assigned U.S. patent Ser. No. 10/032,284, filed Dec. 21, 2001, entitled, “Gas Delivery Apparatus and Method for Atomic Layer Deposition,” and published as U.S. 20030079686, which is incorporated herein by reference.
  • the three gas flows may contain a hafnium precursor, a silicon precursor and an oxidizing gas, where, the first flow includes TDEAH, TDMAH or HfCl 4 , the second flow includes TDMAS, Tris-DMAS or silane and the third flow includes an oxidizing gas containing water vapor from a WVG system.
  • FIG. 8 depicts a schematic cross-sectional view of process chamber 810 that may be used to perform integrated circuit fabrication in accordance with embodiments described herein.
  • Process chamber 810 is similar in function to process chamber 680 and contains thermally insulating materials to operate at high temperatures (e.g., ⁇ 800° C.).
  • the process chamber 810 contains liners made from a thermally insulating material, such as fused quartz, sapphire, pyrolytic boron nitrite (PBN) material, ceramic, derivatives thereof or combinations thereof.
  • the gas delivery apparatus 730 from process chamber 680 may be adapted to be used on process chamber 810 .
  • Process chamber 810 generally houses substrate support pedestal 812 , which is used to support substrate 802 .
  • Substrate support pedestal 812 is rotatable and vertically movable within process chamber 810 .
  • Substrate support pedestal 812 may contain a heating element to control the temperature of substrate 802 thereon.
  • Cap portion 872 is disposed on lid 832 of process chamber 810 and contains gas inlets 836 a , 836 b , 836 c and 836 d .
  • Cap portion 872 may also contain adapter 874 for a microwave apparatus or a remote plasma apparatus used during a plasma process, such as a PE-ALD process, a pre-clean process or a nitridation process. Alternatively, adapter 874 is absent from cap portion 872 .
  • Gas delivery system 811 is connected to process chamber 810 through cap portion 872 .
  • Gas delivery system 811 contains at least one and as many as about ten componential sets of gas inlet 836 , conduit system 841 , valve 843 and/or valve 845 and source 842 and/or source 844 . As illustrated in FIG.
  • gas delivery system 811 contains four componential sets containing gas inlets 836 a , 836 b , 836 c and 836 d , conduit systems 841 a , 841 b , 841 c and 841 d , valves 843 a , 843 b , 843 c and 843 d , valves 845 a , 845 b , 845 c and 845 d , sources 842 a , 842 b , 842 c , 842 d , and sources 844 a , 844 b , 844 c and 844 d.
  • conduit system 841 may further contain gradually expanding gas conduits forming nozzles at the ends that are also positioned in fluid communication with gas inlets 836 a , 836 b , 836 c and 836 d .
  • the nozzles or ends that are useful in some embodiments described herein are further described in commonly assigned U.S. patent Ser. No. 11/119,388, filed Apr. 29, 2005, entitled, “Control of Gas Flow and Delivery to Suppress the Formation of Particles in an MOCVD/ALD System,” which is incorporated herein by reference to support disclosure of the gradually expanding gas conduits.
  • the gas conduit geometry prevents large temperature drops by providing passing gases a means to gradually expand through an increasing tapered flow channel.
  • the flow channel transitions from the cross-sections of delivery gas lines with internal diameter in a range from about 3 mm to about 15 mm to gas inlet 836 with a larger diameter in a range from about 10 mm to about 20 mm over a distance in a range from about 30 mm to about 100 mm.
  • a gradual increase of the diameter of a flow channel allows the expanding gases to be in near equilibrium and prevents a rapid lost of heat to maintain a substantially constant temperature.
  • Expanding gas conduits may comprise one or more tapered inner surfaces such as a tapered straight surface, a concave surface, a convex surface, derivatives thereof or combinations thereof or may comprise sections of one or more tapered inner surfaces (e.g., a portion tapered and a portion non-tapered).
  • Conduit system 841 contains one or several conduits and tubes connecting gas inlets 836 , valves 843 and 845 and sources 842 and 844 .
  • Valve 843 controls the introduction of precursors or gases from source 842 to gas inlet 836 and valve 845 controls the introduction of precursors or gases from source 844 to gas inlet 836 .
  • Valves 843 and 845 may include a valve and a valve seat assembly containing a diaphragm and a valve seat.
  • Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds.
  • Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds.
  • pneumatically and electrically actuated valves may provide pulses of gases in time periods as high as about 3 seconds.
  • a typical ALD process utilizes ALD valves that generate pulses of gas while being opened for an interval of about 5 seconds or less, preferably about 3 seconds or less, and more preferably about 2 seconds or less.
  • an ALD valve pulses for an interval in a range from about 0.005 seconds to about 3 seconds, preferably from about 0.02 seconds to about 2 seconds and more preferably from about 0.05 seconds to about 1 second.
  • An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • a control unit such as a programmed personal computer, work station computer, or the like, may be included with process chamber 810 , including valves 843 and 845 , sources 842 and 844 , vacuum system 833 , substrate support 812 , WVG system 286 and ampoule 282 to control processing conditions as described herein.
  • Sources 842 and 844 may provide a precursor source, a purge gas source and/or a carrier gas source used during the deposition process.
  • a precursor source may include more than one chemical precursor (e.g., a hafnium precursor and a silicon precursor) and may include a carrier gas.
  • a precursor source includes ampoules, bubblers, tanks, containers or cartridges.
  • a precursor source includes a water vapor generator (WVG) system in fluid communication with gas delivery system 811 as described herein.
  • WVG water vapor generator
  • a purge gas source and/or a carrier gas source usually a tank, a container, a cartridge or an in-house plumbed supply system, may provide nitrogen, argon, helium, hydrogen, forming gas or combinations thereof to gas delivery system 811 .
  • Gas inlets 836 a , 836 b , 836 c and 836 d may be located along the length of expanding channel 834 within cap portion 872 .
  • gas flowing from gas inlets 836 a , 836 b , 836 c and 836 d into and through expanding channel 834 forms a circular flow.
  • the exact flow pattern through expanding channel 834 is not known, it is believed that the circular flow may travel with a flow pattern such as a vortex flow, a helix flow, a spiral flow or derivative thereof through the expanding channel 834 .
  • the circular flow may be provided in a processing region located between funnel liner 820 and substrate support 812 as opposed to in a compartment separated from substrate 802 .
  • the vortex flow may help to establish a more efficient purge of the processing region due to the sweeping action of the circular flow across the inner surface of expanding channel 834 .
  • a circular gas flow provides a consistent and conformal delivery of gas across the surface of substrate 802 .
  • FIGS. 8 and 9 A- 9 B depict schematic views of thermally insulating liners that may be used within process chamber 810 and other process chambers during deposition processes described herein.
  • Expanding channel 834 may be formed within cap portion 872 and between funnel liner 820 .
  • Thermal isolator 870 is disposed around cap portion 872 .
  • Funnel liner 820 may be held against to the underside of lid 832 by retaining ring liner 819 by aligning ledge surface 817 of retaining ring liner 819 with ledge surface 818 of funnel liner 820 .
  • Retaining ring liner 819 may be attached to the underside of lid 832 by fasteners 837 , such as fittings, bolts, screws or pins.
  • fastener 837 is a fitting inserted and set into groove 816 of retaining ring liner 819 .
  • Funnel liner 820 may also contain several pins 838 that are loosely fitted to provide the funnel liner 820 freedom to thermally expand while under a heating process.
  • funnel liner 820 becomes aligned and centered with substrate 802 after being thermally expanded.
  • funnel liner 820 and retaining ring liner 819 may be formed as a single piece.
  • Process chamber 810 may further contain upper process liner 822 and lower process liner 824 .
  • Lower process liner 824 is disposed on bottom surface 827 and upper process liner 822 is disposed on lower process liner 824 and along wall surface 830 of chamber body 803 .
  • Slip valve liner 826 is positioned to protrude through upper process liner 822 and into process region 815 .
  • Liners including funnel liner 820 , retaining ring liner 819 , upper process liner 822 , lower process liner 824 and slip valve liner 826 are thermally insulating material, such as fused quartz, sapphire, PBN material, ceramic, derivatives thereof or combinations thereof.
  • the liners are stressed relieved to prevent failure to thermal cycling during start-up and cool-down cycles of the deposition processes described herein.
  • the liners are capable of withstanding temperatures of about 800° C. or higher, preferably about 1,000° C. or higher, more preferably about 1,200° C. or higher.
  • the liners are flame polished to achieve a surface finish of about 2 microinches (about 0.051 ⁇ m) or less. The polished finish provides a smooth surface so that process reactants are delivered with little or no turbulence, as well as minimizes nucleation sites on the liners that may undesirably promote film growth thereon.
  • flame polishing removes surface flaws (e.g., pits and cracks) to minimize the nucleation of thermal stress-induced cracks.
  • Purge line 829 is a chamber back side purge line disposed from the bottom of chamber body 803 to chamber lid 832 and funnel liner 820 .
  • Purge line 829 is situated to allow a flow of purge gas between wall surface 830 and upper/lower process liners 822 and 824 and into process region 815 .
  • a source of purge gas may be connected to purge line 829 through inlets 804 .
  • Purge gas flowing through purge line 826 buffers wall surface 830 from contaminants and excessive heat that may escape process region 815 .
  • Contaminants include precursors or reaction products that may by-pass upper/lower process liners 822 and 824 to deposit on wall surface 830 .
  • heat originating from process region 815 may evade upper/lower process liners 822 and 824 and absorb into process body 803 .
  • a stream of purge gas flowing through purge line 826 transports contaminants and heat back into process region 815 .
  • Thermal choke plate 809 is disposed on the outside of chamber body 803 to prevent heat loss from process region 815 .
  • FIG. 9B depicts a schematic view of upper process liner 822 , lower process liner 824 and slip valve liner 826 .
  • Upper process liner 822 and lower process liner 824 may contain lift pin holes 821 and 823 to accept substrate lift pins (not shown) during movement of substrate 802 .
  • Upper process liner 822 and lower process liner 824 are positioned within the process chamber to align lift pin holes 821 with lift pin holes 823 .
  • Upper process liner 822 further contains vacuum port 835 accept exhaust adaptor 831 and slit valve port 825 to accept slip valve liner 826 .
  • Exhaust adaptor 831 is positioned through chamber body 803 and vacuum port 835 so that process region 815 is in fluid communication with vacuum system 833 .
  • Substrates pass through slip valve liner 826 to enter and exit process chamber 810 .
  • Slip valve liner 826 may also protrude through thermal choke plate 809 .
  • Choke gap 840 is a space formed between the bottom edge of funnel liner 820 and top of substrate support pedestal 812 . Choke gap 840 is a circumferential gap that may be varied depending on the process conditions and the required pumping efficiency. Choke gap 840 is increased by lowering substrate support pedestal 812 or decreased by raising substrate support pedestal 812 .
  • the pumping conductance from the pumping port (not shown) in the lower portion of process chamber 810 to the center of expanding channel 834 is modified by changing the distance of choke gap 840 to control the thickness and the uniformity of a film during deposition processes described herein.
  • FIG. 10 depicts a schematic view of process chamber lid assembly 1050 that may be used on the ALD process chambers described herein.
  • lid assembly 1050 may replace lid 832 and gas delivery system 811 on process chamber 810 .
  • lid assembly 1050 may replace lid 732 and gas delivery apparatus 730 on process chamber 680 .
  • Lid assembly 1050 contains valve manifold support 1030 disposed on lid 1032 .
  • Thermal isolators 1002 a and 1002 b separate valve manifold support 1030 from lid 1032 and any heat dissipating therefrom.
  • Conduits 1020 and 1022 traverse through lid 1032 to provide fluid communication from external sources or devices into the process chamber.
  • Valve manifold support 1030 contains adapter 1074 , valves 1043 a , 1043 b , 1043 c and 1043 d and valves 1045 a , 1045 b , 1045 c and 1045 d .
  • Adapter 1074 supports a microwave apparatus or a remote plasma apparatus used during a plasma process, such as a PE-ALD process, a pre-clean process or a nitridation process.
  • Valves 1043 a , 1043 b , 1043 c and 1043 d and valves 1045 a , 1045 b , 1045 c and 1045 d are connected by a conduit system (not shown) within valve manifold support 1030 .
  • Precursor sources, purge gas sources and/or carrier gas sources are in fluid communication with a process chamber through lid assembly 1050 during a deposition process.
  • lid assembly 1050 is plumbed with a conduit system similar to conduit system 841 within gas delivery system 811 .
  • a “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Processes of the embodiments described herein deposit hafnium-containing materials on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.
  • semiconductor wafers such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the two, three or more reactive compounds may alternatively be introduced into a reaction zone of a process chamber.
  • each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a first precursor or compound A is pulsed into the reaction zone followed by a first time delay.
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as nitrogen, is introduced into the process chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone.
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • a first precursor containing compound A, a second precursor containing compound B and a third precursor containing compound C are each separately pulsed into the process chamber.
  • a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors.
  • the ALD processes are maintained at a temperature in a range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., for example, about 350° C.
  • the ALD processes may be conducted having the process chamber at a pressure in the range from about 0.1 Torr to about 100 Torr, preferably from about 1 Torr to about 10 Torr.
  • a carrier gas e.g., N 2
  • An oxidizing gas containing water vapor was produced by a water vapor generator (WVG) system containing a metal catalyst, available from Fujikin of America, Inc., located in Santa Clara, Calif.
  • the WVG system formed the oxidizing gas from a hydrogen source gas and an oxygen source gas.
  • the substrates were exposed to an oxidizing gas containing water vapor from the WVG system for about during a pre-treatment process.
  • the pre-treatment process occurred for a period in a range from about 5 seconds to about 30 seconds.
  • Deposited materials were formed with a thickness in the range from about 2 ⁇ to about 1,000 ⁇ , preferably, from about 5 ⁇ to about 100 ⁇ , and more preferably, from about 10 ⁇ to about 50 ⁇ .
  • a hafnium oxide film is formed during an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas produced by a WVG system.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, HfCl 4 is heated within a precursor ampoule at a temperature in a range from about 150° C. to about 200° C.
  • a nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 3 seconds.
  • a purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound hafnium precursor.
  • Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, oxygen and/or water or any by-products such as HCl.
  • Each ALD cycle forms about 1 ⁇ of a hafnium oxide film.
  • a hafnium oxide film is formed during an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, HfCl 4 is heated within a precursor ampoule at a temperature in a range from about 150° C. to about 200° C.
  • a nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 0.5 seconds.
  • a purge gas of nitrogen is provided into the chamber for about 0.5 seconds to remove any unbound hafnium precursor.
  • Hydrogen gas and oxygen gas with the flow rate of about 50 sccm and about 60 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas coming from the WVG system contains water with a flow rate of about 50 sccm and oxygen with a flow rate of about 35 sccm.
  • the oxidizing gas is provided into the chamber for about 0.5 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 0.5 seconds to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water or any by-products, such as HCl.
  • Each ALD cycle forms about 2.5 ⁇ of a hafnium oxide film.
  • a hafnium silicate film is formed during with an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas followed by pulsing a silicon precursor with the oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, TDEAH, and silicon precursor, TDMAS are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium precursor.
  • Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for 5 seconds to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water or by-products.
  • a silicon precursor is provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound precursor or contaminant.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 5 seconds.
  • Each ALD cycle forms about 1 ⁇ of a hafnium silicate film.
  • a hafnium silicate film is formed during with an ALD process by sequentially pulsing a hafnium precursor with oxidizing gas followed by pulsing a silicon precursor with the oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, HfCl 4 , and silicon precursor, Tris-DMAS are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second.
  • Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm of water and oxygen with a flow rate of about 70 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water.
  • a silicon precursor is provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound precursor or contaminant.
  • the oxidizing gas is precursor into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is precursor into the chamber for about 5 seconds.
  • Each ALD cycle forms about 1 ⁇ of a hafnium silicate film.
  • a hafnium silicate film is formed during with an ALD process by simultaneously pulsing a hafnium precursor and a silicon precursor sequentially with oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, TDEAH, and silicon precursor, TDMAS are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas.
  • the hafnium precursor and the silicon precursor are each simultaneously provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium or silicon precursors.
  • Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas comes from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, silicon precursor, oxygen and/or water.
  • Each ALD cycle forms about 1 ⁇ of a hafnium silicate film.
  • a hafnium silicate film is formed during with an ALD process by simultaneously pulsing a hafnium precursor and a silicon precursor sequentially with oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, HfCl 4 , and silicon precursor, Tris-DMAS are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas.
  • the hafnium precursor and the silicon precursor are each simultaneously provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium or silicon precursors.
  • Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas comes from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, silicon precursor, oxygen and/or water.
  • Each ALD cycle forms about 1 ⁇ of a hafnium silicate film.
  • a hafnium oxide film is grown with an ALD process by sequentially pulsing a hafnium precursor with in-situ steam formed from a WVG system.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, HfCl 4 is heated within a precursor ampoule at a temperature from about 150° C. to about 200° C.
  • a nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 1.5 seconds.
  • a purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound hafnium precursor.
  • Forming gas (5 vol % H 2 with balance in N 2 ) and oxygen gas each with the flow rate of about 100 sccm are supplied to the WVG system.
  • the oxidizing gas comes from the WVG system contains water with a flow rate of about 2.5 sccm and oxygen with a flow rate of about 98 sccm.
  • the oxidizing gas is oxidizing gas into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, oxygen and/or water.
  • a hafnium silicate film is formed during with an ALD process by sequentially pulsing a hafnium precursor with oxidizing gas followed by pulsing a silicon precursor with the oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, TDEAH, and silicon precursor, TDMAS are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium precursor.
  • Forming gas (5 vol % H 2 with balance in N 2 ) and oxygen gas each with the flow rate of about 100 sccm are supplied to the WVG system.
  • the oxidizing gas comes from the WVG system contains water with a flow rate of about 2.5 sccm and oxygen with a flow rate of about 98 sccm.
  • the oxidizing gas is provided into the chamber for 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water or by-products.
  • a silicon precursor is provided into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound precursor or contaminant.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 5 seconds.
  • Each ALD cycle forms about 1 ⁇ of a hafnium silicate film.
  • a hafnium silicate film is formed during with an ALD process by simultaneously pulsing a hafnium precursor and a silicon precursor sequentially with oxidizing gas.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, TDEAH, and silicon precursor, TDMAS are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas.
  • the hafnium precursor and the silicon precursor are each simultaneously pulsed into the chamber for about 1 second.
  • a purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium or silicon precursors.
  • Forming gas (0.5 vol % H 2 with balance in N 2 ) and oxygen gas each with the flow rate of about 100 sccm are supplied to the WVG system.
  • the oxidizing gas from the WVG system contains water with a flow rate of about 0.25 sccm and oxygen with a flow rate of about 100 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, silicon precursor, oxygen and/or water.
  • Each ALD cycle forms about 1 ⁇ of a hafnium silicate film.
  • a hafnium oxide film is formed during an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas produced by a WVG system.
  • a substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon.
  • the hafnium precursor, TDEAH is heated within a precursor ampoule at a temperature of about 23° C.
  • a nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm.
  • the hafnium precursor saturates the carrier gas and is provided into the chamber for about 2 seconds.
  • a purge gas of nitrogen is provided into the chamber for about 1.5 seconds to remove any unbound hafnium precursor.
  • Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system.
  • the oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm.
  • the oxidizing gas is provided into the chamber for about 1.7 seconds.
  • the purge gas of nitrogen is provided into the chamber for about 1.5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, oxygen and/or water.
  • Each ALD cycle forms about 1.1 ⁇ of a hafnium oxide film.

Abstract

Embodiments of the invention provide methods for depositing dielectric materials on substrates during vapor deposition processes, such as atomic layer deposition (ALD). In one example, a method includes sequentially exposing a substrate to a hafnium precursor and an oxidizing gas to deposit a hafnium oxide material thereon. In another example, a hafnium silicate material is deposited by sequentially exposing a substrate to the oxidizing gas and a process gas containing a hafnium precursor and a silicon precursor. The oxidizing gas usually contains water vapor formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator. In another example, a method includes sequentially exposing a substrate to the oxidizing gas and at least one precursor to deposit hafnium oxide, zirconium oxide, lanthanum oxide, tantalum oxide, titanium oxide, aluminum oxide, silicon oxide, aluminates thereof, silicates thereof, derivatives thereof or combinations thereof.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 60/570,173, entitled, “Atomic Layer Deposition of Hafnium-containing High-k Materials,” filed May 12, 2004, which is herein incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to methods and apparatuses for depositing materials on a substrate, and more specifically, to methods and apparatuses for depositing high-k dielectric materials by vapor deposition processes.
  • 2. Description of the Related Art
  • In the field of semiconductor processing, flat-panel display processing or other electronic device processing, vapor deposition processes have played an important role in depositing materials on substrates. As the geometries of electronic devices continue to shrink and the density of devices continues to increase, the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 0.07 μm and aspect ratios of 10 or greater are being considered. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important.
  • While conventional chemical vapor deposition (CVD) has proved successful for device geometries and aspect ratios down to 0.15 μm, the more aggressive device geometries require an alternative deposition technique. One technique that is receiving considerable attention is atomic layer deposition (ALD). During an ALD process, reactant gases are sequentially introduced into a process chamber containing a substrate. Generally, a first reactant is pulsed into the process chamber and is adsorbed onto the substrate surface. A second reactant is pulsed into the process chamber and reacts with the first reactant to form a deposited material. A purge step is typically carried out between the delivery of each reactant gas. The purge step may be a continuous purge with the carrier gas or a pulse purge between the delivery of the reactant gases.
  • The formation of high-k dielectric materials by oxidizing metal and silicon precursors during an ALD process is known in the art. Ozone or atomic oxygen is a common oxidant or oxidizing source for ALD processes. A low process temperature may be advantageously maintained during the deposition process while forming the dielectric material due to the radical state of ozone and atomic oxygen. While the high reactivity at low temperature is an attribute of the radical oxidizing agents, undesirable side reactions are prevalent throughout the process chamber forming contaminants on the substrate. Alternatively, water or oxygen may be used as an oxidizing source to form dielectric materials during an ALD process. However, due to the moderate reactivity of water or oxygen, ALD processes generally require slower flow rates, longer exposure periods and higher temperatures than radical oxygen sources. Also, ALD processes that use water or oxygen require an extended purge period after each oxidizing pulse and therefore increase fabrication throughput. Furthermore, the slow flow rates and high temperatures usually increase contaminants on the substrate surface.
  • Steam oxidation processes have been used to passivate or oxidize metal or silicon materials during conventional CVD processes. In one example, water vapor is plumbed into the process chamber after being generated by boiling water contained within a secondary container. In another example, hydrogen gas and oxygen gas are fed into a process chamber preheated at a high temperature (e.g., >1,000° C.). In both examples, the generated water vapor reacts with a metal surface or a silicon surface to form dielectric materials, such as metal oxides or silicon oxides. While the aforementioned steam oxidation processes may produce an effective water vapor for use during a CVD process, the generated water vapor is not acceptable for use during an ALD process. Water vapor derived from these steam oxidation processes may cause contaminants on the substrate surface and modest control over process temperature or the contents of the oxidizing water vapor. Also, ALD processes require immediate access to reagents of a consistent composition that may be quantitatively delivered into the process chamber.
  • Therefore, there is a need for an apparatus and a process for depositing a dielectric material that generates an oxidizing gas at low temperatures, controls the composition of the oxidizing gas and the deposited dielectric materials, shortens process periods and minimizes contaminants.
  • SUMMARY OF THE INVENTION
  • In one embodiment, a method for forming a hafnium-containing material on a substrate positioned within a process chamber is provided which includes exposing the substrate to a hafnium precursor to form a hafnium-containing layer thereon, purging the process chamber, exposing the hafnium-containing layer to an oxidizing gas to form a hafnium oxide material thereon and again purging the process chamber. In one example, a silicon oxide material is deposited on the hafnium oxide material by exposing the substrate to a silicon precursor to form a silicon-containing layer thereon, purging the process chamber, exposing the substrate to the oxidizing gas to form a silicon oxide material thereon and again purging the process chamber. The method further includes that the oxidizing gas contains water vapor formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator. The water vapor generator has a catalyst that may contain palladium, platinum, nickel, iron, chromium, ruthenium, rhodium, combinations thereof or alloys thereof. The hydrogen source gas and/or the oxygen source gas may be diluted with an additional gas. For example, a forming gas containing about 5 vol % of hydrogen in nitrogen may be used as the hydrogen source gas. In some examples, an excess of oxygen source gas is provided into water vapor generator to provide the oxidizing gas with oxygen enriched water vapor. In other examples, the substrate is exposed to the oxidizing gas during a pre-soak process subsequent to depositing a hafnium-containing material or other dielectric materials.
  • In another embodiment, a method for depositing a hafnium-containing material on a substrate during an atomic layer deposition process is provided which includes positioning the substrate within a process chamber, flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to generate an oxidizing gas containing water vapor and sequentially exposing the substrate to the oxidizing gas and a process gas containing a hafnium precursor to form a hafnium-containing material on the substrate. In some examples, the process gas contains a secondary precursor, such as a silicon precursor or an aluminum precursor. The process gas may be formed by combining within the process chamber a gas containing the hafnium precursor and at least another gas containing the secondary precursor, such by providing a pulse of each gas containing the precursors. Alternatively, the process gas may be formed by vaporizing a reagent mixture containing at least the hafnium precursor and the secondary precursor. The deposited hafnium-containing material may contain hafnium oxide, hafnium silicate, hafnium silicon oxynitride, hafnium oxynitride, hafnium aluminate, derivatives thereof or combinations thereof.
  • In another embodiment, method for forming a dielectric material on a substrate during an atomic layer deposition process is provided which includes positioning a substrate within a process chamber and sequentially exposing the substrate to the oxidizing gas and at least one precursor, such as a hafnium precursor, a zirconium precursor, a silicon precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor or combinations thereof. The water vapor may be formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator. Examples of dielectric material that may be formed during the deposition process include hafnium oxide, hafnium silicate, zirconium oxide, zirconium silicate, lanthanum oxide, lanthanum silicate, tantalum oxide, tantalum silicate, titanium oxide, titanium silicate, aluminum oxide, aluminum silicate, silicon oxide, derivatives thereof or combinations thereof. In one example to form a hafnium silicate material, a substrate is sequentially exposed to the oxidizing gas and a process gas containing a hafnium precursor and a silicon precursor. In another example, a substrate is sequentially exposed to a hafnium precursor, the oxidizing gas, a silicon precursor and again the oxidizing gas.
  • In another embodiment, a method for forming a hafnium-containing dielectric stack on a substrate is provided which includes forming at least one hafnium oxide layer and at least one hafnium silicate layer. The method includes sequentially exposing the substrate to the oxidizing gas and a first process gas containing a hafnium precursor to form a first hafnium-containing material thereon and sequentially exposing the substrate to the oxidizing gas and a second process gas containing the hafnium precursor to form a second hafnium-containing material on the first hafnium-containing material. In one example, the first process gas also contains a silicon precursor. The method further includes forming an oxidizing gas containing water vapor by flowing a hydrogen source gas and an oxygen source gas into a water vapor generator.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 shows a process sequence for depositing a hafnium-containing material by an ALD process according to an embodiment described herein;
  • FIG. 2A depicts a schematic view of a process system configured according to an embodiment described herein;
  • FIG. 2B depicts a schematic view of a water vapor generator system according to an embodiment described herein;
  • FIG. 3 shows a process sequence for depositing a hafnium-containing material by an ALD process according to another embodiment described herein;
  • FIG. 4 shows a process sequence for depositing a hafnium-containing material by an ALD process according to another embodiment described herein;
  • FIGS. 5A-5E show some of the pulsing sequences for the hafnium and silicon precursors during ALD process according to embodiments described herein;
  • FIG. 6 depicts a schematic cross-sectional view of a process chamber that may be used during a deposition process according to an embodiment described herein;
  • FIG. 7 depicts a schematic cross-sectional view of another process chamber that may be used during a deposition process according to an embodiment described herein;
  • FIG. 8 depicts a schematic cross-sectional view of another process chamber that may be used during a deposition process according to an embodiment described herein;
  • FIGS. 9A-9B depict schematic views of thermally insulating liners that may be used with the process chambers according to embodiments described herein; and
  • FIG. 10 depicts a schematic view of process chamber lid assembly that may be used during a deposition process according to an embodiment described herein.
  • DETAILED DESCRIPTION
  • The invention provides methods for depositing hafnium-containing materials and other high-k dielectric materials on substrate surfaces by atomic layer deposition (ALD) processes. In one aspect, an ALD process is conducted by sequentially pulsing a hafnium precursor and an oxidizing gas into an ALD process chamber to form a hafnium-containing material. The oxidizing gas contains water vapor derived from a water vapor generator (WVG) system coupled to the ALD process chamber. The WVG system generates the oxidizing gas at low temperatures (e.g., <500° C.) by exposing a hydrogen source gas and an oxygen source gas to a catalyst. The composition of the oxidizing gas may be precisely controlled to provide water vapor enriched in various ratios of oxygen or hydrogen. The ALD processes utilizing the WVG system to produce water vapor have elemental control of the composition of the deposited dielectric materials, minimized contaminants on the substrate and rapid process times that increase fabrication throughput.
  • Process
  • FIG. 1 illustrates an exemplary process sequence 100 for forming a hafnium-containing material, such as hafnium oxide, according to one embodiment of the invention. A substrate is loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 110). Process conditions may include temperature of the substrate or the process chamber, chamber pressure and gas flow rates. The substrate may be exposed to an optional pre-soak process and purge prior to starting an ALD cycle (step 115). The substrate is exposed to a pulse of a hafnium precursor introduced into the process chamber alone or in combinations with a carrier gas for a time period in a range from about 0.1 seconds to about 5 seconds (step 120). A pulse of purge gas is then introduced into the processing chamber (step 130) to purge or otherwise remove any residual hafnium precursor or by-products. Next, a pulse of oxidizing gas is introduced into the processing chamber (step 140). The oxidizing gas may include a mixture of several oxidizing agents, such as water vapor and oxygen. A pulse of purge gas is again introduced into the process chamber (step 150) to purge or otherwise remove any residual oxidizing gas or by-products. Suitable carrier gases or purge gases may include helium, argon, nitrogen, hydrogen, forming gas, oxygen or combinations thereof.
  • A “pulse” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a process chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. The duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the particular compound. A “half-reaction” as used herein refers to an exposing step followed by a removing step. The exposing step provides introducing a reagent into the process chamber and adsorbing or chemically reacting the reagent on a substrate contained therein, such as a pulse of process gas containing the reagent. The purge step provides removing excess reagent or reaction by-products from the chamber introducing a gas (e.g., purge gas or carrier gas), evacuating with a vacuum system or combinations thereof.
  • Referring to step 160, after each deposition cycle (steps 120 through 150), a layer of a hafnium-containing material, such as hafnium oxide, is deposited on the substrate. Usually, each deposition cycle forms a layer with a thickness in the range from about 1 Å to about 10 Å. Depending on specific device requirements, subsequent deposition cycles may be needed to deposit hafnium-containing material having a desired thickness. As such, a deposition cycle (steps 120 through 150) may be repeated to achieve the predetermined thickness of the hafnium-containing material. Thereafter, process sequence 100 may be stopped as indicated at step 170. The hafnium oxide material formed by the deposition process has the empirical chemical formula HfOx. Hafnium oxide may have the molecular chemical formula HfO2, but by varying process conditions (e.g., timing, temperature or precursors), hafnium oxides may be less oxidized, such as HfO1.8. Preferably, hafnium oxide is deposited by the processes herein with the molecular chemical formula is HfO2 or the oxygen:hafnium concentration is less than 2.
  • A substrate may be exposed to a pretreatment process or a pre-soak process in order to terminate the substrate surface with a variety of functional groups, as depicted during step 115. Functional groups useful before starting a deposition process as described herein include hydroxyls (OH), alkoxy (OR, where R=Me, Et, Pr or Bu), haloxyls (OX, where X=F, Cl, Br or I), halides (F, Cl, Br or I), oxygen radicals and aminos (NR or NR2, where R=H, Me, Et, Pr or Bu). The pretreatment process may expose the substrate to a reagent, such as NH3, B2H6, SiH4, SiH6, H2O, HF, HCl, O2, O3, H2O, H2O2, H2, atomic-H, atomic-N, atomic-O, alcohols, amines, derivatives thereof or combination thereof. The functional groups may provide a base for an incoming chemical precursor to attach on the substrate surface. The pretreatment process may expose the substrate surface to the reagent for a period in a range from about 1 second to about 2 minutes, preferably from about 5 seconds to about 60 seconds.
  • In one embodiment, a pre-soak process may include optionally exposing the substrate to the oxidizing gas containing water vapor generated from the WVG system. The pre-soak process provides the substrate surface with hydroxyl terminated functional groups that react with precursors containing amino-type ligands (e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS) during a subsequent exposure. During a pre-soak process, the substrate surface may be exposed to the oxidizing gas containing water vapor for a time period in a range from about 3 seconds to about 90 seconds, preferably from about 5 seconds to about 60 seconds, and more preferably, from about 10 seconds to about 30 seconds. After the soak process, the process chamber is usually purged with a carrier gas or a purge gas to remove excess oxidizing gas and any volatile by-products therein. In an example of forming a hafnium-containing material, the substrate surface may be exposed for about 9 seconds to an oxidizing gas containing water vapor generated from the WVG system. Thereafter, the process chamber is purged for about 6 seconds and an ALD process cycle is initiated by providing a pulse of a process gas containing TDEAH or TDMAH. In other examples, such as for forming silicon-containing materials, the substrate surface may be exposed for about 15 seconds to an oxidizing gas containing water vapor generated from the WVG system. Thereafter, the process chamber is purged for about 10 seconds and an ALD process cycle is initiated by providing a pulse of a process gas containing TDMAS or Tris-DMAS.
  • The ALD process is typically conducted in a process chamber at a pressure in the range from about 1 Torr to about 100 Torr, preferably from about 1 Torr to about 20 Torr, and more preferably in a range from about 1 Torr to about 10 Torr. The temperature of the substrate is usually maintained in the range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., and more preferably from about 250° C. to about 500° C.
  • During step 120, the hafnium precursor is introduced into the process chamber at a rate in the range from about 5 standard cubic centimeters per minute (sccm) to about 200 sccm. The hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in the range from about 50 sccm to about 1,000 sccm. The hafnium precursor may be pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds, depending on the particular process conditions, hafnium precursor or desired composition of the deposited hafnium-containing material. In one embodiment, the hafnium precursor is pulsed into the process chamber at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the hafnium precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds. In one example, the hafnium precursor is preferably hafnium tetrachloride (HfCl4). In another example, the hafnium precursor is preferably a tetrakis(dialkylamino)hafnium compound, such as tetrakis(diethylamino)hafnium ((Et2N)4Hf or TDEAH).
  • The hafnium precursor is generally dispensed into process chamber 280 by introducing a carrier gas through ampoule 282 containing the hafnium precursor, as depicted in FIG. 2A. Ampoule 282 may include an ampoule, a bubble, a cartridge or other container used for containing or dispersing chemical precursors. A suitable ampoule, such as the PROE-VAP™, is available from Advanced Technology Materials, Inc., located in Danbury, Conn. Ampoule 282 is in fluid communication with process chamber 280 by conduit 283. Conduit 283 may be a tube, a pipe, a line, a hose or other conduits known in the art. Also, ampoule 282 is at distance 284 from process chamber 280. Distance 284 is usually less than about 2 meters preferably, less than about 1.25 meters, and more preferably about 0.7 meters or less. Distance 284 may be minimized in order to maintain consistent hafnium precursor flow. Also, while conduit 283 may be straight or have bends, conduit 283 is preferably straight or has as few bends as possible. Conduit 283 may be wrapped with a heating tape to maintain a predetermined temperature. The temperature of ampoule 282 is maintained at a temperature depending on the hafnium precursor within, such as in a range from about 20° C. to about 300° C. In one example, ampoule 282 contains HfCl4 at a temperature in a range from about 150° C. to about 200° C.
  • In one embodiment, ampoule 282 may be part of a liquid delivery system containing injector valve system 281. Injector valve system 281 is connected to ampoule 282 and process chamber 280 by conduit 283. A source of carrier gas is usually connected to injected valve system 281 (not shown). Ampoule 282 containing a liquid precursor (e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS) may be pressurized to transfer the liquid precursor to injector valve system 281. Generally, ampoule 282 containing a liquid precursor may be pressurized at a pressure in a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 100° C. or less, preferably in a range from about 20° C. to about 60° C. Injector valve system 281 combines the liquid precursor with a carrier gas to form a precursor vapor that is injected into process chamber 280. A carrier gas may include nitrogen, argon, helium, hydrogen or combinations thereof and the carrier may be pre-heated to a temperature in a range from about 85° C. to about 150° C. A suitable injector valve is available from Horiba-Stec, located in Kyoto, Japan.
  • During step 140, the oxidizing gas is introduced to process chamber 280 with a flow a rate in the range from about 0.05 sccm to about 1,000 sccm, preferably in the range from about 0.5 sccm to about 100 sccm. The oxidizing gas is pulsed into process chamber 280 at a rate in a range from about 0.05 seconds to about 10 seconds, preferably, from about 0.08 seconds to about 3 seconds, and more preferably, from about 0.1 seconds to about 2 seconds. In one embodiment, the oxidizing gas is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 1.7 seconds. In another embodiment, the oxidizing gas is pulsed at a rate in a range from about 0.1 seconds to about 3 seconds, for example, about 0.5 seconds.
  • The oxidizing gas may be produced from water vapor generator (WVG) system 286 in fluid communication with process chamber 280 by conduit 287. Fittings 212 and 214 may be used to link conduit 287 to WVG system 286 or to process chamber 280. Suitable fittings include UPG fittings available from Fujikin of America, Inc. Generally, conduit 287 is in fluid communication with process chamber 280 through an ALD valve assembly. Conduit 287 may be a tube, a pipe. a line or a hose composed of a metal (e.g., stainless steel or aluminum), rubber or plastic (e.g., PTFE). In one example, a pipe formed from stainless steel 316L is used as conduit 287. The WVG system 286 generates ultra-high purity water vapor by means of a catalytic reaction of an oxygen source gas (e.g., O2) and a hydrogen source gas (e.g., H2) at a low temperature (e.g., <500° C.). The hydrogen and oxygen source gases each flow into WVG system 286 at a flow rate in the range from about 5 sccm to about 200 sccm, preferably, from about 10 sccm to about 100 sccm. Generally, the flow rates of the oxygen and hydrogen source gases are independently adjusted to have a presence of oxygen or an oxygen source gas and an absence of the hydrogen or hydrogen source gas within the outflow of the oxidizing gas.
  • An oxygen source gas useful to generate an oxidizing gas containing water vapor may include oxygen (O2), atomic oxygen (O), ozone (O3), nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), hydrogen peroxide (H2O2), derivatives thereof or combinations thereof. A hydrogen source gas useful to generate an oxidizing gas containing water vapor may include hydrogen (H2), atomic hydrogen (H), forming gas (N2/H2), ammonia (NH3), hydrocarbons (e.g., CH4), alcohols (e.g., CH3OH), derivatives thereof or combinations thereof. A carrier gas may be co-flowed with either the oxygen source gas or the hydrogen source gas and may include N2, He, Ar or combinations thereof. Preferably, the oxygen source gas is oxygen or nitrous oxide and the hydrogen source gas is hydrogen or a forming gas, such as 5 vol % of hydrogen in nitrogen.
  • A hydrogen source gas and an oxygen source gas may be diluted with a carrier gas to provide sensitive control of the water vapor within the oxidizing gas during deposition processes. In one embodiment, a slower water vapor flow rate (about <10 sccm water vapor) may be desirable to complete the chemical reaction during an ALD process to form a hafnium-containing material or other dielectric materials. A slower water vapor flow rate dilutes the water vapor concentration within the oxidizing gas. The diluted water vapor is at a concentration to oxidize adsorbed precursors on the substrate surface. Therefore, a slower water vapor flow rate minimizes the purge time after the water vapor exposure to increase the fabrication throughput. Also, the slower water vapor flow rate reduces formation of particulate contaminants by avoiding undesired co-reactions. A mass flow controller (MFC) may be used to control a hydrogen source gas with a flow rate of about 0.5 sccm while producing a stream of water vapor with a flow rate of about 0.5 sccm. However, most MFC systems are unable to provide a consistent flow rate at such a slow rate. Therefore, a diluted hydrogen source gas (e.g., forming gas) may be used in a WVG system to achieve a slower water vapor flow rate. In one example, a hydrogen source gas with a flow rate of about 10 sccm and containing 5% hydrogen forming gas deliveries water vapor from a WVG system with a flow rate of about 0.5 sccm. In an alternative embodiment, a faster water vapor flow rate (about >10 sccm water vapor) may be desirable to complete the chemical reaction during an ALD process while forming a hafnium-containing material or other dielectric materials. For example, about 100 sccm of hydrogen gas deliveries about 100 sccm of water vapor.
  • The forming gas may be selected with a hydrogen concentration in a range from about 1% to about 95% by volume in a carrier gas, such as argon or nitrogen. In one aspect, a hydrogen concentration of a forming gas is in a range from about 1% to about 30% by volume in a carrier gas, preferably from about 2% to about 20%, and more preferably, from about 3% to about 10%, for example, a forming gas may contain about 5% hydrogen and about 95% nitrogen. In another aspect, a hydrogen concentration of a forming gas is in a range from about 30% to about 95% by volume in a carrier gas, preferably from about 40% to about 90%, and more preferably from about 50% to about 85%, for example, a forming gas may contain about 80% hydrogen and about 20% nitrogen.
  • In one example, a WVG system receives a hydrogen source gas containing 5% hydrogen (95% nitrogen) with a flow rate of about 10 sccm and an oxygen source gas (e.g., O2) with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor with a flow rate of about 0.5 sccm and oxygen with a flow rate of about 9.8 sccm. In another example, a WVG system receives a hydrogen source gas containing 5% hydrogen forming gas with a flow rate of about 20 sccm and an oxygen source gas with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor with a flow rate of about 1 sccm and oxygen with a flow rate of about 9 sccm. In another example, a WVG system receives a hydrogen source gas containing hydrogen gas with a flow rate of about 20 sccm and an oxygen source gas with a flow rate of about 10 sccm to form an oxidizing gas containing water vapor at a rate of about 10 sccm and oxygen at a rate of about 9.8 sccm. In other examples, nitrous oxide, as an oxygen source gas, is used with a hydrogen source gas to form a water vapor during ALD processes. Generally, 2 molar equivalents of nitrous oxide are substituted for each molar equivalent of oxygen gas.
  • A WVG system contains a catalyst, such as catalyst-lined reactor or a catalyst cartridge, in which the oxidizing gas containing water vapor is generated by a catalytic chemical reaction between a source of hydrogen and a source of oxygen. A WVG system is unlike pyrogenic generators that produce water vapor as a result of an ignition reaction, usually at temperatures over 1,000° C. A WVG system containing a catalyst usually produces water vapor at a low temperature in the range from about 100° C. to about 500° C., preferably at about 350° C. or less. The catalyst contained within a catalyst reactor may include a metal or alloy, such as palladium, platinum, nickel, iron, chromium, ruthenium, rhodium, alloys thereof or combinations thereof. The ultra-high purity water is ideal for the ALD processes in the present invention. In one embodiment, to prevent unreacted hydrogen from flowing downstream, an oxygen source gas is allowed to flow through the WVG system for about 5 seconds. Next, the hydrogen source gas is allowed to enter the reactor for about 5 seconds. The catalytic reaction between the oxygen and hydrogen source gases (e.g., H2 and O2) generates a water vapor. Regulating the flow of the oxygen and hydrogen source gases allows precise control of oxygen and hydrogen concentrations within the formed oxidizing gas containing water vapor. The water vapor may contain remnants of the hydrogen source gas, the oxygen source gas or combinations thereof. Suitable WVG systems are commercially available, such as the Water Vapor Generator (WVG) system by Fujikin of America, Inc., located in Santa Clara, Calif. and or the Catalyst Steam Generator System (CSGS) by Ultra Clean Technology, located in Menlo Park, Calif.
  • FIG. 2B illustrates one configuration of WVG system 286. Hydrogen source 262, oxygen source 264 and carrier gas source 266 are connected to WVG system 286 by conduit system 261. Conduit system 261 contains conduits and valves that allow gases from hydrogen source 262, oxygen source 264 and/or carrier gas source 266 to be independently in fluid communication with catalyst reactor 270 through gas inputs 267 and gas filter 268. Water vapor is formed within and emitted from catalyst reactor 270. Also, conduit system 261 contains conduits and valves that allow gases from hydrogen source 262 and oxygen source 264 to independently bypass catalyst reactor 270 at junction 271. Therefore, additional hydrogen source gas and/or oxygen source gas may bypass catalyst reactor 270 and combine with water vapor to form an oxidizing gas enriched with oxygen or hydrogen. Gas sensor 272 and gas filter 274 are connected to conduit system 261 downstream from catalyst reactor 270. Gas sensor 272 may be used to determine the composition of the oxidizing gas including oxygen, hydrogen and water concentrations. The oxidizing gas may pass through gas filter 274 prior to exiting WVG system 286.
  • The pulses of a purge gas, preferably argon or nitrogen, at steps 130 and 150, are typically introduced at a flow rate in a range from about 2 standard liters per minute (slm) to about 22 slm, preferably about 10 slm. Each processing cycle (steps 120 through 150) occurs for a time period in a range from about 0.01 seconds to about 20 seconds. In one example, the process cycle lasts about 10 seconds. In another example, the process cycle lasts about 2 seconds. Longer processing steps lasting about 10 seconds deposit excellent hafnium-containing films, but reduce the throughput. The specific purge gas flow rates and duration of process cycles are obtained through experimentation. In one example, a 300 mm diameter wafer requires about twice the flow rate for the same duration as a 200 mm diameter wafer in order to maintain similar throughput.
  • In one embodiment, hydrogen gas is applied as a carrier gas, purge and/or a reactant gas to reduce halogen contamination from the deposited materials. Precursors that contain halogen atoms (e.g., HfCl4, SiCl4 and Si2Cl6) readily contaminate the deposited dielectric materials. Hydrogen is a reductant and will produce hydrogen halides (e.g., HCl) as a volatile and removable by-product. Therefore, hydrogen may be used as a carrier gas or reactant gas when combined with a precursor compound (e.g., hafnium, silicon, oxygen precursors) and may include another carrier gas (e.g., Ar or N2). In one example, a water/hydrogen mixture, at a temperature in the range from about 100° C. to about 500° C., is used to reduce the halogen concentration and increase the oxygen concentration of the deposited material. In one example, a water/hydrogen mixture may be derived by feeding an excess of hydrogen source gas into a WVG system to form a hydrogen enriched water vapor.
  • In another embodiment, FIG. 3 illustrates an exemplary process sequence 200 for forming a hafnium-containing material, such as hafnium silicate. A substrate is loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 205). The substrate may be exposed to an optional pre-soak process and purge prior to starting an ALD cycle (step 207). The substrate is exposed to pulse of a hafnium precursor that is introduced into the process chamber for a time period in a range from about 0.1 seconds to about 5 seconds (step 210). A pulse of purge gas is introduced into the process chamber (step 215) to purge or otherwise remove any residual hafnium precursor or by-products. Next, a pulse of oxidizing gas is introduced into the process chamber for a time period in a range from about 0.1 seconds to about 10 seconds (step 220). The oxidizing gas may include several oxidizing agents, such as water vapor and oxygen derived from a WVG system. A pulse of purge gas is again introduced into the process chamber (step 225) to purge or otherwise remove any residual oxidizing compound or by-products. The substrate is then exposed to pulse of a silicon precursor that is introduced into the process chamber for a time period in a range from about 0.1 seconds to about 10 seconds (step 230). A pulse of purge gas is again pulsed into the process chamber (step 235) to purge or otherwise remove any residual silicon precursor or by-products. Next, another pulse of oxidizing gas is introduced into the process chamber for a time period in a range from about 0.1 seconds to 10 seconds (step 240). A pulse of purge gas is again introduced into the processing chamber (step 245) to purge or otherwise remove any residual oxidizing compound or by-products. Suitable carrier gases or purge gases may include helium, argon, nitrogen, hydrogen, forming gas, oxygen or combinations thereof.
  • Referring to step 250, after each deposition cycle (steps 210 through 245), a hafnium-containing material, such as hafnium silicate, having a first thickness is deposited on the substrate surface. Usually, each deposition cycle forms a layer with a thickness in the range from about 0.5 Å to about 10 Å. Depending on specific device requirements, subsequent deposition cycles may be needed to deposit a hafnium-containing material with a predetermined thickness. A deposition cycle (steps 210 through 245) may be repeated until the desired or predetermined thickness for the hafnium-containing material is achieved at step 250 and process sequence 200 is stopped at step 260.
  • The hafnium silicate material formed by the deposition processes described herein has the empirical chemical formula HfSiyOx. Hafnium silicate may be a homogenous mixture of hafnium oxide (HfOx or HfO2) and silicon oxide (SiOx or SiO2) or a single phase HfSiO4 material. Hafnium silicate may have the molecular chemical formula HfSiO4, but by varying process conditions (e.g., timing, temperature, precursors), hafnium silicates may vary by elemental concentration, for example, HfSiO3.8 or HfSi0.8O3.8.
  • The ALD process depicted in FIG. 3 typically occurs within a process chamber at a pressure in a range from about 1 Torr to about 100 Torr, preferably from about 1 Torr to about 20 Torr, and more preferably, from about 1 Torr to about 10 Torr. The temperature of the substrate is usually in a range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., and more preferably from about 250° C. to about 500° C. The optional pre-soak process in step 207 is subsequent to starting an ALD cycle and may include exposing the substrate to the oxidizing gas containing water vapor generated from a WVG system, as described in step 115.
  • During step 210, the hafnium precursor is introduced into the process chamber with a flow rate in the range from about 5 sccm to about 200 sccm. The hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in the range from about 50 sccm to about 1,000 sccm. The hafnium precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the hafnium precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the hafnium precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds. In some examples, the hafnium precursor is preferably hafnium tetrachloride, while in other examples, the hafnium precursor is preferably TDEAH or other tetrakis(dialkylamino)hafnium compounds.
  • In one embodiment, the hafnium precursor is generally dispensed into process chamber 280 by introducing a carrier gas through ampoule 282 containing the hafnium precursor, as depicted in FIG. 2A. The temperature of ampoule 282 is maintained at a temperature depending on the hafnium precursor within, such as in a range from about 20° C. to about 300° C. In one example, ampoule 282 contains HfCl4 at a temperature in a range from about 150° C. to about 200° C. In another example, ampoule 282 containing a liquid precursor (e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS) may be pressurized to transfer the liquid precursor to injector valve system 281. Generally, ampoule 282 containing a liquid precursor may be pressurized at a pressure in a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 100° C. or less, preferably in a range from about 20° C. to about 60° C. Injector valve system 281 combines the liquid precursor with a carrier gas to form a precursor vapor that is injected into process chamber 280. A carrier gas may include nitrogen, argon, helium, hydrogen or combinations thereof and the carrier may be pre-heated to a temperature in a range from about 85° C. to about 150° C.
  • In steps 220 and 240, oxidizing gas containing water vapor is introduced into process chamber 280 at a rate in the range from about 20 sccm to about 1,000 sccm, preferably from about 50 sccm to about 200 sccm. The oxidizing gas is pulsed into process chamber 280 a rate in a range from about 0.1 seconds to about 10 seconds, depending on the particular process conditions and desired composition of the deposited hafnium-containing material. In one embodiment, the oxidizing gas is pulsed at a rate from about 1 second to about 3 seconds, for example, about 1.7 seconds. In another embodiment, the oxidizing gas is pulsed at a rate from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • The oxidizing gas may be produced from WVG system 286 that is in fluid communication with process chamber 280 by conduit 287. A hydrogen source gas (H2) and an oxygen source gas (O2) each flow independently into WVG system 286 with a flow rate in a range from about 20 sccm to about 300 sccm. Generally, the oxygen source gas is at a higher flow rate than the hydrogen source gas. In one example, the hydrogen source gas has a flow rate of about 100 sccm and oxygen source gas has a flow rate of about 120 sccm to enrich the water vapor with oxygen.
  • In another embodiment of the WVG system, the flow of hydrogen is higher than the flow of oxygen, for example, the hydrogen source gas has a flow rate of about 250 sccm and oxygen source gas has a flow rate of about 100 sccm. Therefore, the water vapor flowing from the WVG system is enriched with hydrogen. For example, when the hydrogen source gas has a flow rate of about 250 sccm and the oxygen source gas has a flow rate of about 100 sccm, the outflow of oxidizing gas contains partial flow rates of water vapor of about 100 sccm and hydrogen of about 50 sccm. A water vapor enriched with hydrogen has several important functions. First, an excess of hydrogen in the water vapor increases the removal rate of certain contaminants, such as halogens. During deposition processes containing HfCl4 or other halogenated precursors, an excess of hydrogen gas reacts with chlorine to form hydrogen chloride as a volatile product easily removed by the purge steps. Secondarily, an excess of hydrogen within a water vapor prevents oxidation of some metal gate layers. In a MIM capacitor or device, a stack may contain a dielectric layer sandwiched between two metal layers, such as aluminum or tungsten. While forming the dielectric layer, such as a silicate compound, the excess hydrogen reduces the metal layer while the water vapor oxidizes the dielectric layer.
  • During step 230, the silicon precursor is introduced to the process chamber with a flow rate in a range from about 5 sccm to about 200 sccm or with a flow rate in the range from about 1 mg/min to about 50 mg/min, preferably about 5 mg/min to about 25 mg/min. The silicon precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in the range from about 50 sccm to about 1,000 sccm. The silicon precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds, depending on the particular process and desired silicon concentration. In one embodiment, the silicon precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the silicon precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds. In some examples, the silicon precursor is preferably tris(dimethylamino)silane ((Me2N)3SiH or Tris-DMAS), tetrakis(dimethylamino)silane ((Me2N)4Si or TDMAS) or other dialkylaminosilanes, while in other examples the silicon precursor is preferably silane (SiH4).
  • The pulses of a purge gas, such as argon or nitrogen, during steps 215, 225, 235 and 245 are typically introduced with a flow rate in a range from about 2 slm to about 22 slm, preferably about 10 slm. Each process cycle (steps 210 through 245) may occur for a time period in a range from about 2 seconds to about 40 seconds. In one example, the time period of the process cycle lasts about 20 seconds, while in another example, the time period of the process cycle lasts about 4 seconds. Longer process steps lasting about 20 seconds deposit excellent hafnium-containing films, but with reduced throughput.
  • In another embodiment, hafnium-containing materials, such as hafnium silicate, may be formed by omitting either of the steps that introduce the oxidizing gas and the subsequent purge step. In one example, steps 220 and 225 are omitted, therefore a hafnium silicate material may be formed by sequentially pulsing the hafnium precursor, purge gas, silicon precursor, purge gas, oxidizing gas and purge gas. In another example, steps 240 and 245 are omitted, therefore a hafnium silicate material may be formed by sequentially pulsing the hafnium precursor, purge gas, oxidizing gas, purge gas, silicon precursor and purge gas.
  • FIG. 4 illustrates an exemplary process sequence 300 for forming a hafnium-containing material, such as a hafnium silicate, according to another embodiment of the invention. A substrate is loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 310). The substrate may be exposed to an optional pre-soak process and purge prior to starting an ALD cycle (step 315). The substrate is exposed to a pulse of a hafnium precursor and a pulse of a silicon precursor that completely or at least partially overlap in time and are introduced into the process chamber for a time period in a range from about 0.1 seconds to about 5 seconds (step 320). A pulse of purge gas is pulsed into the processing chamber (step 330) to purge or otherwise remove any residual hafnium precursor, silicon precursor or by-products. Next, a pulse of oxidizing gas is introduced into the processing chamber (step 340). The oxidizing gas may include several oxidizing agents, such as water vapor and oxygen derived from a WVG system. A pulse of purge gas is again introduced into the processing chamber (step 350) to purge or otherwise remove any residual reducing compound. Suitable carrier gases or purge gases may include helium, argon, nitrogen, hydrogen, forming gas, oxygen or combinations thereof.
  • Referring to step 360, after each deposition cycle (steps 320 through 350), a hafnium-containing material, such as a hafnium silicate, having a first thickness will be deposited on the substrate surface. During the ALD process, each deposition cycle forms a layer with a thickness in the range from about 0.5 Å to about 10 Å. Depending on specific device requirements, subsequent deposition cycles may be needed to deposit a hafnium-containing material with a predetermined thickness. A deposition cycle (steps 320 through 350) may be repeated until the desired or predetermined thickness for the hafnium-containing material is achieved at step 360 and process sequence 300 is stopped at step 370.
  • The ALD process depicted in FIG. 4 typically occurs within a process chamber at a pressure in a range from about 1 Torr to about 100 Torr, preferably from about 1 Torr to about 20 Torr, and more preferably from about 1 Torr to about 10 Torr. The temperature of the substrate is usually in a range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., and more preferably from about 250° C. to about 500° C. The optional pre-soak process in step 315 is subsequent to starting an ALD cycle and may include exposing the substrate to the oxidizing gas containing water vapor generated from a WVG system, as described in step 115.
  • During step 320, the hafnium precursor and the silicon precursor are each introduced by flowing into the process chamber as a pulse of precursor, i.e., a pulsed precursor is the introduction of that precursor into the process chamber. In FIGS. 5A-5E, t1 corresponds to the time period that a hafnium precursor and a silicon precursor are pulsed during step 320, while t2 corresponds to the time period during steps 330, 340 and 350. The time periods t1 and t2 are not graphed to scale relative to each other. In one embodiment depicted in FIG. 5A, the hafnium precursor and silicon precursor are independently pulsed during the same time period, such that both precursors flow during all of t1. For example, a hafnium precursor and a silicon precursor are simultaneously pulsed for about 2 seconds.
  • In another embodiment depicted by FIGS. 5B-5C, the hafnium precursor and silicon precursor are independently pulsed, so that a first precursor flows during all of t1 and the second precursor flows during the intermediate of t1. For example, in FIG. 5B when t1 lasts about 2 seconds, a hafnium precursor is pulsed for about 2 seconds and a silicon precursor is pulsed for about 1.5 seconds during the intermediate of the pulsed hafnium precursor. Alternatively, in FIG. 5C when t1 lasts about 2 seconds, a silicon precursor is pulsed for about 2 seconds and a hafnium precursor is pulsed for about 1.5 seconds during the intermediate of the pulsed silicon precursor.
  • In another embodiment depicted by FIGS. 5D-5E, the hafnium precursor and silicon precursor are independently pulsed with a partially overlap, such that a first precursor flows at the beginning of t1 but does not flow to the end of t1 and the second precursor does not flow at the beginning of t1 but does flow to the end of t1. For example, in FIG. 5D when t1 lasts about 2 seconds, a hafnium precursor is pulsed for about 1.5 seconds at the beginning of t1 and a silicon precursor is pulsed for about 1.5 seconds at the end of t1. In another example, in FIG. 5E when t1 lasts about 2 seconds, a silicon precursor is pulsed for about 1.75 seconds at the beginning of t1 and a hafnium precursor is pulsed for about 1.5 seconds at the end of t1.
  • Alternatively, a first precursor (e.g., hafnium precursor) may be pulsed during any portion of time period t1 while overlapping or not overlapping a second precursor (e.g., silicon precursor) may also be pulsed during any portion of time period t1. Therefore, a hafnium precursor, a silicon precursor or other precursor may be independently pulsed into the process chamber with any partial overlap of time or with no overlap of time. In one example when t1 lasts about 2 seconds, a hafnium precursor is pulsed for about 2 seconds and a silicon precursor is pulsed for 0.5 seconds during the pulse of hafnium precursor. In another example when t1 lasts about 2 seconds, a hafnium precursor is pulsed for about 0.5 seconds and a silicon precursor is pulsed for 0.5 seconds with no overlap of or not during the pulse of hafnium precursor. In another example when t1 lasts about 2 seconds, a hafnium precursor is pulsed for about 0.5 seconds and a silicon precursor is pulsed for 0.5 seconds with an overlap of or during the pulse of hafnium precursor. Also, multiple pulses a first precursor and a second precursor may be pulsed during time period t1.
  • During step 320, the hafnium precursor is introduced into the process chamber with a flow rate in a range from about 5 sccm to about 200 sccm. The hafnium precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in a range from about 50 sccm to about 1,000 sccm. The hafnium precursor may be pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the hafnium precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the hafnium precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds. In some example, the hafnium precursor is preferably hafnium tetrachloride, while in other examples, the hafnium precursor is preferably TDEAH.
  • The hafnium precursor is generally dispensed into process chamber 280 by introducing a carrier gas through ampoule 282 containing the hafnium precursor, as depicted in FIG. 2A. The carrier gas and the hafnium precursor form a precursor vapor that flows through conduit 283 into process chamber 280. The temperature of ampoule 282 is maintained at a temperature depending on the hafnium precursor within, such as in a range from about 20° C. to about 300° C. In one example, ampoule 282 contains HfCl4 at a temperature in a range from about 150° C. to about 200° C. In another example, ampoule 282 containing a liquid precursor (e.g., TDEAH, TDMAH, TDMAS or Tris-DMAS) may be pressurized to transfer the liquid precursor to injector valve system 281. Generally, ampoule 282 containing a liquid precursor may be pressurized at a pressure in a range from about 138 kPa (about 20 psi) to about 414 kPa (about 60 psi) and may be heated to a temperature of about 100° C. or less, preferably in a range from about 20° C. to about 60° C. Injector valve system 281 combines the liquid precursor with a carrier gas to form a precursor vapor that is injected into process chamber 280. A carrier gas may include nitrogen, argon, helium, hydrogen or combinations thereof and the carrier may be pre-heated to a temperature in a range from about 85° C. to about 150° C.
  • During step 320, the silicon precursor is introduced into the process chamber with a flow rate in a range from about 5 sccm to about 200 sccm or with a flow rate in a range from about 1 mg/min to about 50 mg/min, preferably from about 5 mg/min to about 25 mg/min. The silicon precursor is usually introduced with a carrier gas, such as nitrogen, with a total flow rate in a range from about 50 sccm to about 1,000 sccm. The silicon precursor is pulsed into the process chamber at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the silicon precursor is pulsed at a rate in a range from about 1 second to about 5 seconds, for example, about 3 seconds. In another embodiment, the silicon precursor is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds. In some examples, the silicon precursor is preferably Tris-DMAS or TDMAS, while in other examples, the silicon precursor is preferably silane.
  • In an alternative embodiment during step 320, the hafnium precursor and the silicon precursor may be combined prior to pulsing into the process chamber. The hafnium/silicon precursor mixture is formed by combining a proportional amount of a hafnium precursor and a silicon precursor in order to achieve a desired Hf:Si ratio within the deposited hafnium-containing material. A process gas containing the hafnium/silicon precursor mixture may be formed by flowing a carrier gas through the precursor mixture within an ampoule. The hafnium/silicon precursor mixture is sequentially pulsed with the oxidizing gas by an ALD process to form a hafnium-containing material, such as a hafnium silicate material. Hafnium silicates deposited by the processes described herein have the empirical chemical formula HfSiyOx, wherein y may be adjusted by varying the molar ratio of the hafnium precursor and the silicon precursor within the hafnium/silicon precursor mixture. For example, if the ratio of hafnium precursor to silicon precursor is greater than 1, than y is probably less than 1. However, if the ratio of hafnium precursor to silicon precursor is less than 1, than y is probably greater than 1.
  • During step 340, the oxidizing gas is introduced into process chamber 280 with a flow rate in a range from about 20 sccm to about 1,000 sccm, preferably in a range from about 50 sccm to about 200 sccm. The oxidizing gas is pulsed into process chamber 280 at a rate in a range from about 0.1 seconds to about 10 seconds. In one embodiment, the oxidizing gas is pulsed at a rate in a range from about 1 second to about 3 seconds, for example, about 1.7 seconds. In another embodiment, the oxidizing gas is pulsed at a rate in a range from about 0.1 seconds to about 1 second, for example, about 0.5 seconds.
  • In one embodiment of process sequence 300, the oxidizing gas is produced from WVG system 286 that is in fluid communication to process chamber 280 by conduit 287. The hydrogen source gas and the oxygen source gas each flow into WVG system 286 with a flow rate in a range from about 20 sccm to about 200 sccm. Generally, the flow rate of the oxygen source gas is higher than the flow rate of the hydrogen source gas, for example, the hydrogen source gas has a flow rate of about 100 sccm and the oxygen source gas has a flow rate of about 120 sccm. Therefore, the water vapor flowing from WVG system 286 is enriched with oxygen. For example, when the hydrogen source gas has a flow rate of about 100 sccm and the oxygen source gas has a flow rate of about 120 sccm, the outflow of oxidizing gas includes partial flow rates of water vapor of about 100 sccm and oxygen of about 70 sccm. In another example, the hydrogen source gas has a flow rate of about 250 sccm and the oxygen source gas has a flow rate of about 100 sccm. Therefore, the water vapor flowing from the WVG system is enriched with hydrogen.
  • The pulses of a purge gas, such as argon or nitrogen, during steps 330 and 350, are typically introduced with a flow rate in a range from about 2 slm to about 22 slm, preferably about 10 slm. Each process cycle (steps 320 through 350) may occur for a time period in a range from about 0.5 seconds to about 20 seconds. In one example, the process cycle lasts about 10 seconds. In another example, the process cycle lasts about 2 seconds.
  • In some of the embodiments containing process sequences 100, 200 and 300, an alternative oxidizing gas, such as a traditional oxidant, may be used instead of the oxidizing gas containing water vapor formed from a WVG system. The alternative oxidizing gas is introduced into the process chamber from an oxygen source containing water not derived from a WVG system, oxygen (O2), ozone (O3), atomic-oxygen (O), hydrogen peroxide (H2O2), nitrous oxide (N2O), nitric oxide (NO), dinitrogen pentoxide (N2O5), nitrogen dioxide (NO2), derivatives thereof or combinations thereof. While embodiments of the invention provide processes that benefit from oxidizing gas containing water vapor formed from a WVG system, other embodiments provide processes that utilize the alternative oxidizing gas or traditional oxidants while forming hafnium-containing materials and other dielectric materials during deposition processes described herein.
  • Many precursors are within the scope of embodiments of the invention for depositing the dielectric materials described herein. One important precursor characteristic is to have a favorable vapor pressure. Precursors at ambient temperature and pressure may be gas, liquid or solid. However, volatilized precursors are used within the ALD chamber. Organometallic compounds contain at least one metal atom and at least one organic-containing functional group, such as amides, alkyls, alkoxyls, alkylaminos or anilides. Precursors may include organometallic, inorganic or halide compounds.
  • Exemplary hafnium precursors include hafnium compounds containing ligands such as halides, alkylaminos, cyclopentadienyls, alkyls, alkoxides, derivatives thereof or combinations thereof. Hafnium halide compounds useful as hafnium precursors may include HfCl4, Hfl4, and HfBr4. Hafnium alkylamino compounds useful as hafnium precursors include (RR′N)4Hf, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl. Hafnium precursors useful for depositing hafnium-containing materials include (Et2N)4Hf, (Me2N)4Hf, (MeEtN)4Hf, (tBuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (iPrC5H4)2HfCl2, (iPrC5H4)HfCl3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, (NO3)4Hf, (tBuO)4Hf, (iPrO)4Hf, (EtO)4Hf, (MeO)4Hf or derivatives thereof. Preferably, hafnium precursors used during the deposition process herein include HfCl4, (Et2N)4Hf or (Me2N)4Hf.
  • Exemplary silicon precursors useful for depositing silicon-containing materials include silanes, alkylaminosilanes, silanols or alkoxy silanes, for example, silicon precursors may include (Me2N)4Si, (Me2N)3SiH, (Me2N)2SiH2, (Me2N)SiH3, (Et2N)4Si, (Et2N)3SiH, (MeEtN)4Si, (MeEtN)3SiH, Si(NCO)4, MeSi(NCO)3, SiH4, Si2H6, SiCl4, Si2Cl6, MeSiCl3, H2SiCl3, Me2SiCl2, H2SiCl2, MeSi(OH)3, Me2Si(OH)2, (MeO)4Si, (EtO)4Si or derivatives thereof. Other alkylaminosilane compounds useful as silicon precursors include (RR′N)4-nSiHn, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl and n=0-3. Other alkoxy silanes may be described by the generic chemical formula (RO)4-nSiLn, where R=methyl, ethyl, propyl or butyl and L=H, OH, F, Cl, Br or I and mixtures thereof. Also, higher silanes are used as silicon precursors within some embodiments of the invention. Higher silanes are disclosed in commonly assigned U.S. patent application Ser. No. 10/688,797, filed on Oct. 17, 2003, entitled, “Silicon-containing Layer Deposition with Silicon Compounds,” and published as U.S. 20040224089, is incorporated herein by reference in entirety for the purpose of describing silicon precursors. Preferably, silicon precursors used during the deposition process herein include (Me2N)3SiH, (Et2N)3SiH, (Me2N)4Si, (Et2N)4Si or SiH4.
  • In some embodiments, nitrogen may be added to the hafnium-containing materials and other dielectric materials deposited during processes described herein. In one example, a hafnium oxide material may be nitrided to form a hafnium oxynitride material, as well as a hafnium silicate material may be nitrided to form a hafnium silicon oxynitride material. In one example, a hafnium silicate film is deposited silicon-rich and contains little or no nitrogen near the substrate/dielectric interface. As the film thickness is increased, more hafnium is incorporated into the film in order to increase the dielectric constant. Nitrogen may also be added to the bulk of the film to decrease the diffusion of dopants through the film. Alternatively, nitrogen may be added near the top of the film in order to provide a stable capping layer.
  • Nitrogen may also be added to hafnium-containing materials and other dielectrics materials by nitrogen bombardment, such as with a nitrogen plasma, annealing the substrate in a nitrogen-containing environment, and/or including a nitrogen precursor into an additional half reaction within the ALD cycle. A nitrogen plasma process may include exposing the substrate surface to a plasma nitridation process after a half reaction, at the completion of an ALD cycle and/or at the completion of the deposition of a hafnium-containing material. For example, a nitridizing remote-plasma is exposed to a hafnium oxide film to form a hafnium oxynitride film or to a hafnium silicate film to form a hafnium silicon oxynitride film.
  • In another embodiment, a hafnium-containing material deposited on a substrate is annealed in a nitrogen-containing environment, such as N2, NH3, N2H4, NO, N2O, atomic-N or combinations thereof. The substrate is heated to a temperature in the range from about 800° C. to about 1,100° C. for a time period in the range from about 15 seconds to about 10 minutes. For example, a substrate containing a hafnium silicate film is thermally annealed at 900° C. for 1 minute in a chamber filled with NH3 to form a hafnium silicon oxynitride film.
  • In another embodiment, the hafnium silicon oxynitride material may be formed during an ALD process by providing cycles containing a hafnium precursor half reaction, a silicon precursor half reaction, a nitrogen precursor half reaction, and at least one oxidizing gas half reaction. The nitrogen precursor half reaction may be added into the ALD process during a cycle at any ratio relative to the hafnium, silicon and oxygen precursor half reactions. In one example, a nitrogen precursor half reaction is added at about every two ALD cycles of hafnium, silicon and oxygen precursor half reactions. Furthermore, the cycle ratio can be varied in order to control the nitrogen ratio incorporated within film depth. In one embodiment, an ALD process may form a hafnium silicon oxynitride graded film with a higher concentration of nitrogen near the top of the film than in a lower portion of the film. Generally, the top of the film containing the higher nitrogen concentration is about the upper 20% or less of the film, preferably, the upper 10% or less, and more preferably, the upper 5% or less. If the silicon precursor half reaction is omitted, than a hafnium oxynitride film may be grown in a similar ALD cycle. Preferably, the oxidizing gas contains water vapor formed from a WVG system.
  • Exemplary nitrogen precursors may include: NH3, N2, hydrazines (e.g., N2H4 or MeN2H3), amines (e.g., Me3N, Me2NH or MeNH2), anilines (e.g., C6H5NH2), organic azides (e.g., MeN3 or Me3SiN3), inorganic azides (e.g., NaN3 or Cp2CoN3), radical nitrogen compounds (e.g., N3, N2, N, NH or NH2), derivatives thereof or combinations thereof. Radical nitrogen compounds can be produced by heat, hot-wires or plasma.
  • In an alternative embodiment, a variety of metal oxides and metal silicates may be formed by sequentially pulsing metal precursors with oxidizing gas containing water vapor derived from a WVG system. The ALD processes disclosed herein (e.g., process sequences 100, 200 and 300) above may be altered by substituting the hafnium and/or silicon precursors with other metal precursors to form additional dielectric materials, such as hafnium aluminates, titanium silicates, zirconium oxides, zirconium silicates, zirconium aluminates, tantalum oxides, tantalum silicates, titanium oxides, titanium silicates, silicon oxides, aluminum oxides, aluminum silicates, lanthanum oxides, lanthanum silicates, lanthanum aluminates, nitrides thereof, derivatives thereof or combinations thereof. In one embodiment, two or more ALD processes are concurrently conducted to deposit one layer on top of another. For example, a combined process contains a first ALD process to form a first dielectric material and a second ALD process to form a second dielectric material. The combined process may be used to produce a variety of hafnium-containing materials, for example, hafnium aluminum silicate or hafnium aluminum silicon oxynitride. In one example, a dielectric stack material is formed by depositing a first hafnium-containing material on a substrate and subsequently depositing a second hafnium-containing material thereon. The first and second hafnium-containing materials may vary in composition, so that one layer may contain hafnium oxide and the other layer may contain hafnium silicate. In one aspect, the lower layer contains silicon. Alternative metal precursors used during ALD processes described herein include ZrCl4, Cp2Zr, (Me2N)4Zr, (Et2N)4Zr, TaF5, TaCl5, (tBuO)5Ta, (Me2N)5Ta, (Et2N)5Ta, (Me2N)3Ta(NtBu), (Et2N)3Ta(NtBu), TiCl4, Til4, (iPrO)4Ti, (Me2N)4Ti, (Et2N)4Ti, AlCl3, Me3Al, Me2AlH, (AMD)3La, ((Me3Si)(tBu)N)3La, ((Me3Si)2N)3La, (tBu2N)3La, (iPr2N)3La, derivatives thereof or combinations thereof.
  • Many industrial applications exist for the product dielectric materials formed during deposition process described by the various embodiments herein. Within the microelectronics industry, the product materials may be used as high-k transistor gate dielectric materials, transistor gate interface engineering, high-k capacitor dielectric materials (DRAMs), seed layers, diffusion barrier layers, adhesion layers, insulator layers and functionalized surface groups for patterned surfaces (e.g., selective deposition). In the realm of microelectromechanical systems (MEMS), the materials formed during process described herein may be used as insulating or structural films.
  • Hardware
  • FIG. 6 depicts a schematic cross-sectional view of process chamber 610 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein. Process chamber 610 generally houses substrate support pedestal 648, which is used to support a substrate (not shown). Substrate support pedestal 648 is movable in a vertical direction inside process chamber 610 using displacement mechanism 648A.
  • Depending on the specific process, the substrate can be heated to some desired temperature prior to or during deposition. For example, substrate support pedestal 648 may be heated using embedded heating element 652A. Substrate support pedestal 648 may be resistively heated by applying an electric current from AC power supply 652 to heating element 652A. The substrate (not shown) is, in turn, heated by support pedestal 648. Alternatively, substrate support pedestal 648 may be heated using radiant heaters such as, for example, lamps (not shown).
  • Temperature sensor 650A, such as a thermocouple, is also embedded in substrate support pedestal 648 to monitor the temperature of pedestal 648 in a conventional manner. The measured temperature is used in a feedback loop to control AC power supply 652 for heating element 652A, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • Vacuum pump 618 is used to evacuate process chamber 610 and to maintain the pressure inside process chamber 610. Gas manifold 634, through which process gases are introduced into process chamber 610, is located above the substrate support pedestal 648. Gas manifold 634 is connected to a gas panel (not shown), which controls and supplies various process gases to process chamber 610.
  • Proper control and regulation of the gas flows to gas manifold 634 are performed by mass flow controllers (not shown) and microprocessor controller 670. Gas manifold 634 allows process gases to be introduced and uniformly distributed in process chamber 610. Additionally, gas manifold 634 may optionally be heated to prevent condensation of any reactive gases within the manifold.
  • Gas manifold 634 includes a plurality of electronic control valves (not shown). The electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to process chamber 610 with valve open and close cycles at a rate within a range from about 0.01 seconds to about 10 seconds, preferably from about 0.1 seconds to about 5 seconds, for example, a longer cycle may last about 3 seconds and a shorter cycle may last about 0.5 seconds.
  • Microprocessor controller 670 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines, as required, may be stored in the memory or executed by a remotely located source (e.g., computer or server).
  • The software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention. Alternatively, the software routines may be performed in the hardware, as an application specific integrated circuit or other type of hardware implementation or a combination of software or hardware.
  • FIG. 7 is a schematic cross-sectional view of one embodiment of process chamber 680 including gas delivery apparatus 730 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition. A detailed description for process chamber 680 is described in commonly assigned U.S. patent Ser. No. 10/032,284, filed Dec. 21, 2001, entitled, “Gas Delivery Apparatus and Method for Atomic Layer Deposition,” and published as U.S. 20030079686 and commonly assigned U.S. patent Ser. No. 10/281,079, filed Oct. 25, 2002, entitled, “Gas Delivery Apparatus for Atomic Layer Deposition,” and published as U.S. 20030121608, which are both incorporated herein in their entirety by reference. The terms atomic layer deposition (ALD), rapid chemical vapor deposition and sequential vapor deposition as used herein refer to the sequential introduction of reactants or precursors to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to a desired thickness. In some embodiments, a reactant mixture containing more than one precursor (e.g., a hafnium precursor and a silicon precursor) may be sequentially pulsed with another precursor (e.g., water vapor). The process chamber 680 may also be adapted for other deposition techniques.
  • Process chamber 680 contains chamber body 682 having sidewalls 684 and bottom 686. Slit valve 688 in process chamber 680 provides access for a robot (not shown) to deliver and retrieve substrate 690, such as a semiconductor wafer with a diameter of 200 mm or 300 mm or a glass substrate, from process chamber 680.
  • Substrate support 692 supports substrate 690 on substrate receiving surface 691 in process chamber 680. Substrate support 692 is mounted to lift motor 714 to raise and lower substrate support 692 and substrate 690 disposed thereon. Lift plate 716 connected to lift motor 718 is mounted in process chamber 680 and raises and lowers pins 720 movably disposed through substrate support 692. Pins 720 raise and lower substrate 690 over the surface of substrate support 692. Substrate support 692 may include a vacuum chuck, an electrostatic chuck or a clamp ring for securing substrate 690 to substrate support 692 during a process.
  • Substrate support 692 may be heated to increase the temperature of substrate 690 disposed thereon. For example, substrate support 692 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above substrate support 692. Purge ring 722 may be disposed on substrate support 692 to define purge channel 724 which provides a purge gas to a peripheral portion of substrate 690 to prevent deposition thereon.
  • Gas delivery apparatus 730 is disposed at an upper portion of chamber body 682 to provide a gas, such as a process gas and/or a purge gas, to process chamber 680. Vacuum system 778 is in communication with pumping channel 779 to evacuate any desired gases from process chamber 680 and to help maintain a desired pressure or a desired pressure range inside pumping zone 766 of process chamber 680.
  • In one embodiment, process gas and/or purge gas enters process chamber 680 normal (i.e., 90°) with respect to the plane of substrate 690 via gas delivery apparatus 730. Therefore, the surface of substrate 690 is symmetrically exposed to gases that allow uniform film formation on substrates. The process gas may include a hafnium-containing compound (e.g., TDEAH or HfCl4) during one pulse and an oxidizing gas (e.g., water vapor derived from a WVG system) in another pulse.
  • Process chamber 680, depicted in FIG. 7, may produce a more uniform film than chamber 610, depicted in FIG. 6. Also, process chamber 680 employs a smaller cycle time than process chamber 610, since process chamber 680 usually takes less time to purge and less time to saturate a substrate with precursor than process chamber 610. Therefore, process chambers 610 and 680 may dose a hafnium-containing compound for about 20 seconds or less, preferably process chamber 680 may dose the hafnium-containing compound for about 10 seconds or less, more preferably for about 5 seconds or less, for example, about 3 seconds or about 0.5 seconds.
  • In one embodiment, gas delivery apparatus 730 comprises chamber lid 732. Chamber lid 732 includes expanding channel 734 extending from a central portion of chamber lid 732 and bottom surface 760 extending from expanding channel 734 to a peripheral portion of chamber lid 732. Bottom surface 760 is sized and shaped to substantially cover substrate 690 disposed on substrate support 692. Chamber lid 732 may have choke 762 at a peripheral portion of chamber lid 732 adjacent the periphery of substrate 690. Cap portion 772 includes a portion of expanding channel 734 and gas inlets 736A, 736B. Expanding channel 734 has gas inlets 736A, 736B to provide gas flows from two similar valves 742A, 742B. The gas may be provided together and/or separately from valves 742A, 742B.
  • In one embodiment, chamber lid 732 is made from a metallic material such as stainless steel (e.g., iron-chromium alloys optionally containing nickel), aluminum, derivatives thereof, alloys thereof or combinations thereof. In an alternative embodiment, chamber lid 732 contains a thermally insulating material, such as fused quartz, sapphire, pyrolytic boron nitrite (PBN) material, ceramic, derivatives thereof or combinations thereof. In one example, a thermally insulating liner is added to chamber lid 732 covering a substantial portion of expanding channel 734 and bottom surface 760 (not shown). Preferably, expanding channel 734 and bottom surface 760 may be machined into chamber lid 732 composed of a thermally insulating material. Additional liners made from the same or a similar thermally insulating material may be added within process chamber 680. In one example, slit valve 688 contains liner 687, side walls 684 contain liner 683 and bottom surfaces 685 contains liner 689.
  • In one configuration, valve 742A and valve 742B are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source. For example, valve 742A is coupled to reactant gas source 738 and valve 742B is coupled to reactant gas source 739, and both valves 742A, 742B are coupled to purge gas source 740. Valves 742A, 742B each include delivery line 743A, 743B having valve seat assembly 744A, 744B and includes purge line 745A, 745B having valve seat assembly 746A, 746B in fluid with valves 752A, 752B. Delivery line 743A, 743B is in fluid communication with reactant gas source 738, 739 and is in fluid communication with gas inlets 736A, 736B of expanding channel 734. Additional reactant gas sources, delivery lines, gas inlets and valves may be added to gas delivery apparatus 730 in alternative embodiments (not shown). Valve seat assembly 744A, 744B of delivery line 743A, 743B controls the flow of the reactant gas from reactant gas sources 738, 739 to expanding channel 734. Purge line 745A, 745B is in fluid communication with purge gas source 740 and intersects delivery line 743A, 743B downstream of valve seat assembly 744A, 744B of delivery line 743A, 743B. Valve seat assembly 746A, 746B of purge line 745A, 745B controls the flow of the purge gas from purge gas source 740 to delivery line 743A, 743B. If a carrier gas is used to deliver reactant gases from reactant gas source 738, 739, the same gas may be used as a carrier gas and a purge gas (e.g., nitrogen used as a carrier gas and a purge gas).
  • Each valve seat assembly 744A, 744B, 746A, 746B may comprise a diaphragm and a valve seat. The diaphragm may be biased open or closed and may be actuated closed or open respectively. The diaphragms may be pneumatically actuated or may be electrically actuated. Examples of pneumatically actuated valves include pneumatically actuated valves available from Fujikin and Veriflow. Examples of electrically actuated valves include electrically actuated valves available from Fujikin. Programmable logic controllers 748A, 748B may be coupled to valves 742A, 742B to control actuation of the diaphragms of valve seat assemblies 744A, 744B, 746A, 746B of valves 742A, 742B. Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds. Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds. Generally pneumatically and electrically actuated valves may provide pulses of gases in time periods as high as about 3 seconds. Although higher time period for gas pulsing is possible, a typical ALD process utilizes ALD valves to generate pulses of gas while being opened for an interval of about 5 seconds or less, preferably about 3 seconds or less, and more preferably about 2 seconds or less. In one embodiment, an ALD valve pulses for an interval in a range from about 0.005 seconds to about 3 seconds, preferably from about 0.02 seconds to about 2 seconds and more preferably from about 0.05 seconds to about 1 second. An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • Each valve 742A, 742B may be a zero dead volume valve to enable flushing of a reactant gas from delivery line 743A, 743B when valve seat assembly 744A, 744B of the valve is closed. For example, purge line 745A, 745B may be positioned adjacent valve seat assembly 744A, 744B of delivery line 743A, 743B. When valve seat assembly 744A, 744B is closed, purge line 745A, 745B may provide a purge gas to flush delivery line 743A, 743B. In one embodiment, warmed purge gas (e.g., about 50° C. to about 200° C.) is passed through to heat valve set assembly 744A, 744B in order to diminish or stop the condensation of precursors thereon, as well as within delivery lines 743A, 743B. In the embodiment shown, purge line 745A, 745B is positioned slightly spaced from valve seat assembly 744A, 744B of delivery line 743A, 743B so that a purge gas is not directly delivered into valve seat assembly 744A, 744B when open. A zero dead volume valve as used herein is defined as a valve which has negligible dead volume (i.e., not necessary zero dead volume).
  • Each valve 742A, 742B may be adapted to provide a combined gas flow and/or separate gas flows of reactant gas 738, 739 and purge gas 740. In reference to valve 742A, one example of a combined gas flow of reactant gas 738 and purge gas 740 provided by valve 742A comprises a continuous flow of a purge gas from purge gas source 740 through purge line 745A and pulses of a reactant gas from reactant gas source 738 through delivery line 743A. The continuous flow of the purge gas may be provided by opening the diaphragm of valve seat assembly 746A of purge line 745A. The pulses of the reactant gas from reactant gas source 738 may be provided by opening and closing the diaphragm of valve seat 744A of delivery line 743A. In reference to valve 742A, one example of separate gas flows of reactant gas 738 and purge gas 740 provided by valve 742A comprises pulses of a purge gas from purge gas source 740 through purge line 745A and pulses of a reactant gas from reactant gas source 738 through delivery line 743A. The pulses of the purge gas may be provided by opening and closing the diaphragm of valve seat assembly 746A of purge line 745A. The pulses of the reactant gas from reactant gas source 738 may be provided by opening and closing diaphragm valve seat 744A of delivery line 743A.
  • Delivery lines 743A, 743B of valves 742A, 742B may be coupled to gas inlets 736A, 736B through gas conduits 750A, 750B. Gas conduits 750A, 750B may be integrated or may be separate from valves 742A, 742B. In one aspect, valves 742A, 742B are coupled in close proximity to expanding channel 734 to reduce any unnecessary volume of delivery line 743A, 743B and gas conduits 750A, 750B between valves 742A, 742B and gas inlets 736A, 736B.
  • In FIG. 7, expanding channel 734 comprises a channel which has an inner diameter which increases from an upper portion to a lower portion of expanding channel 734 adjacent bottom surface 760 of chamber lid 732. In one specific embodiment, the inner diameter of expanding channel 734 for a chamber adapted to process 200 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at upper portion 737 of the expanding channel 734 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.1 inches (2.79 cm) and about 2.0 inches (5.08 cm) at lower portion 735 of expanding channel 734.
  • In another specific embodiment, the inner diameter of expanding channel 734 for a chamber adapted to process 300 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inches (2.54 cm), preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at upper portion 737 of the expanding channel 734 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.2 inches (3.05 cm) and about 2.2 inches (5.59 cm) at lower portion 735 of expanding channel 734 for a 300 mm substrate. In general, the above dimension apply to an expanding channel adapted to provide a total gas flow rate in a range from about 500 sccm to about 3,000 sccm.
  • In other specific embodiments, the dimension may be altered to accommodate a certain gas flow therethrough. In general, a larger gas flow will require a larger diameter expanding channel. In one embodiment, expanding channel 734 may be shaped as a truncated cone (including shapes resembling a truncated cone). Whether a gas is provided toward the walls of expanding channel 734 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through expanding channel 734 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of substrate 690.
  • Not wishing to be bound by theory, it is believed that the diameter of expanding channel 734, which is gradually increasing from upper portion 737 to lower portion 735 of expanding channel 734, allows less of an adiabatic expansion of a gas through expanding channel 734 which helps to control the temperature of the gas. For instance, a sudden adiabatic expansion of a gas delivered through gas inlet 736A, 736B into expanding channel 734 may result in a drop in the temperature of the gas which may cause condensation of the precursor vapor and formation of particles. On the other hand, a gradually expanding channel 734 according to embodiments of the present invention is believed to provide less of an adiabatic expansion of a gas. Therefore, more heat may be transferred to or from the gas, and, thus, the temperature of the gas may be more easily controlled by controlling the surrounding temperature of the gas (i.e., controlling the temperature of chamber lid 732). The gradually expanding channel 734 may comprise one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or combinations thereof or may comprise sections of one or more tapered inner surfaces (i.e., a portion tapered and a portion non-tapered).
  • In one embodiment, gas inlets 736A, 736B are located adjacent upper portion 737 of expanding channel 734. In other embodiments, one or more gas inlets 736A, 736B may be located along the length of expanding channel 734 between upper portion 737 and lower portion 735. Not wishing to be bound by theory, gas flowing from gas inlets 736A, 736B into and through expanding channel 734 of chamber lid 732 forms a circular flow. Although the exact flow pattern through expanding channel 734 is not known, it is believed that the circular flow may travel with a flow pattern such as a vortex flow, a helix flow, a spiral flow or derivative thereof through expanding channel 734. The circular flow may be provided in a processing region located between lower portion 735 and substrate receiving surface 691 as opposed to in a compartment separated from substrate 690. In one aspect, the vortex flow may help to establish a more efficient purge of expanding channel 734 due to the sweeping action of the circular flow across the inner surface of expanding channel 734. Also, a circular gas flow provides a consistent and conformal delivery of gas across the surface of substrate 690.
  • In FIG. 7, control unit 780, such as a programmed personal computer, work station computer, or the like, may be coupled to process chamber 680 to control processing conditions. For example, control unit 780 may be configured to control flow of various process gases and purge gases from gas sources 738, 739, 740 through valves 742A, 742B during different stages of a substrate process sequence. Illustratively, control unit 780 comprises central processing unit (CPU) 782, support circuitry 784, and memory 786 containing associated control software 783. Control unit 780 may also be configured to control WVG system 286 and/or regulate ampoule 282.
  • Control unit 780 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. CPU 782 may use any suitable memory 786, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to CPU 782 for supporting process chamber 680. Control unit 780 may be coupled to another controller that is located adjacent individual chamber components, such as programmable logic controllers 748A, 748B of valves 742A, 742B. Bi-directional communications between control unit 780 and various other components of process chamber 680 are handled through numerous signal cables collectively referred to as signal buses 788, some of which are illustrated in FIG. 7. In addition to control of process gases and purge gases from gas sources 738, 739, 740 and from the programmable logic controllers 748A, 748B of valves 742A, 742B, control unit 780 may be configured to be responsible for automated control of other activities used in wafer processing, such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
  • In another embodiment, process chamber 680 may be adapted to receive three or more gas flows together, partially together (i.e., two of three gas flows together) or separately through three or more gas inlets from connected to three or more gas conduits. Each conduit is coupled to a single or plurality of valves. Further disclosure of process chamber 680 adapted to flow three or more process gas flows is described in commonly assigned U.S. patent Ser. No. 10/032,284, filed Dec. 21, 2001, entitled, “Gas Delivery Apparatus and Method for Atomic Layer Deposition,” and published as U.S. 20030079686, which is incorporated herein by reference. In one example, the three gas flows may contain a hafnium precursor, a silicon precursor and an oxidizing gas, where, the first flow includes TDEAH, TDMAH or HfCl4, the second flow includes TDMAS, Tris-DMAS or silane and the third flow includes an oxidizing gas containing water vapor from a WVG system.
  • FIG. 8 depicts a schematic cross-sectional view of process chamber 810 that may be used to perform integrated circuit fabrication in accordance with embodiments described herein. Process chamber 810 is similar in function to process chamber 680 and contains thermally insulating materials to operate at high temperatures (e.g., <800° C.). The process chamber 810 contains liners made from a thermally insulating material, such as fused quartz, sapphire, pyrolytic boron nitrite (PBN) material, ceramic, derivatives thereof or combinations thereof. In one embodiment, the gas delivery apparatus 730 from process chamber 680 may be adapted to be used on process chamber 810.
  • Process chamber 810 generally houses substrate support pedestal 812, which is used to support substrate 802. Substrate support pedestal 812 is rotatable and vertically movable within process chamber 810. Substrate support pedestal 812 may contain a heating element to control the temperature of substrate 802 thereon. Cap portion 872 is disposed on lid 832 of process chamber 810 and contains gas inlets 836 a, 836 b, 836 c and 836 d. Cap portion 872 may also contain adapter 874 for a microwave apparatus or a remote plasma apparatus used during a plasma process, such as a PE-ALD process, a pre-clean process or a nitridation process. Alternatively, adapter 874 is absent from cap portion 872.
  • Gas delivery system 811 is connected to process chamber 810 through cap portion 872. Gas delivery system 811 contains at least one and as many as about ten componential sets of gas inlet 836, conduit system 841, valve 843 and/or valve 845 and source 842 and/or source 844. As illustrated in FIG. 8, gas delivery system 811 contains four componential sets containing gas inlets 836 a, 836 b, 836 c and 836 d, conduit systems 841 a, 841 b, 841 c and 841 d, valves 843 a, 843 b, 843 c and 843 d, valves 845 a, 845 b, 845 c and 845 d, sources 842 a, 842 b, 842 c, 842 d, and sources 844 a, 844 b, 844 c and 844 d.
  • In an alternative embodiment, conduit system 841 may further contain gradually expanding gas conduits forming nozzles at the ends that are also positioned in fluid communication with gas inlets 836 a, 836 b, 836 c and 836 d. The nozzles or ends that are useful in some embodiments described herein are further described in commonly assigned U.S. patent Ser. No. 11/119,388, filed Apr. 29, 2005, entitled, “Control of Gas Flow and Delivery to Suppress the Formation of Particles in an MOCVD/ALD System,” which is incorporated herein by reference to support disclosure of the gradually expanding gas conduits. The gas conduit geometry prevents large temperature drops by providing passing gases a means to gradually expand through an increasing tapered flow channel. In one embodiment, the flow channel transitions from the cross-sections of delivery gas lines with internal diameter in a range from about 3 mm to about 15 mm to gas inlet 836 with a larger diameter in a range from about 10 mm to about 20 mm over a distance in a range from about 30 mm to about 100 mm. A gradual increase of the diameter of a flow channel allows the expanding gases to be in near equilibrium and prevents a rapid lost of heat to maintain a substantially constant temperature. Expanding gas conduits may comprise one or more tapered inner surfaces such as a tapered straight surface, a concave surface, a convex surface, derivatives thereof or combinations thereof or may comprise sections of one or more tapered inner surfaces (e.g., a portion tapered and a portion non-tapered).
  • Conduit system 841 contains one or several conduits and tubes connecting gas inlets 836, valves 843 and 845 and sources 842 and 844. Valve 843 controls the introduction of precursors or gases from source 842 to gas inlet 836 and valve 845 controls the introduction of precursors or gases from source 844 to gas inlet 836. Valves 843 and 845 may include a valve and a valve seat assembly containing a diaphragm and a valve seat. Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds. Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds. Generally, pneumatically and electrically actuated valves may provide pulses of gases in time periods as high as about 3 seconds. Although higher time period for gas pulsing is possible, a typical ALD process utilizes ALD valves that generate pulses of gas while being opened for an interval of about 5 seconds or less, preferably about 3 seconds or less, and more preferably about 2 seconds or less. In one embodiment, an ALD valve pulses for an interval in a range from about 0.005 seconds to about 3 seconds, preferably from about 0.02 seconds to about 2 seconds and more preferably from about 0.05 seconds to about 1 second. An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller. A control unit (not shown), such as a programmed personal computer, work station computer, or the like, may be included with process chamber 810, including valves 843 and 845, sources 842 and 844, vacuum system 833, substrate support 812, WVG system 286 and ampoule 282 to control processing conditions as described herein.
  • Sources 842 and 844 may provide a precursor source, a purge gas source and/or a carrier gas source used during the deposition process. A precursor source may include more than one chemical precursor (e.g., a hafnium precursor and a silicon precursor) and may include a carrier gas. A precursor source includes ampoules, bubblers, tanks, containers or cartridges. Also, a precursor source includes a water vapor generator (WVG) system in fluid communication with gas delivery system 811 as described herein. A purge gas source and/or a carrier gas source usually a tank, a container, a cartridge or an in-house plumbed supply system, may provide nitrogen, argon, helium, hydrogen, forming gas or combinations thereof to gas delivery system 811.
  • Gas inlets 836 a, 836 b, 836 c and 836 d may be located along the length of expanding channel 834 within cap portion 872. Not wishing to be bound by theory, gas flowing from gas inlets 836 a, 836 b, 836 c and 836 d into and through expanding channel 834 forms a circular flow. Although the exact flow pattern through expanding channel 834 is not known, it is believed that the circular flow may travel with a flow pattern such as a vortex flow, a helix flow, a spiral flow or derivative thereof through the expanding channel 834. The circular flow may be provided in a processing region located between funnel liner 820 and substrate support 812 as opposed to in a compartment separated from substrate 802. In one aspect, the vortex flow may help to establish a more efficient purge of the processing region due to the sweeping action of the circular flow across the inner surface of expanding channel 834. Also, a circular gas flow provides a consistent and conformal delivery of gas across the surface of substrate 802.
  • FIGS. 8 and 9A-9B depict schematic views of thermally insulating liners that may be used within process chamber 810 and other process chambers during deposition processes described herein. Expanding channel 834 may be formed within cap portion 872 and between funnel liner 820. Thermal isolator 870 is disposed around cap portion 872. Funnel liner 820 may be held against to the underside of lid 832 by retaining ring liner 819 by aligning ledge surface 817 of retaining ring liner 819 with ledge surface 818 of funnel liner 820. Retaining ring liner 819 may be attached to the underside of lid 832 by fasteners 837, such as fittings, bolts, screws or pins. In one example, fastener 837 is a fitting inserted and set into groove 816 of retaining ring liner 819. Funnel liner 820 may also contain several pins 838 that are loosely fitted to provide the funnel liner 820 freedom to thermally expand while under a heating process. In one embodiment, funnel liner 820 becomes aligned and centered with substrate 802 after being thermally expanded. Alternatively, funnel liner 820 and retaining ring liner 819 may be formed as a single piece.
  • Process chamber 810 may further contain upper process liner 822 and lower process liner 824. Lower process liner 824 is disposed on bottom surface 827 and upper process liner 822 is disposed on lower process liner 824 and along wall surface 830 of chamber body 803. Slip valve liner 826 is positioned to protrude through upper process liner 822 and into process region 815. Liners including funnel liner 820, retaining ring liner 819, upper process liner 822, lower process liner 824 and slip valve liner 826 are thermally insulating material, such as fused quartz, sapphire, PBN material, ceramic, derivatives thereof or combinations thereof. Generally, the liners are stressed relieved to prevent failure to thermal cycling during start-up and cool-down cycles of the deposition processes described herein. The liners are capable of withstanding temperatures of about 800° C. or higher, preferably about 1,000° C. or higher, more preferably about 1,200° C. or higher. Additionally, the liners are flame polished to achieve a surface finish of about 2 microinches (about 0.051 μm) or less. The polished finish provides a smooth surface so that process reactants are delivered with little or no turbulence, as well as minimizes nucleation sites on the liners that may undesirably promote film growth thereon. Also, flame polishing removes surface flaws (e.g., pits and cracks) to minimize the nucleation of thermal stress-induced cracks.
  • Purge line 829 is a chamber back side purge line disposed from the bottom of chamber body 803 to chamber lid 832 and funnel liner 820. Purge line 829 is situated to allow a flow of purge gas between wall surface 830 and upper/ lower process liners 822 and 824 and into process region 815. A source of purge gas may be connected to purge line 829 through inlets 804. Purge gas flowing through purge line 826 buffers wall surface 830 from contaminants and excessive heat that may escape process region 815. Contaminants include precursors or reaction products that may by-pass upper/ lower process liners 822 and 824 to deposit on wall surface 830. Also, heat originating from process region 815 may evade upper/ lower process liners 822 and 824 and absorb into process body 803. However, a stream of purge gas flowing through purge line 826 transports contaminants and heat back into process region 815. Thermal choke plate 809 is disposed on the outside of chamber body 803 to prevent heat loss from process region 815.
  • FIG. 9B depicts a schematic view of upper process liner 822, lower process liner 824 and slip valve liner 826. Upper process liner 822 and lower process liner 824 may contain lift pin holes 821 and 823 to accept substrate lift pins (not shown) during movement of substrate 802. Upper process liner 822 and lower process liner 824 are positioned within the process chamber to align lift pin holes 821 with lift pin holes 823. Upper process liner 822 further contains vacuum port 835 accept exhaust adaptor 831 and slit valve port 825 to accept slip valve liner 826. Exhaust adaptor 831 is positioned through chamber body 803 and vacuum port 835 so that process region 815 is in fluid communication with vacuum system 833. Substrates pass through slip valve liner 826 to enter and exit process chamber 810. Slip valve liner 826 may also protrude through thermal choke plate 809.
  • Pumping efficiency may be controlled by using choke gap 840. Choke gap 840 is a space formed between the bottom edge of funnel liner 820 and top of substrate support pedestal 812. Choke gap 840 is a circumferential gap that may be varied depending on the process conditions and the required pumping efficiency. Choke gap 840 is increased by lowering substrate support pedestal 812 or decreased by raising substrate support pedestal 812. The pumping conductance from the pumping port (not shown) in the lower portion of process chamber 810 to the center of expanding channel 834 is modified by changing the distance of choke gap 840 to control the thickness and the uniformity of a film during deposition processes described herein.
  • FIG. 10 depicts a schematic view of process chamber lid assembly 1050 that may be used on the ALD process chambers described herein. In one example, lid assembly 1050 may replace lid 832 and gas delivery system 811 on process chamber 810. In another example, lid assembly 1050 may replace lid 732 and gas delivery apparatus 730 on process chamber 680. Lid assembly 1050 contains valve manifold support 1030 disposed on lid 1032. Thermal isolators 1002 a and 1002 b separate valve manifold support 1030 from lid 1032 and any heat dissipating therefrom. Conduits 1020 and 1022 traverse through lid 1032 to provide fluid communication from external sources or devices into the process chamber. Valve manifold support 1030 contains adapter 1074, valves 1043 a, 1043 b, 1043 c and 1043 d and valves 1045 a, 1045 b, 1045 c and 1045 d. Adapter 1074 supports a microwave apparatus or a remote plasma apparatus used during a plasma process, such as a PE-ALD process, a pre-clean process or a nitridation process. Valves 1043 a, 1043 b, 1043 c and 1043 d and valves 1045 a, 1045 b, 1045 c and 1045 d are connected by a conduit system (not shown) within valve manifold support 1030. Precursor sources, purge gas sources and/or carrier gas sources are in fluid communication with a process chamber through lid assembly 1050 during a deposition process. In one example, lid assembly 1050 is plumbed with a conduit system similar to conduit system 841 within gas delivery system 811.
  • A “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Processes of the embodiments described herein deposit hafnium-containing materials on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two, three or more reactive compounds may alternatively be introduced into a reaction zone of a process chamber. Usually, each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay a purge gas, such as nitrogen, is introduced into the process chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness. In another embodiment, a first precursor containing compound A, a second precursor containing compound B and a third precursor containing compound C are each separately pulsed into the process chamber. Alternatively, a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors.
  • EXAMPLES
  • During Examples 1-10, the ALD processes are maintained at a temperature in a range from about 70° C. to about 1,000° C., preferably from about 100° C. to about 650° C., for example, about 350° C. The ALD processes may be conducted having the process chamber at a pressure in the range from about 0.1 Torr to about 100 Torr, preferably from about 1 Torr to about 10 Torr. A carrier gas (e.g., N2) may have a flow rate in the range from about 2 slm to about 22 slm, preferably about 10 slm. An oxidizing gas containing water vapor was produced by a water vapor generator (WVG) system containing a metal catalyst, available from Fujikin of America, Inc., located in Santa Clara, Calif. The WVG system formed the oxidizing gas from a hydrogen source gas and an oxygen source gas. The substrates were exposed to an oxidizing gas containing water vapor from the WVG system for about during a pre-treatment process. The pre-treatment process occurred for a period in a range from about 5 seconds to about 30 seconds. Deposited materials were formed with a thickness in the range from about 2 Å to about 1,000 Å, preferably, from about 5 Å to about 100 Å, and more preferably, from about 10 Å to about 50 Å.
  • Example 1
  • A hafnium oxide film is formed during an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas produced by a WVG system. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, HfCl4, is heated within a precursor ampoule at a temperature in a range from about 150° C. to about 200° C. A nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 3 seconds. A purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound hafnium precursor. Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system. The oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, oxygen and/or water or any by-products such as HCl. Each ALD cycle forms about 1 Å of a hafnium oxide film.
  • Example 2
  • A hafnium oxide film is formed during an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, HfCl4, is heated within a precursor ampoule at a temperature in a range from about 150° C. to about 200° C. A nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 0.5 seconds. A purge gas of nitrogen is provided into the chamber for about 0.5 seconds to remove any unbound hafnium precursor. Hydrogen gas and oxygen gas with the flow rate of about 50 sccm and about 60 sccm respectively, are supplied to the WVG system. The oxidizing gas coming from the WVG system contains water with a flow rate of about 50 sccm and oxygen with a flow rate of about 35 sccm. The oxidizing gas is provided into the chamber for about 0.5 seconds. The purge gas of nitrogen is provided into the chamber for about 0.5 seconds to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water or any by-products, such as HCl. Each ALD cycle forms about 2.5 Å of a hafnium oxide film.
  • Example 3
  • A hafnium silicate film is formed during with an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas followed by pulsing a silicon precursor with the oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, TDEAH, and silicon precursor, TDMAS, are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium precursor. Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system. The oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for 5 seconds to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water or by-products. A silicon precursor is provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound precursor or contaminant. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 5 seconds. Each ALD cycle forms about 1 Å of a hafnium silicate film.
  • Example 4
  • A hafnium silicate film is formed during with an ALD process by sequentially pulsing a hafnium precursor with oxidizing gas followed by pulsing a silicon precursor with the oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, HfCl4, and silicon precursor, Tris-DMAS, are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second. Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system. The oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm of water and oxygen with a flow rate of about 70 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water. A silicon precursor is provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound precursor or contaminant. The oxidizing gas is precursor into the chamber for about 1.7 seconds. The purge gas of nitrogen is precursor into the chamber for about 5 seconds. Each ALD cycle forms about 1 Å of a hafnium silicate film.
  • Example 5
  • A hafnium silicate film is formed during with an ALD process by simultaneously pulsing a hafnium precursor and a silicon precursor sequentially with oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, TDEAH, and silicon precursor, TDMAS, are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas. The hafnium precursor and the silicon precursor are each simultaneously provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium or silicon precursors. Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system. The oxidizing gas comes from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, silicon precursor, oxygen and/or water. Each ALD cycle forms about 1 Å of a hafnium silicate film.
  • Example 6
  • A hafnium silicate film is formed during with an ALD process by simultaneously pulsing a hafnium precursor and a silicon precursor sequentially with oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, HfCl4, and silicon precursor, Tris-DMAS, are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas. The hafnium precursor and the silicon precursor are each simultaneously provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium or silicon precursors. Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system. The oxidizing gas comes from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, silicon precursor, oxygen and/or water. Each ALD cycle forms about 1 Å of a hafnium silicate film.
  • Example 7
  • A hafnium oxide film is grown with an ALD process by sequentially pulsing a hafnium precursor with in-situ steam formed from a WVG system. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, HfCl4, is heated within a precursor ampoule at a temperature from about 150° C. to about 200° C. A nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 1.5 seconds. A purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound hafnium precursor. Forming gas (5 vol % H2 with balance in N2) and oxygen gas each with the flow rate of about 100 sccm are supplied to the WVG system. The oxidizing gas comes from the WVG system contains water with a flow rate of about 2.5 sccm and oxygen with a flow rate of about 98 sccm. The oxidizing gas is oxidizing gas into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 2.5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, oxygen and/or water.
  • Example 8
  • A hafnium silicate film is formed during with an ALD process by sequentially pulsing a hafnium precursor with oxidizing gas followed by pulsing a silicon precursor with the oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, TDEAH, and silicon precursor, TDMAS, are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium precursor. Forming gas (5 vol % H2 with balance in N2) and oxygen gas each with the flow rate of about 100 sccm are supplied to the WVG system. The oxidizing gas comes from the WVG system contains water with a flow rate of about 2.5 sccm and oxygen with a flow rate of about 98 sccm. The oxidizing gas is provided into the chamber for 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as hafnium precursor, oxygen and/or water or by-products. A silicon precursor is provided into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound precursor or contaminant. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 5 seconds. Each ALD cycle forms about 1 Å of a hafnium silicate film.
  • Example 9
  • A hafnium silicate film is formed during with an ALD process by simultaneously pulsing a hafnium precursor and a silicon precursor sequentially with oxidizing gas. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, TDEAH, and silicon precursor, TDMAS, are heated within separate precursor ampoules at room temperature (about 23° C.). These precursors are vaporized individually in vaporizers at about 110° C. to about 130° C. and individually mixed with an inert carrier gas. The hafnium precursor and the silicon precursor are each simultaneously pulsed into the chamber for about 1 second. A purge gas of nitrogen is provided into the chamber for about 1 second to remove any unbound hafnium or silicon precursors. Forming gas (0.5 vol % H2 with balance in N2) and oxygen gas each with the flow rate of about 100 sccm are supplied to the WVG system. The oxidizing gas from the WVG system contains water with a flow rate of about 0.25 sccm and oxygen with a flow rate of about 100 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, silicon precursor, oxygen and/or water. Each ALD cycle forms about 1 Å of a hafnium silicate film.
  • Example 10
  • A hafnium oxide film is formed during an ALD process by sequentially pulsing a hafnium precursor with an oxidizing gas produced by a WVG system. A substrate surface is exposed to a pretreatment process to form hydroxyl groups thereon. The hafnium precursor, TDEAH, is heated within a precursor ampoule at a temperature of about 23° C. A nitrogen carrier gas is directed into the precursor ampoule containing the hafnium precursor with a flow rate of about 400 sccm. The hafnium precursor saturates the carrier gas and is provided into the chamber for about 2 seconds. A purge gas of nitrogen is provided into the chamber for about 1.5 seconds to remove any unbound hafnium precursor. Hydrogen gas and oxygen gas with the flow rate of about 100 sccm and about 120 sccm respectively, are supplied to the WVG system. The oxidizing gas coming from the WVG system contains water with a flow rate of about 100 sccm and oxygen with a flow rate of about 70 sccm. The oxidizing gas is provided into the chamber for about 1.7 seconds. The purge gas of nitrogen is provided into the chamber for about 1.5 seconds to remove any unbound or non-reacted reagents, such as byproducts, hafnium precursor, oxygen and/or water. Each ALD cycle forms about 1.1 Å of a hafnium oxide film.
  • Materials are deposited by dosing chemicals separately in an alternating fashion to achieve the desired film composition or characteristics with selected half reactions. The above half reactions, however, do not dictate the exact bonding connectivity or the stoichiometry of the resulting film. While most of the product compositional stoichiometry is thermodynamically controlled during a chemical reaction, the product compositional stoichiometry may also be kinetically controlled to obtain the desired composition. Thus, the dosing sequence may be modified to effect the overall composition and qualities of the film.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (30)

1. A method for forming a hafnium-containing material on a substrate positioned within a process chamber, comprising:
exposing a substrate to a hafnium precursor to form a hafnium-containing layer thereon;
purging the process chamber with a purge gas;
exposing the substrate to an oxidizing gas to form a hafnium oxide material thereon, wherein the oxidizing gas comprises water vapor formed by flowing a hydrogen source gas and an oxygen source gas through a water vapor generator; and
purging the process chamber with the purge gas.
2. The method of claim 1, wherein the hydrogen source gas comprises hydrogen gas.
3. The method of claim 2, wherein the oxygen source gas comprises an oxygen compound selected from the group consisting of oxygen, nitrous oxide and combinations thereof.
4. The method of claim 3, wherein the oxygen compound flows into the water generator at a faster rate than the hydrogen gas.
5. The method of claim 4, wherein the oxidizing gas further comprises oxygen gas.
6. The method of claim 2, wherein the hydrogen source gas is a forming gas.
7. The method of claim 6, wherein the forming gas has a hydrogen gas concentration in a range from about 1 vol % to about 30 vol %.
8. The method of claim 1, wherein prior to exposing the substrate to the hafnium precursor, the substrate is exposed to a soak process containing the oxidizing gas for a time period in a range from about 5 seconds to about 30 seconds.
9. The method of claim 1, further comprising depositing a silicon oxide material on the hafnium oxide material by:
exposing the substrate to a silicon precursor to form a silicon-containing layer thereon;
purging the process chamber with the purge gas;
exposing the substrate to the oxidizing gas to form the silicon oxide material thereon; and
purging the process chamber with the purge gas.
10. A method for depositing a hafnium-containing material on a substrate during an atomic layer deposition process, comprising:
positioning a substrate within a process chamber;
flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to generate an oxidizing gas comprising water vapor; and
exposing the substrate sequentially to the oxidizing gas and a process gas comprising a hafnium precursor to form a hafnium-containing material on the substrate.
11. The method of claim 10, wherein the hydrogen source gas comprises hydrogen gas.
12. The method of claim 11, wherein the oxygen source gas comprises an oxygen compound selected from the group consisting of oxygen, nitrous oxide and combinations thereof.
13. The method of claim 12, wherein the oxygen compound flows into the water generator at a faster rate than the hydrogen gas.
14. The method of claim 13, wherein the oxidizing gas further comprises oxygen gas.
15. The method of claim 11, wherein the hydrogen source gas is a forming gas.
16. The method of claim 15, wherein the forming gas has a hydrogen gas concentration in a range from about 1 vol % to about 30 vol %.
17. The method of claim 10, wherein the hafnium-containing material is selected from the group consisting of hafnium oxide, hafnium silicate, hafnium silicon oxynitride, hafnium oxynitride, hafnium aluminate, derivatives thereof and combinations thereof.
18. The method of claim 17, wherein the process gas further comprises a silicon precursor or an aluminum precursor.
19. The method of claim 10, wherein prior to forming the hafnium-containing material, the substrate is exposed to a soak process containing the oxidizing gas for a time period in a range from about 5 seconds to about 30 seconds.
20. A method for forming a dielectric material on a substrate during an atomic layer deposition process, comprising:
positioning a substrate within a process chamber;
flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to form an oxidizing gas comprising a water vapor; and
exposing the substrate sequentially to the oxidizing gas and at least one precursor to form a dielectric material thereon.
21. The method of claim 20, wherein the at least one precursor is selected from the group consisting of a hafnium precursor, a zirconium precursor, a silicon precursor, an aluminum precursor, a tantalum precursor, a titanium precursor, a lanthanum precursor and combinations thereof.
22. The method of claim 21, wherein the dielectric material comprises at least one material selected from the group consisting of hafnium oxide, hafnium silicate, zirconium oxide, zirconium silicate, lanthanum oxide, lanthanum silicate, tantalum oxide, tantalum silicate, titanium oxide, titanium silicate, aluminum oxide, aluminum silicate, silicon oxide, derivatives thereof and combinations thereof.
23. The method of claim 20, wherein prior to forming the dielectric material, the substrate is exposed to a soak process containing the oxidizing gas for a time period in a range from about 5 seconds to about 30 seconds.
24. A method for depositing a hafnium silicate material on a substrate during an atomic layer deposition process, comprising:
positioning a substrate within a process chamber;
flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to form an oxidizing gas comprising a water vapor; and
exposing the substrate sequentially to the oxidizing gas and a process gas comprising a hafnium precursor and a silicon precursor to form a hafnium silicate material thereon.
25. The method of claim 24, wherein the process gas is formed by combining within the process chamber a first gas containing the hafnium precursor and a second gas containing the silicon precursor.
26. The method of claim 24, wherein the process gas is formed by vaporizing a reagent mixture containing the hafnium precursor and the silicon precursor.
27. A method for forming a hafnium-containing dielectric stack on a substrate within a process chamber, comprising:
flowing a hydrogen source gas and an oxygen source gas into a water vapor generator to form an oxidizing gas comprising water vapor; and
forming at least one hafnium oxide layer and at least one hafnium silicate layer on a substrate comprising:
exposing the substrate sequentially to the oxidizing gas and a first process gas comprising a hafnium precursor to form a first hafnium-containing material thereon; and
exposing the substrate sequentially to the oxidizing gas and a second process gas comprising the hafnium precursor to form a second hafnium-containing material on the first hafnium-containing material.
28. The method of claim 27, wherein the first process gas further comprises a silicon precursor.
29. The method of claim 27, wherein the second process gas further comprises a silicon precursor.
30. The method of claim 28, wherein prior to forming the first hafnium-containing material, the substrate is exposed to a soak process containing the oxidizing gas for a time period in a range from about 5 seconds to about 30 seconds.
US11/127,767 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials Abandoned US20050271813A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/127,767 US20050271813A1 (en) 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57017304P 2004-05-12 2004-05-12
US11/127,767 US20050271813A1 (en) 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Publications (1)

Publication Number Publication Date
US20050271813A1 true US20050271813A1 (en) 2005-12-08

Family

ID=34969846

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/119,388 Abandoned US20050252449A1 (en) 2004-05-12 2005-04-29 Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US11/127,767 Abandoned US20050271813A1 (en) 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US11/127,753 Active 2030-06-06 US8343279B2 (en) 2004-05-12 2005-05-12 Apparatuses for atomic layer deposition
US11/925,681 Expired - Fee Related US8282992B2 (en) 2004-05-12 2007-10-26 Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US11/925,684 Expired - Fee Related US7794544B2 (en) 2004-05-12 2007-10-26 Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/119,388 Abandoned US20050252449A1 (en) 2004-05-12 2005-04-29 Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/127,753 Active 2030-06-06 US8343279B2 (en) 2004-05-12 2005-05-12 Apparatuses for atomic layer deposition
US11/925,681 Expired - Fee Related US8282992B2 (en) 2004-05-12 2007-10-26 Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US11/925,684 Expired - Fee Related US7794544B2 (en) 2004-05-12 2007-10-26 Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system

Country Status (6)

Country Link
US (5) US20050252449A1 (en)
EP (2) EP1745159A2 (en)
JP (2) JP5053079B2 (en)
KR (1) KR101316056B1 (en)
CN (2) CN101052745B (en)
WO (2) WO2005113852A2 (en)

Cited By (468)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030188682A1 (en) * 1999-12-03 2003-10-09 Asm Microchemistry Oy Method of growing oxide films
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US20060003108A1 (en) * 2004-04-20 2006-01-05 Bernhard Zobel Method for production of transmission-enhancing and/or reflection-reducing optical coatings
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US20060079090A1 (en) * 1999-10-15 2006-04-13 Kai-Erik Elers Method for depositing nanolaminate thin films on sensitive surfaces
US20060094255A1 (en) * 2004-11-01 2006-05-04 Katsuyuki Sekine Semiconductor device and method of fabricating the same
US20060199384A1 (en) * 2005-03-03 2006-09-07 Takashi Ando Method of forming thin film, and method of manufacturing semiconductor device
US20070026147A1 (en) * 2001-10-26 2007-02-01 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US20080014762A1 (en) * 2000-04-14 2008-01-17 Asm International N.V. Process for producing zirconium oxide thin films
US20080020593A1 (en) * 2006-07-21 2008-01-24 Wang Chang-Gong ALD of metal silicate films
WO2008028082A2 (en) * 2006-08-30 2008-03-06 Applied Materials, Inc. Precursors and hardware for cvd and ald
US20080072819A1 (en) * 1998-09-11 2008-03-27 Asm International N.V. Metal oxide films
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080107809A1 (en) * 2001-10-26 2008-05-08 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080113096A1 (en) * 2006-11-14 2008-05-15 Maitreyee Mahajani Method of depositing catalyst assisted silicates of high-k materials
US20080251836A1 (en) * 2007-04-16 2008-10-16 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US20090068832A1 (en) * 2000-03-07 2009-03-12 Asm International N.V. Thin films
EP2058416A2 (en) * 2007-11-08 2009-05-13 Air Products and Chemicals, Inc. Preparation of a metal-containing film via ALD or CVD processes
US20090162551A1 (en) * 2007-12-21 2009-06-25 Thomas Zilbauer Hafnium oxide ald process
US20090209081A1 (en) * 2007-12-21 2009-08-20 Asm International N.V. Silicon Dioxide Thin Films by ALD
US20090223448A1 (en) * 2008-01-31 2009-09-10 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US20090246972A1 (en) * 2008-03-27 2009-10-01 Kher Shreyas S Methods for manufacturing high dielectric constant film
US20090246931A1 (en) * 2006-04-28 2009-10-01 Asm International N.V. Methods for Forming Roughened Surfaces and Applications thereof
US20090280648A1 (en) * 2008-05-09 2009-11-12 Cyprian Emeka Uzoh Method and apparatus for 3d interconnect
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20100048032A1 (en) * 2008-08-22 2010-02-25 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20100090309A1 (en) * 2008-10-15 2010-04-15 Noel Rocklein Capacitors, Dielectric Structures, And Methods Of Forming Dielectric Structures
US7699023B2 (en) 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20100166958A1 (en) * 2007-05-22 2010-07-01 National University Corporation Nagaoka University Of Technology Fabrication method and fabrication apparatus for fabricating metal oxide thin film
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20100279008A1 (en) * 2007-09-12 2010-11-04 Tokyo Electron Limited Film deposition apparatus and film deposition method
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20110053383A1 (en) * 2009-08-26 2011-03-03 Asm America, Inc. High concentration water pulses for atomic layer deposition
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20110065237A1 (en) * 2009-06-10 2011-03-17 Nexgen Semi Holding, Inc. Apparatus and method for manufacturing multi-component oxide heterostructures
US20110151227A1 (en) * 2008-05-23 2011-06-23 Sigma-Aldrich Co. High-k dielectric films and methods of producing using titanium-based b-diketonate precursors
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20110165401A1 (en) * 2008-05-23 2011-07-07 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based beta-diketonate precursors
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20110274837A1 (en) * 2009-02-09 2011-11-10 Beneq Oy Ald reactor, method for loading ald reactor, and production line
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20120103518A1 (en) * 2010-10-29 2012-05-03 Tokyo Electron Limited Film formation apparatus
US20120276721A1 (en) * 2011-04-28 2012-11-01 Samsung Electronics Co., Ltd. Method of forming an oxide layer and method of manufacturing semiconductor device including the oxide layer
US20130093048A1 (en) * 2011-10-17 2013-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited Material and Method of Formation
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20130295779A1 (en) * 2012-04-12 2013-11-07 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
KR20140019803A (en) * 2011-04-25 2014-02-17 도쿄엘렉트론가부시키가이샤 Film forming method
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8921176B2 (en) 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US20150004319A1 (en) * 2013-06-28 2015-01-01 Sumitomo Electric Industries, Ltd. Vapor phase growth method
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US20150140834A1 (en) * 2013-11-18 2015-05-21 Intermolecular Inc. al2o3 surface nucleation preparation with remote oxygen plasma
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9365926B2 (en) 2010-02-25 2016-06-14 Asm International N.V. Precursors and methods for atomic layer deposition of transition metal oxides
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9437817B2 (en) 2012-08-06 2016-09-06 Imec Insulator material for use in RRAM
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US20160312360A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180096886A1 (en) * 2016-09-30 2018-04-05 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
WO2019033003A1 (en) * 2017-08-11 2019-02-14 Tokyo Electron Limited Selective film deposition using halogen deactivation
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2019036252A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665501B2 (en) 2016-11-14 2020-05-26 Lam Research Corporation Deposition of Aluminum oxide etch stop layers
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220064795A1 (en) * 2020-08-26 2022-03-03 Asm Ip Holding B.V. Method and system for forming metal silicon oxide and metal silicon oxynitride layers
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11732355B2 (en) * 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) * 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
JP4711733B2 (en) * 2005-05-12 2011-06-29 株式会社Adeka Method for producing silicon oxide thin film
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP4896041B2 (en) * 2006-01-17 2012-03-14 株式会社日立国際電気 Manufacturing method of semiconductor device
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
DE102006023046B4 (en) * 2006-05-17 2009-02-05 Qimonda Ag Method and starting material for providing a gaseous precursor
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
JP2009539237A (en) * 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming high-k dielectric films based on novel titanium, zirconium and hafnium precursors and their use in semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP4845782B2 (en) * 2007-03-16 2011-12-28 東京エレクトロン株式会社 Film forming raw material
JP5176358B2 (en) * 2007-03-27 2013-04-03 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20080274615A1 (en) * 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4659856B2 (en) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 Method for forming fine pattern
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
DE102008026001B4 (en) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Method and device for producing and processing layers on substrates under a defined process atmosphere and heating element
KR101046520B1 (en) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber
WO2009034898A1 (en) * 2007-09-12 2009-03-19 Tokyo Electron Limited Film forming apparatus and film forming method
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
KR101544198B1 (en) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US8993051B2 (en) * 2007-12-12 2015-03-31 Technische Universiteit Delft Method for covering particles, especially a battery electrode material particles, and particles obtained with such method and a battery comprising such particle
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
KR101579217B1 (en) * 2007-12-20 2015-12-21 소이텍 Apparatus for delivering precursor gases to an epitaxial growth substrate
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP5408819B2 (en) * 2008-01-29 2014-02-05 国立大学法人長岡技術科学大学 Deposition apparatus and deposition method
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
WO2009117440A1 (en) * 2008-03-17 2009-09-24 Applied Materials, Inc. Heated valve manifold for ampoule
JP2009239082A (en) * 2008-03-27 2009-10-15 Tokyo Electron Ltd Gas feeding device, treating device, and treating method
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20090255582A1 (en) * 2008-04-10 2009-10-15 Epv Solar, Inc. Methods of drying glass for photovoltaic applications
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR101580575B1 (en) 2008-04-25 2015-12-28 에이에스엠 인터내셔널 엔.브이. Synthesis and use of precursors for ALD of tellurium and selenium thin films
US8208241B2 (en) 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5209395B2 (en) * 2008-07-25 2013-06-12 大陽日酸株式会社 Vapor growth equipment
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
JP2010084157A (en) * 2008-09-29 2010-04-15 Tokyo Electron Ltd Gas introduction mechanism and film deposition system
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5797255B2 (en) * 2008-10-29 2015-10-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP5665289B2 (en) 2008-10-29 2015-02-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
JP5400795B2 (en) * 2008-11-21 2014-01-29 国立大学法人長岡技術科学大学 Substrate processing method and substrate processing apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
CN102414794B (en) * 2009-04-21 2015-01-28 应用材料公司 CVD apparatus for improved film thickness non-uniformity and particle performance
US8507389B2 (en) 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
JP5359642B2 (en) 2009-07-22 2013-12-04 東京エレクトロン株式会社 Deposition method
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
CN101994087B (en) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 Evaporating deposition device
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5467007B2 (en) * 2009-09-30 2014-04-09 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP5797790B2 (en) * 2009-09-30 2015-10-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US20110256314A1 (en) * 2009-10-23 2011-10-20 Air Products And Chemicals, Inc. Methods for deposition of group 4 metal containing films
KR101829380B1 (en) 2009-10-26 2018-02-19 에이에스엠 인터내셔널 엔.브이. Synthesis and use of precursors for ALD of group VA element containing thin films
KR101584100B1 (en) * 2009-10-29 2016-01-13 삼성전자주식회사 Methods of fabricating metal silicate layer and methods for semiconductor device using the same
EP2499274B1 (en) * 2009-11-09 2016-04-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition methods using hafnium-containing compounds
JP5813303B2 (en) 2009-11-20 2015-11-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP5770892B2 (en) * 2009-11-20 2015-08-26 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8592294B2 (en) * 2010-02-22 2013-11-26 Asm International N.V. High temperature atomic layer deposition of dielectric oxides
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
KR101232904B1 (en) * 2010-09-06 2013-02-13 엘아이지에이디피 주식회사 a chemical vapor deposition apparatus and cleaning method of chemical vapor deposition
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
CN102453883A (en) * 2010-10-19 2012-05-16 英作纳米科技(北京)有限公司 Method for preparing inner wall coating with barrier action and good biological compatibility
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US20130330936A1 (en) * 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
SG11201405415TA (en) * 2011-04-07 2014-11-27 Picosun Oy Deposition reactor with plasma source
KR101819721B1 (en) * 2011-04-07 2018-02-28 피코순 오와이 Atomic layer deposition with plasma source
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US20130017317A1 (en) * 2011-07-13 2013-01-17 Ring Kenneth M Load lock control method and apparatus
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9690301B2 (en) 2012-09-10 2017-06-27 Reno Technologies, Inc. Pressure based mass flow controller
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
CN102312217B (en) * 2011-09-06 2013-04-17 中国科学院长春光学精密机械与物理研究所 Method for growing semiconductor film by using composite mode, and apparatus thereof
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP5829196B2 (en) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 Method for forming silicon oxide film
JP2013110161A (en) * 2011-11-17 2013-06-06 National Institute Of Advanced Industrial & Technology Substrate for element formation and manufacturing method therefor
US8679988B2 (en) 2011-11-22 2014-03-25 Intermolecular, Inc. Plasma processing of metal oxide films for resistive memory device applications
US8741698B2 (en) 2011-11-29 2014-06-03 Intermolecular, Inc. Atomic layer deposition of zirconium oxide for forming resistive-switching materials
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8569104B2 (en) * 2012-02-07 2013-10-29 Intermolecular, Inc. Transition metal oxide bilayers
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9514935B2 (en) 2012-03-28 2016-12-06 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101469713B1 (en) * 2012-12-06 2014-12-05 연세대학교 산학협력단 METHOD AND APPARATUS FOR FORMING C/SiC FUNCTIONALLY GRADED COATING
JP6087609B2 (en) 2012-12-11 2017-03-01 東京エレクトロン株式会社 Metal compound film forming method, film forming apparatus, and electronic product manufacturing method
US9040413B2 (en) 2012-12-13 2015-05-26 Intermolecular, Inc. Using saturated and unsaturated ALD processes to deposit oxides as ReRAM switching layer
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101451244B1 (en) * 2013-03-22 2014-10-15 참엔지니어링(주) Liner assembly and substrate processing apparatus having the same
US9343749B2 (en) * 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
US9275853B2 (en) * 2013-07-29 2016-03-01 Applied Materials, Inc. Method of adjusting a transistor gate flat band voltage with addition of AL203 on nitrided silicon channel
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US20150064361A1 (en) * 2013-09-04 2015-03-05 Intermolecular Inc. UV treatment for ALD film densification
JP5847783B2 (en) 2013-10-21 2016-01-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
KR102195139B1 (en) 2014-02-20 2020-12-24 삼성전자주식회사 Methods of manufacturing semiconductor devices
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
CN105448674B (en) * 2014-06-11 2018-12-21 清华大学 The preparation method of n type semiconductor layer and N-type TFT
JP6359913B2 (en) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 Processing equipment
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9330902B1 (en) 2015-06-04 2016-05-03 United Microelectronics Corp. Method for forming HfOx film based on atomic layer deposition (ALD) process
US9613870B2 (en) 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6457104B2 (en) 2015-09-29 2019-01-23 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
JP2016034043A (en) * 2015-11-25 2016-03-10 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
CN108495950A (en) * 2016-02-10 2018-09-04 倍耐克有限公司 Device for atomic layer deposition
KR102149907B1 (en) 2016-03-03 2020-08-31 어플라이드 머티어리얼스, 인코포레이티드 Improved self-assembly monolayer blocking by periodic air-water exposure
KR102206515B1 (en) * 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 Chamber liner for high temperature processing
KR102137477B1 (en) * 2016-03-29 2020-07-24 가부시키가이샤 코쿠사이 엘렉트릭 Method for manufacturing semiconductor device, substrate processing device and recording medium
EP3449500A4 (en) 2016-04-25 2020-04-22 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6640781B2 (en) * 2017-03-23 2020-02-05 キオクシア株式会社 Semiconductor manufacturing equipment
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
GB2561190A (en) 2017-04-04 2018-10-10 Edwards Ltd Purge gas feeding means, abatement systems and methods of modifying abatement systems
CN107022753B (en) * 2017-04-19 2019-09-27 同济大学 A kind of atomic layer deposition reaction unit and via material surface film depositing operation
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20190006586A1 (en) * 2017-06-29 2019-01-03 Asm Ip Holding B.V. Chalcogenide films for selector devices
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
TWI635539B (en) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 High-k dielectric layer, fabricating method thereof and multifunction equipment implementing such fabricating method
WO2019058608A1 (en) * 2017-09-25 2019-03-28 株式会社Kokusai Electric Manufacturing method of semiconductor device, substrate processing device, and program
SG11202001472QA (en) 2017-09-26 2020-04-29 Applied Materials Inc Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
DE102018124675A1 (en) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing of film at different temperatures and structures formed thereby
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102556277B1 (en) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 Deposition apparatus and deposition method
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI815891B (en) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 Thin films and methods of depositing thin films
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN110541159A (en) * 2018-11-06 2019-12-06 北京北方华创微电子装备有限公司 Atomic layer deposition apparatus and method
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200100928A (en) * 2019-02-19 2020-08-27 현대자동차주식회사 Apparatus for surface treating of powder and Method of surface treating of powder using the same
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
JP6826173B2 (en) * 2019-09-17 2021-02-03 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
KR20230150309A (en) 2021-03-03 2023-10-30 아이커 시스템즈, 인크. Fluid flow control system including manifold assembly
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5408818A (en) * 1993-06-21 1995-04-25 Damron; Matthew S. Magnetic bar adapter for rakes
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5885680A (en) * 1994-11-07 1999-03-23 Sediver Societe Europeene D'isolateurs En Verre Et Composite Electric isolator and its manufacturing method
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6180067B1 (en) * 1997-04-28 2001-01-30 Fujikin Incorporated Reactor for the generation of water
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6335240B1 (en) * 1998-01-06 2002-01-01 Samsung Electronics Co., Ltd. Capacitor for a semiconductor device and method for forming the same
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020005556A1 (en) * 1999-10-06 2002-01-17 Eduard Albert Cartier Silicate gate dielectric
US20020008297A1 (en) * 2000-06-28 2002-01-24 Dae-Gyu Park Gate structure and method for manufacture thereof
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020015790A1 (en) * 1999-10-07 2002-02-07 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US20020014647A1 (en) * 2000-07-07 2002-02-07 Infineon Technologies Ag Trench capacitor with isolation collar and corresponding method of production
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020029092A1 (en) * 1998-09-21 2002-03-07 Baltes Gass Process tool and process system for processing a workpiece
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20020043666A1 (en) * 2000-07-20 2002-04-18 Parsons Gregory N. High dielectric constant metal silicates formed by controlled metal-surface reactions
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030060057A1 (en) * 2000-02-22 2003-03-27 Ivo Raaijmakers Method of forming ultrathin oxide layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20040007747A1 (en) * 2002-07-15 2004-01-15 Visokay Mark R. Gate structure and method
US20040009675A1 (en) * 2002-07-15 2004-01-15 Eissa Mona M. Gate structure and method
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040016973A1 (en) * 2002-07-26 2004-01-29 Rotondaro Antonio L.P. Gate dielectric and method
US20040023462A1 (en) * 2002-07-31 2004-02-05 Rotondaro Antonio L.P. Gate dielectric and method
US20040024506A1 (en) * 2002-08-01 2004-02-05 Augustine Michael J. Vehicle steering system with visual feedback display
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040036111A1 (en) * 2002-03-26 2004-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and a fabrication method thereof
US20040038554A1 (en) * 2002-08-21 2004-02-26 Ahn Kie Y. Composite dielectric forming methods and composite dielectrics
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US20040040501A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040048491A1 (en) * 2002-09-10 2004-03-11 Hyung-Suk Jung Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US20040051152A1 (en) * 2002-09-13 2004-03-18 Semiconductor Technology Academic Research Center Semiconductor device and method for manufacturing same
US20040053484A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor using a hard mask
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050064207A1 (en) * 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials

Family Cites Families (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
DE2811651C3 (en) * 1978-03-17 1980-09-04 Fa. J. Eberspaecher, 7300 Esslingen Afterburning device with catalyst
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS58115130A (en) * 1981-12-25 1983-07-08 Toyoda Autom Loom Works Ltd False-twisting nozzle
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS62104038A (en) * 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd Steam-containing oxygen gas supplying device
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
JPS63227011A (en) 1987-03-17 1988-09-21 Fujitsu Ltd Chemical vapor deposition system
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3801147A1 (en) 1988-01-16 1989-07-27 Philips Patentverwaltung DEVICE FOR GENERATING A GAS FLOW ENRICHED WITH THE VAPOR OF A LITTLE VOLATILE FABRIC
US5229081A (en) 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
FR2628985B1 (en) * 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
GB8815070D0 (en) * 1988-06-24 1988-08-03 Univ City Device for catalytic combination/recombination of hydrogen & oxygen
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JP2637265B2 (en) 1990-06-28 1997-08-06 株式会社東芝 Method of forming silicon nitride film
JP3140068B2 (en) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 Cleaning method
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (en) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5216959A (en) 1991-09-10 1993-06-08 Amada Company, Ltd. Method and device for press overload protection
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97730C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3901252B2 (en) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 Chemical vapor deposition equipment
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
TW471068B (en) * 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
JPH10306377A (en) 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US7056575B2 (en) * 1997-09-03 2006-06-06 Krona Industries Ltd. Low emissivity, high reflectivity insulation
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
JPH11204517A (en) * 1998-01-12 1999-07-30 Sony Corp Forming method of silicon oxide film and silicon oxide film forming equipment
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
US6520218B1 (en) 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
EP1186030B1 (en) 1999-05-12 2011-05-04 Qimonda AG Capacitor for a semiconductor arrangement and method for producing a dielectric layer for the capacitor
JP2000349081A (en) 1999-06-07 2000-12-15 Sony Corp Method for formation of oxide film
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
JP3909792B2 (en) 1999-08-20 2007-04-25 パイオニア株式会社 Raw material supply apparatus and raw material supply method in chemical vapor deposition
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (en) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 Method and apparatus for forming insulating film containing silicon oxy-nitride
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
KR100705926B1 (en) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100358056B1 (en) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 Method of forming a gate dielectric film in a semiconductor device
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR20010096229A (en) 2000-04-18 2001-11-07 황 철 주 Apparatus and method for forming ultra-thin film of semiconductor device
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
IL144024A0 (en) * 2000-06-05 2002-04-21 Fujikin Kk Reactor for generating moisture
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
JP4868639B2 (en) 2000-06-12 2012-02-01 株式会社Adeka Raw material for chemical vapor deposition and method for producing thin film using the same
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP3409290B2 (en) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 Gate oxide film forming material
JP2002172767A (en) 2000-09-26 2002-06-18 Canon Inc Ink jet recorder, its controlling method, and information processor and processing method
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
FI118014B (en) 2000-10-23 2007-05-31 Asm Int Process for making alumina thin films at low temperatures
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
JP3864696B2 (en) * 2000-11-10 2007-01-10 株式会社デンソー Method and apparatus for producing silicon carbide single crystal
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (en) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 Deposition method
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
KR100869326B1 (en) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6927435B2 (en) 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
KR100400031B1 (en) 2001-01-17 2003-09-29 삼성전자주식회사 Contact plug of semiconductor device and method of forming the same
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3730962B2 (en) 2001-04-02 2006-01-05 松下電器産業株式会社 Manufacturing method of semiconductor device
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10117783A1 (en) * 2001-04-10 2002-10-24 Bat Cigarettenfab Gmbh Process gas processing for tobacco dryers
JP2002313951A (en) 2001-04-11 2002-10-25 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
JP2002314072A (en) 2001-04-19 2002-10-25 Nec Corp Semiconductor device with high dielectric thin film and manufacturing method therefor, and film-forming method for dielectric film
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6632747B2 (en) * 2001-06-20 2003-10-14 Texas Instruments Incorporated Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
CA2452656C (en) 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US20030104707A1 (en) 2001-11-16 2003-06-05 Yoshihide Senzaki System and method for improved thin dielectric films
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
JP4021653B2 (en) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Manufacturing method of silicon nitride film or silicon oxynitride film by CVD method
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (en) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd Insulating film-forming method, and semiconductor device and manufacturing method thereof
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (en) 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
JP3627106B2 (en) 2002-05-27 2005-03-09 株式会社高純度化学研究所 Method for producing hafnium silicate thin film by atomic layer adsorption deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
EP1512165A2 (en) 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6638802B1 (en) * 2002-06-20 2003-10-28 Intel Corporation Forming strained source drain junction field effect transistors
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040015377A1 (en) * 2002-07-12 2004-01-22 Nokia Corporation Method for assessing software development maturity
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
JP2004071757A (en) * 2002-08-05 2004-03-04 Hitachi Ltd Method and device for manufacturing high dielectric constant film
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
WO2004018909A2 (en) 2002-08-20 2004-03-04 Applied Materials, Inc. Electronically actuated valve
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7020802B2 (en) 2002-10-17 2006-03-28 Sun Microsystems, Inc. Method and apparatus for monitoring and recording computer system performance parameters
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100460841B1 (en) * 2002-10-22 2004-12-09 한국전자통신연구원 Method for forming nitrogen containing oxide thin film by plasma enhanced atomic layer deposition
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
DE10319540A1 (en) 2003-04-30 2004-11-25 Infineon Technologies Ag Process for ALD coating of substrates and a device suitable for carrying out the process
JP5342110B2 (en) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド Source canister containing precursor and method for filling features using the same
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
CN100593235C (en) * 2003-06-13 2010-03-03 应用材料公司 Integration of ALD tantalum nitride for copper metallization
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3965167B2 (en) * 2003-07-04 2007-08-29 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
KR20070089197A (en) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5408818A (en) * 1993-06-21 1995-04-25 Damron; Matthew S. Magnetic bar adapter for rakes
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5885680A (en) * 1994-11-07 1999-03-23 Sediver Societe Europeene D'isolateurs En Verre Et Composite Electric isolator and its manufacturing method
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6180067B1 (en) * 1997-04-28 2001-01-30 Fujikin Incorporated Reactor for the generation of water
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6335240B1 (en) * 1998-01-06 2002-01-01 Samsung Electronics Co., Ltd. Capacitor for a semiconductor device and method for forming the same
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20020029092A1 (en) * 1998-09-21 2002-03-07 Baltes Gass Process tool and process system for processing a workpiece
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20020005556A1 (en) * 1999-10-06 2002-01-17 Eduard Albert Cartier Silicate gate dielectric
US20020015790A1 (en) * 1999-10-07 2002-02-07 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20030060057A1 (en) * 2000-02-22 2003-03-27 Ivo Raaijmakers Method of forming ultrathin oxide layer
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20020008297A1 (en) * 2000-06-28 2002-01-24 Dae-Gyu Park Gate structure and method for manufacture thereof
US20020014647A1 (en) * 2000-07-07 2002-02-07 Infineon Technologies Ag Trench capacitor with isolation collar and corresponding method of production
US20020043666A1 (en) * 2000-07-20 2002-04-18 Parsons Gregory N. High dielectric constant metal silicates formed by controlled metal-surface reactions
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20040036111A1 (en) * 2002-03-26 2004-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and a fabrication method thereof
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040009675A1 (en) * 2002-07-15 2004-01-15 Eissa Mona M. Gate structure and method
US20040007747A1 (en) * 2002-07-15 2004-01-15 Visokay Mark R. Gate structure and method
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040016973A1 (en) * 2002-07-26 2004-01-29 Rotondaro Antonio L.P. Gate dielectric and method
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040023462A1 (en) * 2002-07-31 2004-02-05 Rotondaro Antonio L.P. Gate dielectric and method
US20040024506A1 (en) * 2002-08-01 2004-02-05 Augustine Michael J. Vehicle steering system with visual feedback display
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040038554A1 (en) * 2002-08-21 2004-02-26 Ahn Kie Y. Composite dielectric forming methods and composite dielectrics
US20040040501A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040048491A1 (en) * 2002-09-10 2004-03-11 Hyung-Suk Jung Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US20040051152A1 (en) * 2002-09-13 2004-03-18 Semiconductor Technology Academic Research Center Semiconductor device and method for manufacturing same
US20040053484A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor using a hard mask
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050064207A1 (en) * 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials

Cited By (709)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685165B2 (en) 1998-09-11 2014-04-01 Asm International N.V. Metal oxide films
US20080072819A1 (en) * 1998-09-11 2008-03-27 Asm International N.V. Metal oxide films
US20060079090A1 (en) * 1999-10-15 2006-04-13 Kai-Erik Elers Method for depositing nanolaminate thin films on sensitive surfaces
US7749871B2 (en) 1999-10-15 2010-07-06 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US20070163488A1 (en) * 1999-12-03 2007-07-19 Eva Tois Method of growing oxide thin films
US20040065253A1 (en) * 1999-12-03 2004-04-08 Eva Tois Method of growing oxide thin films
US7824492B2 (en) 1999-12-03 2010-11-02 Asm International N.V. Method of growing oxide thin films
US20030188682A1 (en) * 1999-12-03 2003-10-09 Asm Microchemistry Oy Method of growing oxide films
US20110104906A1 (en) * 1999-12-03 2011-05-05 Asm International N.V. Method of growing oxide thin films
US7771533B2 (en) 1999-12-03 2010-08-10 Asm International N.V. Atomic-layer-chemical-vapor-deposition of films that contain silicon dioxide
US7771534B2 (en) 1999-12-03 2010-08-10 Asm International N.V. Method of growing oxide thin films
US9514956B2 (en) 1999-12-03 2016-12-06 Asm International N.V. Method of growing oxide thin films
US7981791B2 (en) 2000-03-07 2011-07-19 Asm International N.V. Thin films
US20090068832A1 (en) * 2000-03-07 2009-03-12 Asm International N.V. Thin films
US7998883B2 (en) 2000-04-14 2011-08-16 Asm International N.V. Process for producing zirconium oxide thin films
US20080014762A1 (en) * 2000-04-14 2008-01-17 Asm International N.V. Process for producing zirconium oxide thin films
US7754621B2 (en) 2000-04-14 2010-07-13 Asm International N.V. Process for producing zirconium oxide thin films
US20100266751A1 (en) * 2000-04-14 2010-10-21 Asm International N.V. Process for producing zirconium oxide thin films
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US9012334B2 (en) 2001-02-02 2015-04-21 Applied Materials, Inc. Formation of a tantalum-nitride layer
US8114789B2 (en) 2001-02-02 2012-02-14 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US8293328B2 (en) 2001-10-26 2012-10-23 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US7699023B2 (en) 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080107809A1 (en) * 2001-10-26 2008-05-08 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080038463A1 (en) * 2001-10-26 2008-02-14 Applied Materials, Inc. Atomic layer deposition process
US20070026147A1 (en) * 2001-10-26 2007-02-01 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US8318266B2 (en) 2001-10-26 2012-11-27 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20060003108A1 (en) * 2004-04-20 2006-01-05 Bernhard Zobel Method for production of transmission-enhancing and/or reflection-reducing optical coatings
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US20080213478A1 (en) * 2004-06-24 2008-09-04 Tokyo Electron Limited Vertical cvd apparatus and cvd method using the same
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US7927662B2 (en) 2004-06-24 2011-04-19 Tokyo Electron Limited CVD method in vertical CVD apparatus using different reactive gases
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US20100003813A1 (en) * 2004-11-01 2010-01-07 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20060094255A1 (en) * 2004-11-01 2006-05-04 Katsuyuki Sekine Semiconductor device and method of fabricating the same
US20070197048A1 (en) * 2004-11-01 2007-08-23 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7220681B2 (en) * 2004-11-01 2007-05-22 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060199384A1 (en) * 2005-03-03 2006-09-07 Takashi Ando Method of forming thin film, and method of manufacturing semiconductor device
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8361910B2 (en) * 2005-08-26 2013-01-29 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20110263137A1 (en) * 2005-08-26 2011-10-27 Maitreyee Mahajani Pretreatment processes within a batch ald reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US9127351B2 (en) 2005-10-27 2015-09-08 Asm International N.V. Enhanced thin film deposition
US10297444B2 (en) 2005-10-27 2019-05-21 Asm International N.V. Enhanced thin film deposition
US9831094B2 (en) 2005-10-27 2017-11-28 Asm International N.V. Enhanced thin film deposition
US10964534B2 (en) 2005-10-27 2021-03-30 Asm International Enhanced thin film deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US8252703B2 (en) 2006-04-28 2012-08-28 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7923382B2 (en) 2006-04-28 2011-04-12 Asm International N.V. Method for forming roughened surface
US20090246931A1 (en) * 2006-04-28 2009-10-01 Asm International N.V. Methods for Forming Roughened Surfaces and Applications thereof
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7863190B1 (en) 2006-06-21 2011-01-04 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US20080020593A1 (en) * 2006-07-21 2008-01-24 Wang Chang-Gong ALD of metal silicate films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US8993455B2 (en) 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
WO2008028082A2 (en) * 2006-08-30 2008-03-06 Applied Materials, Inc. Precursors and hardware for cvd and ald
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
WO2008028082A3 (en) * 2006-08-30 2008-04-24 Applied Materials Inc Precursors and hardware for cvd and ald
US8951880B2 (en) 2006-08-31 2015-02-10 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8557672B2 (en) 2006-08-31 2013-10-15 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US8519466B2 (en) 2006-08-31 2013-08-27 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8168502B2 (en) 2006-08-31 2012-05-01 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8772851B2 (en) 2006-08-31 2014-07-08 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7972977B2 (en) * 2006-10-05 2011-07-05 Asm America, Inc. ALD of metal silicate films
US20110256735A1 (en) * 2006-10-05 2011-10-20 Asm America, Inc. Ald of metal silicate films
KR101427142B1 (en) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 ALD of metal silicate films
US8563444B2 (en) * 2006-10-05 2013-10-22 Asm America, Inc. ALD of metal silicate films
TWI410513B (en) * 2006-10-05 2013-10-01 Asm Inc Ald of metal silicate films
WO2008042981A3 (en) * 2006-10-05 2008-09-18 Asm Inc Ald of metal silicate films
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7897208B2 (en) 2006-11-14 2011-03-01 Applied Materials, Inc. Low temperature ALD SiO2
US20100227061A1 (en) * 2006-11-14 2010-09-09 Maitreyee Mahajani LOW TEMPERATURE ALD Si02
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US20080113096A1 (en) * 2006-11-14 2008-05-15 Maitreyee Mahajani Method of depositing catalyst assisted silicates of high-k materials
US20080251836A1 (en) * 2007-04-16 2008-10-16 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US7851285B2 (en) * 2007-04-16 2010-12-14 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US8591991B2 (en) 2007-05-22 2013-11-26 National University Corporation Nagaoka University Of Technology Fabrication method and fabrication apparatus for fabricating metal oxide thin film
US20100166958A1 (en) * 2007-05-22 2010-07-01 National University Corporation Nagaoka University Of Technology Fabrication method and fabrication apparatus for fabricating metal oxide thin film
US8506713B2 (en) 2007-09-12 2013-08-13 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20100279008A1 (en) * 2007-09-12 2010-11-04 Tokyo Electron Limited Film deposition apparatus and film deposition method
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
EP2058416A2 (en) * 2007-11-08 2009-05-13 Air Products and Chemicals, Inc. Preparation of a metal-containing film via ALD or CVD processes
US8016945B2 (en) 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US20090162551A1 (en) * 2007-12-21 2009-06-25 Thomas Zilbauer Hafnium oxide ald process
US20090209081A1 (en) * 2007-12-21 2009-08-20 Asm International N.V. Silicon Dioxide Thin Films by ALD
US8828141B2 (en) * 2008-01-31 2014-09-09 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8461062B2 (en) * 2008-01-31 2013-06-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20120122318A1 (en) * 2008-01-31 2012-05-17 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090223448A1 (en) * 2008-01-31 2009-09-10 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090246972A1 (en) * 2008-03-27 2009-10-01 Kher Shreyas S Methods for manufacturing high dielectric constant film
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8043907B2 (en) 2008-03-31 2011-10-25 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20090280648A1 (en) * 2008-05-09 2009-11-12 Cyprian Emeka Uzoh Method and apparatus for 3d interconnect
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8613975B2 (en) 2008-05-23 2013-12-24 Sigma-Aldrich Co. Llc Methods of producing high-K dielectric films using cerium-based precursors
US20110165401A1 (en) * 2008-05-23 2011-07-07 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based beta-diketonate precursors
US20110151227A1 (en) * 2008-05-23 2011-06-23 Sigma-Aldrich Co. High-k dielectric films and methods of producing using titanium-based b-diketonate precursors
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US11605522B1 (en) 2008-06-30 2023-03-14 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US8382897B2 (en) 2008-08-22 2013-02-26 Applied Materials, Inc. Process gas delivery for semiconductor process chambers
US20100048032A1 (en) * 2008-08-22 2010-02-25 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US8187381B2 (en) * 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
KR101561018B1 (en) 2008-08-22 2015-10-19 어플라이드 머티어리얼스, 인코포레이티드 Process gas delivery for semiconductor process chamber
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100090309A1 (en) * 2008-10-15 2010-04-15 Noel Rocklein Capacitors, Dielectric Structures, And Methods Of Forming Dielectric Structures
US8187933B2 (en) 2008-10-15 2012-05-29 Micron Technology, Inc. Methods of forming dielectric material-containing structures
US8603877B2 (en) 2008-10-15 2013-12-10 Micron Technology, Inc. Methods of forming dielectric material-containing structures
US7820506B2 (en) * 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures
KR101234910B1 (en) * 2008-10-15 2013-02-19 마이크론 테크놀로지, 인크. Capacitors, dielectric structures, and methods of forming dielectric structures
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110274837A1 (en) * 2009-02-09 2011-11-10 Beneq Oy Ald reactor, method for loading ald reactor, and production line
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US8409984B2 (en) * 2009-06-10 2013-04-02 Nexgen Semi Holding, Inc. Apparatus and method for manufacturing multi-component oxide heterostructures
US20110065237A1 (en) * 2009-06-10 2011-03-17 Nexgen Semi Holding, Inc. Apparatus and method for manufacturing multi-component oxide heterostructures
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011028377A2 (en) * 2009-08-26 2011-03-10 Asm America, Inc. High concentration water pulses for atomic layer deposition
WO2011028377A3 (en) * 2009-08-26 2011-05-26 Asm America, Inc. High concentration water pulses for atomic layer deposition
US20110053383A1 (en) * 2009-08-26 2011-03-03 Asm America, Inc. High concentration water pulses for atomic layer deposition
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US11555242B2 (en) 2010-02-25 2023-01-17 Asm International N.V. Precursors and methods for atomic layer deposition of transition metal oxides
US10344378B2 (en) 2010-02-25 2019-07-09 Asm International N.V. Precursors and methods for atomic layer deposition of transition metal oxides
US9365926B2 (en) 2010-02-25 2016-06-14 Asm International N.V. Precursors and methods for atomic layer deposition of transition metal oxides
US9677173B2 (en) 2010-02-25 2017-06-13 Asm International N.V. Precursors and methods for atomic layer deposition of transition metal oxides
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120103518A1 (en) * 2010-10-29 2012-05-03 Tokyo Electron Limited Film formation apparatus
US8945339B2 (en) * 2010-10-29 2015-02-03 Tokyo Electron Limited Film formation apparatus
US9034774B2 (en) * 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
US20140051263A1 (en) * 2011-04-25 2014-02-20 Tokyo Electron Limited Film forming method
KR101657341B1 (en) 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 Film forming method
KR20140019803A (en) * 2011-04-25 2014-02-17 도쿄엘렉트론가부시키가이샤 Film forming method
US20120276721A1 (en) * 2011-04-28 2012-11-01 Samsung Electronics Co., Ltd. Method of forming an oxide layer and method of manufacturing semiconductor device including the oxide layer
US9076647B2 (en) * 2011-04-28 2015-07-07 Samsung Electronics Co., Ltd. Method of forming an oxide layer and method of manufacturing semiconductor device including the oxide layer
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US9816203B2 (en) 2011-09-12 2017-11-14 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US9818885B2 (en) 2011-10-17 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US9524868B2 (en) 2011-10-17 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US8759234B2 (en) * 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US20130093048A1 (en) * 2011-10-17 2013-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited Material and Method of Formation
US9257272B2 (en) 2011-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US10242864B2 (en) 2012-04-12 2019-03-26 Versum Materials Us, Llc High temperature atomic layer deposition of silicon oxide thin films
US20130295779A1 (en) * 2012-04-12 2013-11-07 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8921176B2 (en) 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9437817B2 (en) 2012-08-06 2016-09-06 Imec Insulator material for use in RRAM
US10256403B2 (en) 2012-08-06 2019-04-09 Imec Insulator material for use in RRAM
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US10074541B2 (en) 2013-03-13 2018-09-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9583348B2 (en) 2013-03-14 2017-02-28 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9111749B2 (en) 2013-03-14 2015-08-18 Asm Ip Holdings B.V. Silane or borane treatment of metal thin films
US9236247B2 (en) 2013-03-14 2016-01-12 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US20150004319A1 (en) * 2013-06-28 2015-01-01 Sumitomo Electric Industries, Ltd. Vapor phase growth method
US9803278B2 (en) * 2013-06-28 2017-10-31 Sumitomo Electric Industries, Ltd. Vapor phase growth method
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US20150140834A1 (en) * 2013-11-18 2015-05-21 Intermolecular Inc. al2o3 surface nucleation preparation with remote oxygen plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11450591B2 (en) 2014-04-17 2022-09-20 Asm Ip Holding B.V. Fluorine-containing conductive films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US11823976B2 (en) 2014-04-17 2023-11-21 ASM IP Holding, B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10636889B2 (en) 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11139383B2 (en) 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160312360A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11932939B2 (en) 2015-04-22 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11362222B2 (en) 2015-10-16 2022-06-14 Asm Ip Holding B.V. Photoactive devices and materials
US10861986B2 (en) 2015-10-16 2020-12-08 Asm Ip Holding B.V. Photoactive devices and materials
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US11107673B2 (en) 2015-11-12 2021-08-31 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10510529B2 (en) 2015-11-12 2019-12-17 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10424476B2 (en) 2015-11-12 2019-09-24 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10418236B2 (en) * 2016-09-30 2019-09-17 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US20180096886A1 (en) * 2016-09-30 2018-04-05 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10665501B2 (en) 2016-11-14 2020-05-26 Lam Research Corporation Deposition of Aluminum oxide etch stop layers
US10804144B2 (en) 2016-11-14 2020-10-13 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US11195845B2 (en) 2017-04-13 2021-12-07 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10410858B2 (en) 2017-08-11 2019-09-10 Tokyo Electron Limited Selective film deposition using halogen deactivation
WO2019033003A1 (en) * 2017-08-11 2019-02-14 Tokyo Electron Limited Selective film deposition using halogen deactivation
WO2019036252A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11603767B2 (en) 2018-03-19 2023-03-14 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11560804B2 (en) 2018-03-19 2023-01-24 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11761094B2 (en) 2018-04-27 2023-09-19 Applied Materials, Inc. Protection of components from corrosion
US11753727B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11732355B2 (en) * 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US20220064795A1 (en) * 2020-08-26 2022-03-03 Asm Ip Holding B.V. Method and system for forming metal silicon oxide and metal silicon oxynitride layers
US11725280B2 (en) * 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
KR20070015959A (en) 2007-02-06
KR101316056B1 (en) 2013-10-10
CN101052745B (en) 2011-02-23
JP2007537360A (en) 2007-12-20
CN1934287A (en) 2007-03-21
CN1934287B (en) 2012-06-20
US8282992B2 (en) 2012-10-09
EP1745159A2 (en) 2007-01-24
JP2007537605A (en) 2007-12-20
US20080044569A1 (en) 2008-02-21
US20080041307A1 (en) 2008-02-21
US7794544B2 (en) 2010-09-14
WO2005113855A1 (en) 2005-12-01
JP5063344B2 (en) 2012-10-31
JP5053079B2 (en) 2012-10-17
CN101052745A (en) 2007-10-10
US20050252449A1 (en) 2005-11-17
WO2005113852A3 (en) 2006-05-18
US8343279B2 (en) 2013-01-01
EP1745160A1 (en) 2007-01-24
US20050271812A1 (en) 2005-12-08
WO2005113852A2 (en) 2005-12-01

Similar Documents

Publication Publication Date Title
US8343279B2 (en) Apparatuses for atomic layer deposition
US20080063798A1 (en) Precursors and hardware for cvd and ald
US8119210B2 (en) Formation of a silicon oxynitride layer on a high-k dielectric material
US8187381B2 (en) Process gas delivery for semiconductor process chamber
US7402534B2 (en) Pretreatment processes within a batch ALD reactor
US8507389B2 (en) Methods for forming dielectric layers
JP5562434B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US7816200B2 (en) Hardware set for growth of high k and capping material films
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20070065578A1 (en) Treatment processes for a batch ALD reactor
KR20110088479A (en) Preparation of a metal-containing film via ald or cvd processes
KR101304395B1 (en) APPARATUSES AND METHODS FOR ATOMIC LAYER DEPOSITION OF HAFNIUM-CONTAINING HIGH-k DIELECTRIC MATERIALS
TW200822191A (en) Precursors and hardware for CVD and ALD

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KHER, SHREYAS;NARWANKAR, PRAVIN;SHARANGAPANI, RAHUL;REEL/FRAME:016240/0326;SIGNING DATES FROM 20050614 TO 20050628

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION