US20050263490A1 - Method of passivating chemical mechanical polishing compositions for copper film planarization processes - Google Patents

Method of passivating chemical mechanical polishing compositions for copper film planarization processes Download PDF

Info

Publication number
US20050263490A1
US20050263490A1 US11/117,282 US11728205A US2005263490A1 US 20050263490 A1 US20050263490 A1 US 20050263490A1 US 11728205 A US11728205 A US 11728205A US 2005263490 A1 US2005263490 A1 US 2005263490A1
Authority
US
United States
Prior art keywords
copper
cmp
cmp composition
salts
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/117,282
Inventor
Jun Liu
Mackenzie King
Michael Darsillo
Karl Boggs
Jeffrey Roeder
Thomas Baum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to US11/117,282 priority Critical patent/US20050263490A1/en
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KING, MACKENZIE, BAUM, THOMAS H., BOGGS, KARL E., DARSILLO, MICHAEL S., LIU, JUN, ROEDER, JEFFREY F.
Publication of US20050263490A1 publication Critical patent/US20050263490A1/en
Priority to PCT/US2006/016705 priority patent/WO2006116770A2/en
Priority to TW95115245A priority patent/TWI421931B/en
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ROEDER, JEFFREY F., WRSCHKA, PETER, BOGGS, KARL E., DARSILLO, MICHAEL, KING, MACKENZIE, LIU, JUN, BAUM, THOMAS H.
Priority to US12/234,199 priority patent/US8236695B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/141Amines; Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Definitions

  • the present invention relates to a chemical mechanical polishing composition and to a method of using same for the polishing of wafer substrates having copper thereon, e.g., copper interconnects, electrodes, or metallization, as part of a microelectronic device structure.
  • Copper is widely employed in semiconductor manufacturing as a material of construction for components of microelectronic device structures (e.g., contacts, electrodes, conductive vias, field emitter base layers, etc.), and it is rapidly becoming the interconnect metal of choice in semiconductor manufacturing due to its higher conductivity and increased electromigration resistance relative to aluminum and aluminum alloys.
  • the process scheme for utilizing copper in semiconductor manufacturing involves the damascene approach, wherein features are etched in a dielectric material.
  • a single step is used to form both plugs and lines.
  • barrier layers such as Ta or TaN deposited by various deposition methods, are often used to seal the copper interconnects.
  • a thin seed layer of copper is deposited on the barrier material via physical vapor deposition, followed by electrodeposition of copper to fill the features.
  • the deposited copper must then be planarized to render it of suitable form to accommodate subsequent process steps in the fabrication of the finished semiconductor product, and in order to satisfactorily operate in the microcircuitry in which it is present.
  • the planarization typically involves chemical mechanical polishing (CMP), using a CMP composition formulated for such purpose.
  • the Step I slurry is used to rapidly planarize the topography and remove the copper, with the Step I polish stopping at the barrier layer material.
  • the Step II slurry removes the barrier layer material at a high removal rate and stops at the dielectric layer, or alternatively at a cap layer that has been applied to protect the dielectric.
  • Step I chemical mechanical polishing (CMP) compositions for planarization and polishing of copper typically are in the form of slurries containing an abrasive of suitable type, e.g., an abrasive selected from among silica, alumina, and other oxides and mineralic materials, in a solvent medium containing one or more solvent species, e.g., water, organic solvents, etc.
  • an abrasive of suitable type e.g., an abrasive selected from among silica, alumina, and other oxides and mineralic materials
  • solvent medium containing one or more solvent species, e.g., water, organic solvents, etc.
  • Step I slurries have a high copper removal rate, and a copper to barrier material removal rate selectivity of greater than 100:1.
  • CMP composition for planarizing copper surfaces includes an aqueous slurry of abrasive particles containing hydrogen peroxide as an oxidizing component and glycine as a chelating agent.
  • Glycine has been found to react with solution phase Cu +2 ions formed by the oxidation of the Cu metal to form a Cu 2+ -glycine complex.
  • the complexing of Cu +2 ions through formation of a water soluble Cu 2+ -glycine chelate assists in removal of Cu in protruded regions via a direct dissolution mechanism, and the Cu 2+ -glycine complex decomposes hydrogen peroxide to yield hydroxyl radicals which have a higher oxidation potential than hydrogen peroxide itself.
  • step I CMP slurries the compound benzotriazole (BTA) is often included as a corrosion inhibitor.
  • BTA benzotriazole
  • complexes with copper to form an insoluble Cu—BTA complex on the copper surface The resulting insoluble protective film is meant to facilitate the planarization of the topography of the device structure being fabricated, since the recessed areas on the wafer surface are protected from dissolution, while mechanical action of the abrasive species on the protruding areas enables material removal and planarization to be carried out.
  • the Cu—BTA complex minimizes corrosion and preserves the functional integrity of the copper device structures for their intended use.
  • step I copper CMP slurries containing hydrogen peroxide and glycine, the formation of highly oxidizing OH radicals under dynamic CMP conditions cannot be avoided, since copper metal is readily oxidized in such slurry environment.
  • step I copper CMP slurries containing hydrogen peroxide and glycine, the formation of highly oxidizing OH radicals under dynamic CMP conditions cannot be avoided, since copper metal is readily oxidized in such slurry environment.
  • Experiments involving the addition of Cu 2+ to an H 2 O 2 glycine/BTA system have shown that the presence of Cu 2+ increases the static etch rate of Cu dramatically, and at the same time, the Cu corrosion potential is shifted to less noble ranges.
  • BTA is not effective in protecting the low features of copper wafer surfaces during the CMP process, and thus undesired “dishing” and erosion occurs in high-density patterned areas on the wafer substrate.
  • Dishing occurs when too much copper is removed such that the copper surface is recessed relative to the barrier and/or dielectric surface of the semiconductor wafer. Dishing occurs when the copper and barrier material removal rates are disparate. Oxide erosion occurs when too much dielectric material is removed.
  • An alternative to the use of BTA as a corrosion inhibitor in CMP compositions includes 5-aminotetrazole (ATA), which is compatible with H 2 O 2 /glycine-based CMP compositions and effective to passivate copper surfaces when significant amounts of Cu ions are present in bulk solution and/or near the metal/solution interface during CMP processing.
  • ATA 5-aminotetrazole
  • Step I bulk copper is rapidly removed followed by the “soft landing” or “touchdown,” whereby the polishing conditions are altered until the underlying barrier material is exposed, as determinable using an endpoint detection system such as an in situ rate monitor (ISRM). Although the endpoint has been detected, signaling the exposure of the barrier layer material, copper overburden remains which must be removed and as such, an over-polishing step is often performed. Unfortunately, the “soft landing” and the over-polishing steps often result in dishing and/or erosion into copper features and thus, loss of wafer surface planarity and uniformity.
  • ISRM in situ rate monitor
  • Step I CMP slurries advantageously remove copper rapidly during the step I CMP process.
  • this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface.
  • the net result of the overly aggressive step I slurry during soft landing and/or over-polish is a wafer substrate having a non-uniform planar surface, which can render the wafer unusable.
  • the present invention relates to a chemical mechanical polishing composition and method of using same for the polishing of microelectronic device substrates having copper thereon.
  • the present invention further relates to a method for slowing down the rate of copper removal during the soft landing and/or overpolishing steps of the step I polishing process.
  • the present invention relates to a method of determining a relationship between static etch rate and chemical mechanical polishing (CMP) composition dilution, said method comprising:
  • the present invention relates to a kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
  • CMP chemical mechanical polishing
  • FIG. 1 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 0.1% ATA/H 2 O 2 /glycine slurry dilution ratio ( ⁇ ) and 0.1% BTA/H 2 O 2 /glycine slurry dilution ratio ( ⁇ ).
  • FIG. 2 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H 2 O 2 glycine slurry dilution ratio and the BTA/H 2 O 2 /glycine slurry dilution ratio illustrated in FIG. 1 .
  • FIG. 3 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 0.8% ATA/H 2 O 2 /glycine slurry dilution ratio ( ⁇ ) and 0.1% BTA/H 2 O 2 glycine slurry dilution ratio ( ⁇ ).
  • FIG. 4 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H 2 O 2 /glycine slurry dilution ratio and the BTA/H 2 O 2 /glycine slurry dilution ratio illustrated in FIG. 3 .
  • FIG. 5 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 1.2% ATA/H 2 O 2 /glycine slurry dilution ratio ( ⁇ ) and 0.1% BTA/H 2 O 2 /glycine slurry dilution ratio ( ⁇ ).
  • FIG. 6 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H 2 O 2 /glycine slurry dilution ratio and the BTA/H 2 O 2 /glycine slurry dilution ratio illustrated in FIG. 5 .
  • the present invention is based on the discovery that 5-aminotetrazole is unexpectedly effective as a replacement for BTA as a copper corrosion inhibitor in Step I CMP compositions for planarizing copper films.
  • ATA is compatible with CMP compositions containing hydrogen peroxide as an oxidizer and glycine as a chelator.
  • the ATA-containing CMP composition achieves active passivation of copper surfaces even when significant amounts of copper ions, e.g., Cu 2+ cations, are present in bulk solution and/or at the metal/solution interface during CMP processing.
  • the present invention is further based on the discovery that dilution of a CMP slurry composition during the soft landing and/or over polishing step of a CMP process achies active passivation of exposed copper.
  • Soft landing corresponds to some point in the Step I polishing process whereby the downforce pressure of the polisher may be decreased and/or the Step I composition altered to reduce dishing and/or erosion of the copper lines and plugs.
  • soft landing may be effectuated when the thickness of the layer of copper over the barrier material has been decreased to a range of from about 0.05 ⁇ m to about 0.4 ⁇ m.
  • “Over-polishing” is performed subsequent to soft landing to remove the copper overburden from the surface of the barrier material, while minimizing additional dishing or erosion of the copper features.
  • Microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS). It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device.
  • suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon corresponds to at least partial removal of said copper from the microelectronic device.
  • at least 90% of the copper is removed from the microelectronic device using the compositions of the invention, more preferably, at least 99% of the copper is removed.
  • dilution of the CMP composition “subsequent” to the planarization of bulk copper corresponds to the soft landing step or immediately before the soft landing step.
  • the ATA-containing CMP compositions of the invention may be formulated with any suitable constituents, including any appropriate oxidizing agent(s), chelating agent(s), and corrosion inhibitor(s), abrasive media, solvent media, and optionally any suitable additives, adjuvants, excipients, etc., such as stabilizing agents, acids, bases (e.g., amines), surfactants, buffering agents, etc.
  • suitable constituents including any appropriate oxidizing agent(s), chelating agent(s), and corrosion inhibitor(s), abrasive media, solvent media, and optionally any suitable additives, adjuvants, excipients, etc., such as stabilizing agents, acids, bases (e.g., amines), surfactants, buffering agents, etc.
  • Oxidizing agents employed in the broad practice of the invention may be of any suitable type, including for example ferric nitrate, ferric ammonium oxalate, ferric ammonium citrate, permanganate salts (e.g., potassium permanganate), peroxyacids (e.g. peracetic acid), peroxoborate salts (e.g., potassium peroxoborate), urea-hydrogen peroxide, iodate salts (e.g., potassium iodate), perchlorate salts (e.g.
  • oxidizers include peracetic acid, urea-hydrogen peroxide, di-t-butyl peroxide, benzyl peroxide, hydrogen peroxide and compatible mixtures including two or more of such oxidizer species.
  • Chelating agents in the CMP compositions of the invention may be of any appropriate type, including, for example: amino acids such as glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, etc.; polyamine complexes and their salts, including ethylenediaminetetraacetic acid, N-hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, iminodiacetic acid, diethylenetriaminepentaacetic acid, and ethanoldiglycinate; polycarboxylic acids, including phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, and mellitic acid; and compatible mixtures including two or more of the foregoing species.
  • Preferred chelating agents include amino acids, with glycine being most preferred.
  • the corrosion inhibitor component in the CMP composition of the invention comprises ATA, and may additionally include other corrosion inhibitor components in combination with ATA, in specific embodiments of the invention.
  • Such other corrosion inhibitor components may be of any suitable type, including for example, imidazole, benzotriazole, benzimidazole, amino, imino, carboxy, mercapto, nitro, alkyl, urea and thiourea compounds and derivatives, etc.
  • Preferred inhibitors include tetrazoles and their derivatives, and the invention therefore contemplates the provision of ATA alone or in combination with other tetrazole (or other corrosion inhibitor) species, as the corrosion inhibitor in compositions according to the present invention.
  • ATA is employed in CMP compositions of the invention in any suitable concentration. Suitable concentrations of ATA in a specific formulation are readily empirically determinable within the skill of the art, based on the disclosure herein, to provide a CMP composition with suitable copper surface passivation characteristics even in CMP environments containing high levels of copper cations.
  • the amount of ATA in the CMP composition is in a range of from about 0.001 to about 10% by weight, based on the total weight of the CMP composition, with an amount of ATA in a range of from about 0.01 to about 5% by weight being more preferred, and an amount of ATA in a range of from about 0.10 to about 1.5% by weight being most preferred, based on the same total weight basis, although greater or lesser percentages may be employed to advantage in specific applications within the broad scope of the present invention.
  • the abrasive may be of any suitable type, including, without limitation, metal oxides, silicon nitrides, carbides, etc. Specific examples include silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, and mixtures of two or more of such components in suitable form, such as grains, granules, particles, or other divided form.
  • the abrasive may include composite particles formed of two or more materials, e.g., NYACOL® alumina-coated colloidal silica (Nyacol Nano Technologies, Inc., Ashland, Mass.).
  • Alumina is a preferred inorganic abrasive and may be employed in the form of boehmite or transitional ⁇ , ⁇ or ⁇ phase alumina.
  • Organic polymer particles e.g., including thermoset and/or thermoplastic resin(s), may be utilized as abrasives.
  • Useful resins in the broad practice of the present invention include epoxies, urethanes, polyesters, polyamides, polycarbonates, polyolefins, polyvinylchloride, polystyrenes, polyolefins, and (meth)acrylics. Mixtures of two or more organic polymer particles may be used as the abrasive medium, as well as particles comprising both inorganic and organic components.
  • Bases may be optionally employed for pH adjustment in compositions of the invention.
  • Illustrative bases include, by way of example, potassium hydroxide, ammonium hydroxide and tetramethylammoniumhydroxide (TMAH), tetraethylammonium hydroxide, trimethyl hydroxyethylammonium hydroxide, methyl tri(hydroxyethyl)ammonium hydroxide, tetra(hydroxyethyl)ammonium hydroxide, and benzyl trimethylammonium hydroxide.
  • TMAH tetramethylammoniumhydroxide
  • Acids may also be optionally employed for pH adjustment in compositions of the invention.
  • the acids used may be of any suitable type, including, by way of example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, isovaleric acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, lactic acid, hydrochloric acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, fumaric acid, malonic acid, glutaric acid, glycolic acid, salicylic acid, 1,2,3-benzenetricarboxylic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures including two or more acids of the foregoing or other types.
  • Amines when present may be of any suitable type, including, by way of example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, N-methylethanolamine, N,N-dimethylethanolamine, N-ethylethanolamine, N,N-diethylethanolamine, propanolamine, N,N-dimethylpropanolamine, N-ethylpropanolamine, N,N-diethylpropanolamine, 4-(2-hydroxyethyl)morpholine, aminoethylpiperazine, and mixtures including two or more of the foregoing or other amine species.
  • Surfactants when optionally employed in compositions of the invention may be of any suitable type, including non-ionic, anionic, cationic, and amphoteric surfactants, and polyelectrolytes including, for example: salts of organic acids; alkane sulfates (e.g., sodium dodecyl sulfate); alkane sulfonates; substituted amine salts (e.g., cetylpyridium bromide); betaines; polyethylene oxide; polyvinyl alcohol; polyvinyl acetate; polyacrylic acid; polyvinyl pyrrolidone; polyethyleneimine; and esters of anhydrosorbitols, such as those commercially available under the trademarks Tween® and Span®, as well as mixtures including two or more of the foregoing or other surfactant species.
  • alkane sulfates e.g., sodium dodecyl sulfate
  • alkane sulfonates e
  • the pH of CMP compositions of the invention may be at any suitable value that is efficacious for the specific polishing operation employed.
  • the pH of the CMP composition may be in a range of from about 2 to about 11, more preferably in a range of from about 2 to about 7, and most preferably in a range of from about 3 to about 6.
  • the solvents employed in CMP compositions of the invention may be single component solvents or multicomponent solvents, depending on the specific application.
  • the solvent in the CMP composition is water.
  • the solvent comprises an organic solvent, e.g., methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, etc.
  • the solvent comprises a water-organic solvent solution.
  • a wide variety of solvent types and specific solvent media may be employed in the general practice of the invention to provide a solvating/suspending medium in which the abrasive is dispersed and in which the other components are incorporated to provide a composition of appropriate character, e.g., of slurry form, for application to the platen of the CMP unit to provide a desired level of polishing of the copper on the wafer substrate.
  • the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, and solvent.
  • the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, abrasive and solvent.
  • the CMP composition of the invention is an aqueous abrasive composition, and includes an aqueous medium, abrasive, ATA, H 2 O 2 and glycine, wherein ATA, H 2 O 2 and glycine have the following composition by weight, based on the total weight of the composition: ATA 0.001-10 wt. % H 2 O 2 0.1-30 wt. % Glycine 0.1-25 wt. % Water 35-99.8 wt. %.
  • the CMP composition comprises the following components by weight, based on the total weight of the composition: ATA 0.001-10 wt. % H 2 O 2 0.1-30 wt. % Glycine 0.1-25 wt. %. Abrasive 0.1-30 wt. % Water 5-99.7 wt. % with the total wt. % of all components in the composition totaling to 100 wt. %.
  • the CMP compositions of the invention may be provided as a single package formulation or a multi-part formulation that is mixed at the point of use or in a storage tank upstream of the tool.
  • the advantage of a multi-part formulation resides in its extended shelf life relative to single-package formulations.
  • a single package formulation is more susceptible to decomposition and change of its properties over time, in relation to a multi-part formulation, due to the presence of the oxidizer in the single-package CMP composition.
  • concentrations of the single-package formulation or the individual packages of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the CMP compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • each single ingredient of the CMP composition is individually delivered to the polishing table for combination at the table, to constitute the CMP composition for use.
  • the CMP composition is formulated as a two-part composition in which the first part comprises abrasive and corrosion inhibitor in aqueous medium, and the second part comprises oxidizing agent and chelating agent.
  • the CMP composition is formulated as a two-part composition in which the first part comprises abrasive, corrosion inhibitor and chelating agent in an aqueous medium, and the second part comprises the oxidizer.
  • the mixing of ingredients or parts to form the final composition occurs at the point of use, with mixing at the polishing table, polishing belt or the like, in an appropriate container shortly before reaching the polishing table, or at the CMP composition manufacturer and/or supplier.
  • kits including, in one or more containers, the components adapted to form the compositions of the invention as described hereinabove.
  • the copper CMP composition of the invention may be utilized in a conventional manner in the CMP operation, by application of the CMP composition to the copper surface on the microelectronic device substrate in a conventional fashion, and polishing of the copper surface may be carried out using a conventional polishing element such as a polishing pad, polishing belt, or the like.
  • the CMP composition of the invention is advantageously employed to polish surfaces of copper elements on microelectronic device substrates, without the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even when significant amounts of copper ions, e.g., Cu 2+ ions, are present in the bulk CMP slurry composition and/or at the copper/CMP slurry interface during CMP processing.
  • copper ions e.g., Cu 2+ ions
  • Step I CMP slurries advantageously remove copper rapidly during the step I CMP process.
  • this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface.
  • the Step I CMP slurry of the invention may be diluted in a serial fashion.
  • another embodiment of the present invention relates to a process of using a CMP composition to efficiently and uniformly planarize copper-containing microelectronic device substrates.
  • the CMP composition is diluted, either by in-line mixing or directly at the platen, to form a diluted CMP composition(s) for the soft landing and over-polishing steps.
  • the diluting media most preferably corresponds to the solvent of the Step I CMP composition of the invention.
  • Step I CMP compositions were diluted twice in a step-wise fashion and the Cu corrosion rates determined using electrochemical methods.
  • Cu corrosion rate is equivalent to “static etch rate.”
  • the initial Step I CMP compositions included 5 wt. % H 2 O 2 , 6 wt. % glycine, 1 wt. % abrasive, varying concentrations of ATA, and the balance water.
  • the acceleration effect of corrosive copper ions in the solution i.e., the formation of the Cu 2+ -glycine complex which decomposes H 2 O 2 to form the highly oxidizing OH radicals, 0.5 wt.
  • Step I CMP composition including ATA was compared to a Step I CMP composition including 5 wt. % H 2 O 2 , 6 wt. % glycine, 1 wt. % abrasive, 0.1 wt. % BTA, and the balance water
  • the initial Step I CMP composition (hereinafter Slurry1) was diluted step-wise with water to yield a second CMP slurry (1 part Slurry1 to 5 parts water; hereinafter Slurry2), and a third CMP slurry (1 part Slurry1 to 50 parts water; hereinafter Slurry3).
  • Slurry1 The initial Step I CMP composition
  • Slurry3 The Cu corrosion rates in Angstroms per minute, were measured and the average Cu corrosion rate plotted as a function of CMP slurry dilution using both a linear and logarithmic scale.
  • the number of serial dilutions of Slurry1 i.e., the most concentrated CMP slurry, is not limited to the aforementioned two dilutions.
  • the number of dilutions depend on the desired end result of the Step I CMP polish and may vary from one step-wise dilution to ten step-wise dilutions.
  • the dilution may be effectuated in a continuous manner whereby diluting solvent is continuously added to the CMP slurry during the soft-landing and/or over-polishing steps.
  • Slurryl is not diluted per se, but rather diluted CMP compositions are prepared for delivery of clean, diluted chemistries to the tool subsequent to the polish using Slurry1.
  • FIGS. 1 and 2 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.1 wt. %, respectively.
  • FIGS. 3 and 4 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.8 wt. %, respectively.
  • FIGS. 5 and 6 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 1.2 wt. %, respectively.
  • the copper corrosion rate decreases with increasing slurry dilution. This may prove advantageous during the soft-landing and over-polishing steps to slow the copper corrosion rate and concomitantly minimize the dishing and erosion of the copper lines and plugs. Further, compared to compositions including BTA, ATA leads to a lower overall corrosion rate as well as a more rapid corrosion decrease with increasing dilution, as evidenced by the slopes of the regression lines.
  • Slurry1 may be diluted at some point prior to the soft-landing, during the soft-landing and/or during the over-polishing steps of the Step I CMP polish, as readily determined by one skilled in the art.
  • bulk layer represents the copper layer that is rapidly removed by the Step I CMP composition of the invention, e.g., Slurry1
  • residual layer represents the copper layer that remains following the removal of the bulk layer, including the copper overburden, which is intended to be removed at a slower rate, e.g., by Slurry2, Slurry3, etc.
  • the diluting solvent e.g., water
  • the diluting solvent may be delivered to the polishing table at the appropriate time and in the appropriate amount based on the regression equation specific to the slurry composition of choice, as readily determinable by one skilled in the art.
  • Slurry1 may be diluted in a serial manner and the Cu corrosion rate of each composition measured using Tafel or some equivalent measurement. Thereafter, a logarithmic plot of the Cu corrosion rate as a function of the slurry dilution may be plotted and the non-linear regression equation calculated.
  • one skilled in the art may determine the appropriate time and in the appropriate amount of diluting solvent to deliver to the polishing table to slow the static etch rate of copper to a rate that minimizes the formation of polishing defects such as dishing.
  • the CMP composition of the invention may be diluted in a serial manner to effectuate substantial removal of the residual layer of copper while simultaneously minimizing dishing and erosion of the copper lines and plugs, as readily determined by one skilled in the art.
  • substantial removal corresponds to at least 80%, preferably at least 90%, most preferably at least 95% of the residual layer of copper is removed using the series of Step I CMP compositions.
  • the dilution process may be automated whereby the polishing table and a programmable logic control (PLC) unit may be communicatively connected and the regression equation for the CMP slurry of choice input into the PLC. At the appropriate time, the appropriate amount of diluting solvent will be delivered to the polishing table, as controlled by the PLC.
  • PLC programmable logic control
  • the platen and substrate are rinsed with solvent.
  • the solvent is the same as that used in the Step I CMP compositions described herein, e.g., water.
  • the rinse time may be in a range from about 5 sec to about 30 sec, preferably about 10 sec to about 20 sec. Thereafter, the wafer substrate may be transferred to another platen for Step II polishing thereon.

Abstract

A method of passivating a CMP composition by dilution and determining the relationship between the extent of dilution and the static etch rate of copper. Such relationship may be used to control the CMP composition during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a continuation-in-part of U.S. patent application Ser. No. 10/315,641 for “Passivative Chemical Mechanical Polishing Composition for Copper Film Planarization” filed on Dec. 10, 2002 in the name of Jun Liu et al., and is co-pending with U.S. patent application Ser. No. ______, filed Apr. 28, 2005 in the name of Jun Liu et al. for “Passivative Chemical Mechanical Polishing Composition for Copper Film Planarization.”
  • FIELD OF THE INVENTION
  • The present invention relates to a chemical mechanical polishing composition and to a method of using same for the polishing of wafer substrates having copper thereon, e.g., copper interconnects, electrodes, or metallization, as part of a microelectronic device structure.
  • DESCRIPTION OF THE RELATED ART
  • Copper is widely employed in semiconductor manufacturing as a material of construction for components of microelectronic device structures (e.g., contacts, electrodes, conductive vias, field emitter base layers, etc.), and it is rapidly becoming the interconnect metal of choice in semiconductor manufacturing due to its higher conductivity and increased electromigration resistance relative to aluminum and aluminum alloys.
  • Typically, the process scheme for utilizing copper in semiconductor manufacturing involves the damascene approach, wherein features are etched in a dielectric material. In the dual damascene process a single step is used to form both plugs and lines. Since copper has a propensity to diffuse into the dielectric material, leading to leakage between metal lines, barrier layers, such as Ta or TaN deposited by various deposition methods, are often used to seal the copper interconnects. Following deposition of the barrier layer material, a thin seed layer of copper is deposited on the barrier material via physical vapor deposition, followed by electrodeposition of copper to fill the features. The deposited copper must then be planarized to render it of suitable form to accommodate subsequent process steps in the fabrication of the finished semiconductor product, and in order to satisfactorily operate in the microcircuitry in which it is present. The planarization typically involves chemical mechanical polishing (CMP), using a CMP composition formulated for such purpose.
  • Due to the difference in chemical reactivity between copper and the barrier layer material, e.g. Ta and/or TaN, two chemically distinct slurries are often used in the copper CMP process. The Step I slurry is used to rapidly planarize the topography and remove the copper, with the Step I polish stopping at the barrier layer material. The Step II slurry removes the barrier layer material at a high removal rate and stops at the dielectric layer, or alternatively at a cap layer that has been applied to protect the dielectric.
  • Step I chemical mechanical polishing (CMP) compositions for planarization and polishing of copper typically are in the form of slurries containing an abrasive of suitable type, e.g., an abrasive selected from among silica, alumina, and other oxides and mineralic materials, in a solvent medium containing one or more solvent species, e.g., water, organic solvents, etc. Typically, Step I slurries have a high copper removal rate, and a copper to barrier material removal rate selectivity of greater than 100:1.
  • One type of CMP composition for planarizing copper surfaces includes an aqueous slurry of abrasive particles containing hydrogen peroxide as an oxidizing component and glycine as a chelating agent. Glycine has been found to react with solution phase Cu+2 ions formed by the oxidation of the Cu metal to form a Cu2+-glycine complex. The complexing of Cu+2 ions through formation of a water soluble Cu2+-glycine chelate assists in removal of Cu in protruded regions via a direct dissolution mechanism, and the Cu2+-glycine complex decomposes hydrogen peroxide to yield hydroxyl radicals which have a higher oxidation potential than hydrogen peroxide itself.
  • In step I CMP slurries, the compound benzotriazole (BTA) is often included as a corrosion inhibitor. In theory,
    Figure US20050263490A1-20051201-C00001

    complexes with copper to form an insoluble Cu—BTA complex on the copper surface. The resulting insoluble protective film is meant to facilitate the planarization of the topography of the device structure being fabricated, since the recessed areas on the wafer surface are protected from dissolution, while mechanical action of the abrasive species on the protruding areas enables material removal and planarization to be carried out. Additionally, the Cu—BTA complex minimizes corrosion and preserves the functional integrity of the copper device structures for their intended use.
  • It is known that BTA functions well as a copper corrosion inhibitor in the absence of OH radicals. However, in step I copper CMP slurries containing hydrogen peroxide and glycine, the formation of highly oxidizing OH radicals under dynamic CMP conditions cannot be avoided, since copper metal is readily oxidized in such slurry environment. Experiments involving the addition of Cu2+ to an H2O2glycine/BTA system have shown that the presence of Cu2+ increases the static etch rate of Cu dramatically, and at the same time, the Cu corrosion potential is shifted to less noble ranges.
  • The significance of this finding is that in the presence of H2O2 and glycine, BTA is not effective in protecting the low features of copper wafer surfaces during the CMP process, and thus undesired “dishing” and erosion occurs in high-density patterned areas on the wafer substrate.
  • Dishing occurs when too much copper is removed such that the copper surface is recessed relative to the barrier and/or dielectric surface of the semiconductor wafer. Dishing occurs when the copper and barrier material removal rates are disparate. Oxide erosion occurs when too much dielectric material is removed.
  • An alternative to the use of BTA as a corrosion inhibitor in CMP compositions includes 5-aminotetrazole (ATA), which is compatible with H2O2/glycine-based CMP compositions and effective to passivate copper surfaces when significant amounts of Cu ions are present in bulk solution and/or near the metal/solution interface during CMP processing.
  • During Step I, bulk copper is rapidly removed followed by the “soft landing” or “touchdown,” whereby the polishing conditions are altered until the underlying barrier material is exposed, as determinable using an endpoint detection system such as an in situ rate monitor (ISRM). Although the endpoint has been detected, signaling the exposure of the barrier layer material, copper overburden remains which must be removed and as such, an over-polishing step is often performed. Unfortunately, the “soft landing” and the over-polishing steps often result in dishing and/or erosion into copper features and thus, loss of wafer surface planarity and uniformity.
  • Step I CMP slurries advantageously remove copper rapidly during the step I CMP process. However, during the soft landing and/or over-polish, this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface. The net result of the overly aggressive step I slurry during soft landing and/or over-polish is a wafer substrate having a non-uniform planar surface, which can render the wafer unusable.
  • It would therefore be a significant advance in the art to provide a method that overcomes the deficiencies of the prior art relating to the rapid rate of copper removal during the soft landing and/or overpolishing steps of the step I CMP process. Specifically, it would be an advance in the art to provide a method to reduce the static etch rate of copper, and hence copper corrosion, during the soft landing and/or overpolishing steps of the step I polishing process.
  • SUMMARY OF THE INVENTION
  • The present invention relates to a chemical mechanical polishing composition and method of using same for the polishing of microelectronic device substrates having copper thereon. In addition, the present invention further relates to a method for slowing down the rate of copper removal during the soft landing and/or overpolishing steps of the step I polishing process.
  • In one aspect, the present invention relates to a method of determining a relationship between static etch rate and chemical mechanical polishing (CMP) composition dilution, said method comprising:
      • (a) preparing a first CMP composition;
      • (b) measuring a first static etch rate of a material to be polished using the first CMP composition;
      • (c) diluting the first CMP composition with a solvent to produce a second CMP composition;
      • (d) measuring a second static etch rate of the material to be polished using the second CMP composition;
      • (e) repeating steps (c) and (d) to produce a third CMP composition and measuring a third static etch rate;
      • (f) plotting static etch rate as a function of CMP composition dilution ratio using a logarithmic scale; and
      • (g) calculating the non-linear regression equation, wherein said regression equation is the relationship between static etch rate and CMP composition dilution.
  • In yet another aspect, the present invention relates to a kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
  • Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 0.1% ATA/H2O2/glycine slurry dilution ratio (♦) and 0.1% BTA/H2O2/glycine slurry dilution ratio (▪).
  • FIG. 2 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H2O2glycine slurry dilution ratio and the BTA/H2O2/glycine slurry dilution ratio illustrated in FIG. 1.
  • FIG. 3 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 0.8% ATA/H2O2/glycine slurry dilution ratio (♦) and 0.1% BTA/H2O2glycine slurry dilution ratio (▪).
  • FIG. 4 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H2O2/glycine slurry dilution ratio and the BTA/H2O2/glycine slurry dilution ratio illustrated in FIG. 3.
  • FIG. 5 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 1.2% ATA/H2O2/glycine slurry dilution ratio (♦) and 0.1% BTA/H2O2/glycine slurry dilution ratio (▪).
  • FIG. 6 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H2O2/glycine slurry dilution ratio and the BTA/H2O2/glycine slurry dilution ratio illustrated in FIG. 5.
  • DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
  • The present invention is based on the discovery that 5-aminotetrazole
    Figure US20050263490A1-20051201-C00002

    is unexpectedly effective as a replacement for BTA as a copper corrosion inhibitor in Step I CMP compositions for planarizing copper films. ATA is compatible with CMP compositions containing hydrogen peroxide as an oxidizer and glycine as a chelator. The ATA-containing CMP composition achieves active passivation of copper surfaces even when significant amounts of copper ions, e.g., Cu2+ cations, are present in bulk solution and/or at the metal/solution interface during CMP processing.
  • The present invention is further based on the discovery that dilution of a CMP slurry composition during the soft landing and/or over polishing step of a CMP process achies active passivation of exposed copper.
  • “Soft landing” or “touchdown,” as defined herein, corresponds to some point in the Step I polishing process whereby the downforce pressure of the polisher may be decreased and/or the Step I composition altered to reduce dishing and/or erosion of the copper lines and plugs. Preferably, soft landing may be effectuated when the thickness of the layer of copper over the barrier material has been decreased to a range of from about 0.05 μm to about 0.4 μm.
  • “Over-polishing” is performed subsequent to soft landing to remove the copper overburden from the surface of the barrier material, while minimizing additional dishing or erosion of the copper features.
  • As used herein, “about” is intended to correspond to ±5% of the stated value.
  • “Microelectronic device,” as used herein, corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS). It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device.
  • As used herein, “suitability” to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon corresponds to at least partial removal of said copper from the microelectronic device. Preferably, at least 90% of the copper is removed from the microelectronic device using the compositions of the invention, more preferably, at least 99% of the copper is removed.
  • As used herein, dilution of the CMP composition “subsequent” to the planarization of bulk copper corresponds to the soft landing step or immediately before the soft landing step.
  • The ATA-containing CMP compositions of the invention, in its broad contemplation, may be formulated with any suitable constituents, including any appropriate oxidizing agent(s), chelating agent(s), and corrosion inhibitor(s), abrasive media, solvent media, and optionally any suitable additives, adjuvants, excipients, etc., such as stabilizing agents, acids, bases (e.g., amines), surfactants, buffering agents, etc.
  • Oxidizing agents employed in the broad practice of the invention may be of any suitable type, including for example ferric nitrate, ferric ammonium oxalate, ferric ammonium citrate, permanganate salts (e.g., potassium permanganate), peroxyacids (e.g. peracetic acid), peroxoborate salts (e.g., potassium peroxoborate), urea-hydrogen peroxide, iodate salts (e.g., potassium iodate), perchlorate salts (e.g. tetramethylammonium perchlorate), persulfate salts, bromate salts, benzoquinone, chlorate salts, chlorite salts, hypochlorite salts, hypoiodite salts, oxybromide salts, percarbonate salts, periodate salts, ceric salts (e.g., ammonium ceric sulfate), chromate and dichromate compounds, cupricyanide and ferricyanide salts, ferriphenanthroline, ferripyridine and ferrocinium. Preferred oxidizers include peracetic acid, urea-hydrogen peroxide, di-t-butyl peroxide, benzyl peroxide, hydrogen peroxide and compatible mixtures including two or more of such oxidizer species.
  • Chelating agents in the CMP compositions of the invention may be of any appropriate type, including, for example: amino acids such as glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, etc.; polyamine complexes and their salts, including ethylenediaminetetraacetic acid, N-hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, iminodiacetic acid, diethylenetriaminepentaacetic acid, and ethanoldiglycinate; polycarboxylic acids, including phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, and mellitic acid; and compatible mixtures including two or more of the foregoing species. Preferred chelating agents include amino acids, with glycine being most preferred.
  • The corrosion inhibitor component in the CMP composition of the invention comprises ATA, and may additionally include other corrosion inhibitor components in combination with ATA, in specific embodiments of the invention. Such other corrosion inhibitor components may be of any suitable type, including for example, imidazole, benzotriazole, benzimidazole, amino, imino, carboxy, mercapto, nitro, alkyl, urea and thiourea compounds and derivatives, etc. Preferred inhibitors include tetrazoles and their derivatives, and the invention therefore contemplates the provision of ATA alone or in combination with other tetrazole (or other corrosion inhibitor) species, as the corrosion inhibitor in compositions according to the present invention.
  • ATA is employed in CMP compositions of the invention in any suitable concentration. Suitable concentrations of ATA in a specific formulation are readily empirically determinable within the skill of the art, based on the disclosure herein, to provide a CMP composition with suitable copper surface passivation characteristics even in CMP environments containing high levels of copper cations. In one preferred embodiment of the invention, the amount of ATA in the CMP composition is in a range of from about 0.001 to about 10% by weight, based on the total weight of the CMP composition, with an amount of ATA in a range of from about 0.01 to about 5% by weight being more preferred, and an amount of ATA in a range of from about 0.10 to about 1.5% by weight being most preferred, based on the same total weight basis, although greater or lesser percentages may be employed to advantage in specific applications within the broad scope of the present invention.
  • The abrasive may be of any suitable type, including, without limitation, metal oxides, silicon nitrides, carbides, etc. Specific examples include silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, and mixtures of two or more of such components in suitable form, such as grains, granules, particles, or other divided form. Alternatively, the abrasive may include composite particles formed of two or more materials, e.g., NYACOL® alumina-coated colloidal silica (Nyacol Nano Technologies, Inc., Ashland, Mass.). Alumina is a preferred inorganic abrasive and may be employed in the form of boehmite or transitional δ, θ or γ phase alumina. Organic polymer particles, e.g., including thermoset and/or thermoplastic resin(s), may be utilized as abrasives. Useful resins in the broad practice of the present invention include epoxies, urethanes, polyesters, polyamides, polycarbonates, polyolefins, polyvinylchloride, polystyrenes, polyolefins, and (meth)acrylics. Mixtures of two or more organic polymer particles may be used as the abrasive medium, as well as particles comprising both inorganic and organic components.
  • Bases may be optionally employed for pH adjustment in compositions of the invention. Illustrative bases include, by way of example, potassium hydroxide, ammonium hydroxide and tetramethylammoniumhydroxide (TMAH), tetraethylammonium hydroxide, trimethyl hydroxyethylammonium hydroxide, methyl tri(hydroxyethyl)ammonium hydroxide, tetra(hydroxyethyl)ammonium hydroxide, and benzyl trimethylammonium hydroxide.
  • Acids may also be optionally employed for pH adjustment in compositions of the invention. The acids used may be of any suitable type, including, by way of example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, isovaleric acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, lactic acid, hydrochloric acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, fumaric acid, malonic acid, glutaric acid, glycolic acid, salicylic acid, 1,2,3-benzenetricarboxylic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures including two or more acids of the foregoing or other types.
  • Amines when present may be of any suitable type, including, by way of example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, N-methylethanolamine, N,N-dimethylethanolamine, N-ethylethanolamine, N,N-diethylethanolamine, propanolamine, N,N-dimethylpropanolamine, N-ethylpropanolamine, N,N-diethylpropanolamine, 4-(2-hydroxyethyl)morpholine, aminoethylpiperazine, and mixtures including two or more of the foregoing or other amine species.
  • Surfactants when optionally employed in compositions of the invention may be of any suitable type, including non-ionic, anionic, cationic, and amphoteric surfactants, and polyelectrolytes including, for example: salts of organic acids; alkane sulfates (e.g., sodium dodecyl sulfate); alkane sulfonates; substituted amine salts (e.g., cetylpyridium bromide); betaines; polyethylene oxide; polyvinyl alcohol; polyvinyl acetate; polyacrylic acid; polyvinyl pyrrolidone; polyethyleneimine; and esters of anhydrosorbitols, such as those commercially available under the trademarks Tween® and Span®, as well as mixtures including two or more of the foregoing or other surfactant species.
  • The pH of CMP compositions of the invention may be at any suitable value that is efficacious for the specific polishing operation employed. In one embodiment, the pH of the CMP composition may be in a range of from about 2 to about 11, more preferably in a range of from about 2 to about 7, and most preferably in a range of from about 3 to about 6.
  • The solvents employed in CMP compositions of the invention may be single component solvents or multicomponent solvents, depending on the specific application. In one embodiment of the invention, the solvent in the CMP composition is water. In another embodiment, the solvent comprises an organic solvent, e.g., methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, etc. In yet another embodiment, the solvent comprises a water-organic solvent solution. A wide variety of solvent types and specific solvent media may be employed in the general practice of the invention to provide a solvating/suspending medium in which the abrasive is dispersed and in which the other components are incorporated to provide a composition of appropriate character, e.g., of slurry form, for application to the platen of the CMP unit to provide a desired level of polishing of the copper on the wafer substrate.
  • In one embodiment, the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, and solvent.
  • In another embodiment, the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, abrasive and solvent.
  • In another embodiment, the CMP composition of the invention is an aqueous abrasive composition, and includes an aqueous medium, abrasive, ATA, H2O2 and glycine, wherein ATA, H2O2 and glycine have the following composition by weight, based on the total weight of the composition:
    ATA 0.001-10 wt. %
    H2O2 0.1-30 wt. %
    Glycine 0.1-25 wt. %
    Water 35-99.8 wt. %.
  • In a further specific illustrative embodiment, the CMP composition comprises the following components by weight, based on the total weight of the composition:
    ATA 0.001-10 wt. %
    H2O2 0.1-30 wt. %
    Glycine 0.1-25 wt. %.
    Abrasive 0.1-30 wt. %
    Water 5-99.7 wt. %

    with the total wt. % of all components in the composition totaling to 100 wt. %.
  • The CMP compositions of the invention may be provided as a single package formulation or a multi-part formulation that is mixed at the point of use or in a storage tank upstream of the tool. The advantage of a multi-part formulation resides in its extended shelf life relative to single-package formulations. A single package formulation is more susceptible to decomposition and change of its properties over time, in relation to a multi-part formulation, due to the presence of the oxidizer in the single-package CMP composition. The concentrations of the single-package formulation or the individual packages of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the CMP compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • In one embodiment, each single ingredient of the CMP composition is individually delivered to the polishing table for combination at the table, to constitute the CMP composition for use. In another embodiment, the CMP composition is formulated as a two-part composition in which the first part comprises abrasive and corrosion inhibitor in aqueous medium, and the second part comprises oxidizing agent and chelating agent. In still another embodiment, the CMP composition is formulated as a two-part composition in which the first part comprises abrasive, corrosion inhibitor and chelating agent in an aqueous medium, and the second part comprises the oxidizer. In all of these various embodiments, the mixing of ingredients or parts to form the final composition occurs at the point of use, with mixing at the polishing table, polishing belt or the like, in an appropriate container shortly before reaching the polishing table, or at the CMP composition manufacturer and/or supplier.
  • Accordingly, another aspect of the invention relates to a kit including, in one or more containers, the components adapted to form the compositions of the invention as described hereinabove.
  • The copper CMP composition of the invention may be utilized in a conventional manner in the CMP operation, by application of the CMP composition to the copper surface on the microelectronic device substrate in a conventional fashion, and polishing of the copper surface may be carried out using a conventional polishing element such as a polishing pad, polishing belt, or the like.
  • The CMP composition of the invention is advantageously employed to polish surfaces of copper elements on microelectronic device substrates, without the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even when significant amounts of copper ions, e.g., Cu2+ ions, are present in the bulk CMP slurry composition and/or at the copper/CMP slurry interface during CMP processing.
  • Step I CMP slurries advantageously remove copper rapidly during the step I CMP process. However, as the step I process enters the soft landing and/or overpolishing phases, this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface.
  • To limit the overly aggressive CMP slurry composition during the soft landing and/or overpolishing steps of the Step I polishing step, the Step I CMP slurry of the invention may be diluted in a serial fashion. Accordingly, another embodiment of the present invention relates to a process of using a CMP composition to efficiently and uniformly planarize copper-containing microelectronic device substrates. Following rapid removal of the bulk copper layer using a more concentrated CMP composition, the CMP composition is diluted, either by in-line mixing or directly at the platen, to form a diluted CMP composition(s) for the soft landing and over-polishing steps. The diluting media most preferably corresponds to the solvent of the Step I CMP composition of the invention.
  • To demonstrate the effect of dilution on copper corrosion rates, Step I CMP compositions were diluted twice in a step-wise fashion and the Cu corrosion rates determined using electrochemical methods. As defined herein, “Cu corrosion rate” is equivalent to “static etch rate.” By way of example, the initial Step I CMP compositions included 5 wt. % H2O2, 6 wt. % glycine, 1 wt. % abrasive, varying concentrations of ATA, and the balance water. To simulate the acceleration effect of corrosive copper ions in the solution, i.e., the formation of the Cu2+-glycine complex which decomposes H2O2 to form the highly oxidizing OH radicals, 0.5 wt. % CuSO4.5 H2O was added to the initial Step I CMP compositions. In each case, the Step I CMP composition including ATA was compared to a Step I CMP composition including 5 wt. % H2O2, 6 wt. % glycine, 1 wt. % abrasive, 0.1 wt. % BTA, and the balance water
  • The initial Step I CMP composition (hereinafter Slurry1) was diluted step-wise with water to yield a second CMP slurry (1 part Slurry1 to 5 parts water; hereinafter Slurry2), and a third CMP slurry (1 part Slurry1 to 50 parts water; hereinafter Slurry3). The Cu corrosion rates in Angstroms per minute, were measured and the average Cu corrosion rate plotted as a function of CMP slurry dilution using both a linear and logarithmic scale.
  • Importantly, the number of serial dilutions of Slurry1, i.e., the most concentrated CMP slurry, is not limited to the aforementioned two dilutions. The number of dilutions depend on the desired end result of the Step I CMP polish and may vary from one step-wise dilution to ten step-wise dilutions. Alternatively, the dilution may be effectuated in a continuous manner whereby diluting solvent is continuously added to the CMP slurry during the soft-landing and/or over-polishing steps. In yet another alternative, Slurryl is not diluted per se, but rather diluted CMP compositions are prepared for delivery of clean, diluted chemistries to the tool subsequent to the polish using Slurry1.
  • FIGS. 1 and 2 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.1 wt. %, respectively. Non-linear regression of the best-fit straight line in FIG. 2 yields a power relation between corrosion rate and slurry dilution of y=14.396×−0.4881.
  • FIGS. 3 and 4 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.8 wt. %, respectively. Non-linear regression of the best-fit straight line in FIG. 4 yields a power relation between corrosion rate and slurry dilution of y=10.306×−0.5024.
  • FIGS. 5 and 6 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 1.2 wt. %, respectively. Non-linear regression of the best-fit straight line in FIG. 6 yields a power relation between corrosion rate and slurry dilution of y=8.0513×−0.5517.
  • In each example, the copper corrosion rate decreases with increasing slurry dilution. This may prove advantageous during the soft-landing and over-polishing steps to slow the copper corrosion rate and concomitantly minimize the dishing and erosion of the copper lines and plugs. Further, compared to compositions including BTA, ATA leads to a lower overall corrosion rate as well as a more rapid corrosion decrease with increasing dilution, as evidenced by the slopes of the regression lines.
  • These power relationships between copper corrosion rate and slurry dilution provide a means to control the static etch of Cu during Step I CMP polishing. In order to achieve acceptable planarization of the wafer surface at an efficient etch rate with the minimum amount of dishing, Slurry1 may be diluted at some point prior to the soft-landing, during the soft-landing and/or during the over-polishing steps of the Step I CMP polish, as readily determined by one skilled in the art. As defined herein, “bulk layer” represents the copper layer that is rapidly removed by the Step I CMP composition of the invention, e.g., Slurry1, and “residual layer” represents the copper layer that remains following the removal of the bulk layer, including the copper overburden, which is intended to be removed at a slower rate, e.g., by Slurry2, Slurry3, etc. By diluting the overly aggressive Slurryl at the appropriate point in the CMP polish, polishing defects such as dishing and erosion are substantially eliminated.
  • The diluting solvent, e.g., water, may be delivered to the polishing table at the appropriate time and in the appropriate amount based on the regression equation specific to the slurry composition of choice, as readily determinable by one skilled in the art. In practice, Slurry1 may be diluted in a serial manner and the Cu corrosion rate of each composition measured using Tafel or some equivalent measurement. Thereafter, a logarithmic plot of the Cu corrosion rate as a function of the slurry dilution may be plotted and the non-linear regression equation calculated. Using the regression equation and knowledge of the wafer to be polished, e.g., thickness of the film, removal rate, etc., one skilled in the art may determine the appropriate time and in the appropriate amount of diluting solvent to deliver to the polishing table to slow the static etch rate of copper to a rate that minimizes the formation of polishing defects such as dishing.
  • The CMP composition of the invention may be diluted in a serial manner to effectuate substantial removal of the residual layer of copper while simultaneously minimizing dishing and erosion of the copper lines and plugs, as readily determined by one skilled in the art. As defined herein, “substantial removal” corresponds to at least 80%, preferably at least 90%, most preferably at least 95% of the residual layer of copper is removed using the series of Step I CMP compositions.
  • It is also contemplated herein that the dilution process may be automated whereby the polishing table and a programmable logic control (PLC) unit may be communicatively connected and the regression equation for the CMP slurry of choice input into the PLC. At the appropriate time, the appropriate amount of diluting solvent will be delivered to the polishing table, as controlled by the PLC.
  • Following completion of the Step I CMP process, the platen and substrate are rinsed with solvent. Preferably, the solvent is the same as that used in the Step I CMP compositions described herein, e.g., water. The rinse time may be in a range from about 5 sec to about 30 sec, preferably about 10 sec to about 20 sec. Thereafter, the wafer substrate may be transferred to another platen for Step II polishing thereon.
  • While the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other variations, modifications and alternative embodiments, as will suggest themselves to those of ordinary skill in the field of the present invention, based on the disclosure herein. Correspondingly, the invention as hereinafter claimed is intended to be broadly construed and interpreted, as including all such variations, modifications and alternative embodiments, within its spirit and scope.

Claims (18)

1. A method of determining a relationship between static etch rate and chemical mechanical polishing (CMP) composition dilution, said method comprising:
(a) preparing a first CMP composition;
(b) measuring a first static etch rate of a material to be polished using the first CMP composition;
(c) diluting the first CMP composition with a solvent to produce a second CMP composition;
(d) measuring a second static etch rate of the material to be polished using the second CMP composition;
(e) repeating steps (c) and (d) to produce a third CMP composition and measuring a third static etch rate;
(f) plotting static etch rate as a function of CMP composition dilution ratio using a logarithmic scale; and
(g) calculating the non-linear regression equation, wherein said regression equation is the relationship between static etch rate and CMP composition dilution.
2. The method of claim 1, further comprising repeating step (e) at least once.
3. The method of claim 1, wherein the first CMP composition includes 5-aminotetrazole (ATA).
4. The method of claim 1, wherein the solvent comprises water.
5. The method of claim 3, wherein the first CMP composition further comprises abrasive, wherein the abrasive comprises at least one abrasive selected from the group consisting of silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, alumina-coated colloidal silica, thermoset resins, thermoplastic resins, and mixtures of two or more of such components in suitable form.
6. The method of claim 3, further comprising at least one oxidizing agent, wherein the oxidizing agent comprises at least one compound selected from the group consisting of ferric nitrate, ferric ammonium oxalate, ferric ammonium citrate, permanganate salts, peroxyacids, peroxoborate salts, urea-hydrogen peroxide, iodate salts, perchlorate salts, persulfate salts, bromate salts, benzoquinone, chlorate salts, chlorite salts, hypochlorite salts, hypoiodite salts, oxybromide salts, percarbonate salts, periodate salts, ceric salts, chromate and dichromate compounds, cupricyanide and ferricyanide salts, ferriphenanthroline, ferripyridine and ferrocinium.
7. The method of claim 3, further comprising at least one chelating agent, wherein the at least one chelating agent comprises at least one chelant selected from the group consisting of glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, ethylenediaminetetraacetic acid, N-hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, diethylenetriaminepentaacetic acid, ethanoldiglycinate, phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, and mellitic acid
8. The method of claim 3, further comprising solvent, wherein the solvent comprises water.
9. The method of claim 3, wherein the first CMP composition further comprises abrasive, and wherein ATA, the at least one oxidizing agent, the at least one chelating agent, and solvent have the following concentrations by weight, based on total weight of the composition:
ATA 0.001-10 wt. % oxidizing agent 0.1-30 wt. % chelating agent 0.1-25 wt. % solvent 35-99.8 wt. %.
10. The method of claim 1, wherein the first CMP composition is diluted with solvent in a dilution range from about 1:2 to about 1:100.
11. The method of claim 1, wherein the static etch rate of copper using the first CMP composition is greater than the static etch rate of copper using the second CMP composition.
12. The method of claim 1, wherein dilution is effectuated in steps.
13. The method of claim 1, wherein dilution is effectuated continuously.
14. The method of claim 1, wherein the regression equation is used to control the static etch rate of copper.
15. The method of claim 1, wherein solvent is delivered to the first CMP composition to slow the static etch rate of copper.
16. A kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
17. The kit of claim 16, comprising, in one or more containers, the following components by weight, based on the total weight of the combined components:
ATA 0.001-10 wt. % oxidizing agent 0.1-30 wt. % chelating agent 0.1-25 wt. % solvent 35-99.8 wt. %.
wherein the total of weight percentages of such components of the composition does not exceed 100% weight.
18. The kit of claim 16, wherein the CMP composition further comprises abrasive.
US11/117,282 2002-12-10 2005-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes Abandoned US20050263490A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/117,282 US20050263490A1 (en) 2002-12-10 2005-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes
PCT/US2006/016705 WO2006116770A2 (en) 2005-04-28 2006-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes
TW95115245A TWI421931B (en) 2005-04-28 2006-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes
US12/234,199 US8236695B2 (en) 2002-12-10 2008-09-19 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/315,641 US7300601B2 (en) 2002-12-10 2002-12-10 Passivative chemical mechanical polishing composition for copper film planarization
US11/117,282 US20050263490A1 (en) 2002-12-10 2005-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/315,641 Continuation-In-Part US7300601B2 (en) 2002-12-10 2002-12-10 Passivative chemical mechanical polishing composition for copper film planarization

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/234,199 Continuation US8236695B2 (en) 2002-12-10 2008-09-19 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Publications (1)

Publication Number Publication Date
US20050263490A1 true US20050263490A1 (en) 2005-12-01

Family

ID=32468759

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/315,641 Expired - Lifetime US7300601B2 (en) 2002-12-10 2002-12-10 Passivative chemical mechanical polishing composition for copper film planarization
US11/117,282 Abandoned US20050263490A1 (en) 2002-12-10 2005-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes
US11/117,274 Expired - Fee Related US7361603B2 (en) 2002-12-10 2005-04-28 Passivative chemical mechanical polishing composition for copper film planarization
US11/733,410 Abandoned US20070181852A1 (en) 2002-12-10 2007-04-10 Passivative chemical mechanical polishing composition for copper film planarization
US12/234,199 Expired - Fee Related US8236695B2 (en) 2002-12-10 2008-09-19 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/315,641 Expired - Lifetime US7300601B2 (en) 2002-12-10 2002-12-10 Passivative chemical mechanical polishing composition for copper film planarization

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/117,274 Expired - Fee Related US7361603B2 (en) 2002-12-10 2005-04-28 Passivative chemical mechanical polishing composition for copper film planarization
US11/733,410 Abandoned US20070181852A1 (en) 2002-12-10 2007-04-10 Passivative chemical mechanical polishing composition for copper film planarization
US12/234,199 Expired - Fee Related US8236695B2 (en) 2002-12-10 2008-09-19 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Country Status (6)

Country Link
US (5) US7300601B2 (en)
EP (1) EP1570015A4 (en)
CN (2) CN1329467C (en)
AU (1) AU2003297590A1 (en)
TW (1) TWI338711B (en)
WO (1) WO2004053008A2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228999A1 (en) * 2005-04-08 2006-10-12 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US20060283093A1 (en) * 2005-06-15 2006-12-21 Ivan Petrovic Planarization composition
US20070190910A1 (en) * 2005-04-08 2007-08-16 Ferro Corporation Slurry Composition And Method For Polishing Organic Polymer-Based Ophthalmic Substrates
US20070218692A1 (en) * 2006-01-31 2007-09-20 Nissan Chemical Industries, Ltd. Copper-based metal polishing compositions and polishing processes
US20080026582A1 (en) * 2005-06-16 2008-01-31 United Microelectronics Corp. Planarization process for pre-damascene structure including metal hard mask
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
US20100032613A1 (en) * 2005-08-08 2010-02-11 Kyoung Mook Lee Etchant composition, and methods of patterning conductive layer and manufacturing flat panel display device using the same
US20120276742A1 (en) * 2011-04-28 2012-11-01 Jaeseok Lee Chemical Mechanical Polishing Composition and Method For Polishing Germanium-Antimony-Tellurium Alloys
US20120276819A1 (en) * 2011-04-28 2012-11-01 Jaeseok Lee Chemical Mechanical Polishing Composition and Method For Polishing Phase Change Alloys
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10392531B2 (en) 2009-11-30 2019-08-27 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
TWI244498B (en) * 2003-11-20 2005-12-01 Eternal Chemical Co Ltd Chemical mechanical abrasive slurry and method of using the same
US20050189322A1 (en) * 2004-02-27 2005-09-01 Lane Sarah J. Compositions and methods for chemical mechanical polishing silica and silicon nitride
US20050279964A1 (en) * 2004-06-17 2005-12-22 Ming-Tseh Tsay Chemical mechanical polishing slurry for polishing copper layer on a wafer
US20060000808A1 (en) * 2004-07-01 2006-01-05 Fuji Photo Film Co., Ltd. Polishing solution of metal and chemical mechanical polishing method
US7144599B2 (en) 2004-07-15 2006-12-05 Birchwood Laboratories, Inc. Hybrid metal oxide/organometallic conversion coating for ferrous metals
US20060021972A1 (en) * 2004-07-28 2006-02-02 Lane Sarah J Compositions and methods for chemical mechanical polishing silicon dioxide and silicon nitride
US8178482B2 (en) * 2004-08-03 2012-05-15 Avantor Performance Materials, Inc. Cleaning compositions for microelectronic substrates
KR100672941B1 (en) * 2004-10-06 2007-01-24 삼성전자주식회사 Solution of inhibiting Copper erosion and CMP process using the solution
JP5026665B2 (en) * 2004-10-15 2012-09-12 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
US20060116313A1 (en) * 2004-11-30 2006-06-01 Denise Geitz Compositions comprising tannic acid as corrosion inhibitor
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
US7446046B2 (en) * 2005-01-06 2008-11-04 Intel Corporation Selective polish for fabricating electronic devices
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
EP1702965A3 (en) * 2005-03-17 2007-07-25 FUJIFILM Corporation Metal chemical mechanical polishing solution and polishing method
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
KR100661273B1 (en) * 2005-04-28 2006-12-26 테크노세미켐 주식회사 Abrasive composition for polishing of wafer
WO2006115393A1 (en) * 2005-04-28 2006-11-02 Techno Semichem Co., Ltd. Auto-stopping abrasive composition for polishing high step height oxide layer
SG127749A1 (en) * 2005-05-11 2006-12-29 Agency Science Tech & Res Method and solution for forming anatase titanium dioxide, and titanium dioxide particles, colloidal dispersion and film
KR101332302B1 (en) * 2005-06-06 2013-11-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Integrated chemical mechanical polishing composition and process for single platen processing
JP4679277B2 (en) * 2005-07-11 2011-04-27 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
CN101356628B (en) * 2005-08-05 2012-01-04 高级技术材料公司 High throughput chemical mechanical polishing composition for metal film planarization
KR100734274B1 (en) * 2005-09-05 2007-07-02 삼성전자주식회사 Method of forming gate using the cleaning composition
WO2008048240A2 (en) * 2005-09-22 2008-04-24 Pantheon Chemical, Inc. Copper chelating agent, composition including the agent, and methods of forming and using the agent and composition
JP2007088379A (en) * 2005-09-26 2007-04-05 Fujifilm Corp Aqueous polishing slurry and chemical mechanical polishing method
EP1936673A4 (en) * 2005-10-12 2011-01-05 Hitachi Chemical Co Ltd Polishing solution for cmp and method of polishing
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
KR20080072905A (en) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
KR20070088245A (en) * 2006-02-24 2007-08-29 후지필름 가부시키가이샤 Polishing liquid for metals
US20070209287A1 (en) * 2006-03-13 2007-09-13 Cabot Microelectronics Corporation Composition and method to polish silicon nitride
US7820067B2 (en) * 2006-03-23 2010-10-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
CN100491072C (en) * 2006-06-09 2009-05-27 河北工业大学 Method for controlling disc-like pit during chemically mechanical polishing for ULSI multiple-layered copper wiring
US7396768B2 (en) * 2006-10-20 2008-07-08 Hitachi Global Storage Technologies Netherlands B.V. Copper damascene chemical mechanical polishing (CMP) for thin film head writer fabrication
WO2008069781A1 (en) * 2006-12-04 2008-06-12 Basf Se Planarization composition for metal surfaces comprising an alumina hydrate abrasive
CN101225282B (en) * 2007-01-19 2013-05-01 安集微电子(上海)有限公司 Low-dielectric material lapping liquid
WO2008095078A1 (en) * 2007-01-31 2008-08-07 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
JP5121273B2 (en) * 2007-03-29 2013-01-16 富士フイルム株式会社 Polishing liquid for metal and polishing method
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7670497B2 (en) * 2007-07-06 2010-03-02 International Business Machines Corporation Oxidant and passivant composition and method for use in treating a microelectronic structure
EP2183333B1 (en) * 2007-07-26 2016-09-07 Cabot Microelectronics Corporation Compositions and methods for chemical-mechanical polishing of phase change materials
US20090032765A1 (en) * 2007-08-03 2009-02-05 Jinru Bian Selective barrier polishing slurry
CN101451047B (en) * 2007-11-30 2013-10-23 安集微电子(上海)有限公司 Chemico-mechanical polishing liquid
US8425797B2 (en) * 2008-03-21 2013-04-23 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US8247326B2 (en) * 2008-07-10 2012-08-21 Cabot Microelectronics Corporation Method of polishing nickel-phosphorous
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
KR101279966B1 (en) * 2008-12-29 2013-07-05 제일모직주식회사 CMP slurry composition for polishing metal wiring and polishing method using the same
KR20100091436A (en) * 2009-02-10 2010-08-19 삼성전자주식회사 Composition of solution for chemical mechanical polishing
US8889555B2 (en) * 2009-02-16 2014-11-18 Hitachi Chemical Co., Ltd. Polishing agent for copper polishing and polishing method using same
US8845915B2 (en) 2009-02-16 2014-09-30 Hitachi Chemical Company, Ltd. Abrading agent and abrading method
EP2226374B1 (en) * 2009-03-06 2012-05-16 S.O.I. TEC Silicon Etching composition, in particular for silicon materials, method for characterizing defects of such materials and process of treating such surfaces with etching composition
CN101906269A (en) * 2009-06-08 2010-12-08 安集微电子科技(上海)有限公司 Slurry for metal chemical and mechanical polishing and using method thereof
CN102484061B (en) 2009-09-02 2015-08-19 诺发系统有限公司 The isotropic etchant material consumption reduced and waste material produce
US9028708B2 (en) 2009-11-30 2015-05-12 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
JP5582187B2 (en) 2010-03-12 2014-09-03 日立化成株式会社 Slurry, polishing liquid set, polishing liquid, and substrate polishing method using these
CN102220133B (en) * 2010-04-19 2014-02-12 深圳富泰宏精密工业有限公司 Stripping solution of titanium carbide and/or titanium nitride film and stripping method
TWI471458B (en) * 2010-04-30 2015-02-01 Fih Hong Kong Ltd An etching solution for removing titanium carbide and titanium nitride films and method for removing the films
CN102337079B (en) * 2010-07-23 2015-04-15 安集微电子(上海)有限公司 Chemically mechanical polishing agent
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
CN105274338A (en) 2010-08-20 2016-01-27 安格斯公司 Sustainable process for reclaiming precious metals and base metals from e-waste
CN102373014A (en) * 2010-08-24 2012-03-14 安集微电子(上海)有限公司 Chemical-mechanical polishing solution
CN103210047B (en) 2010-09-08 2018-07-17 巴斯夫欧洲公司 The diazene * dioxide of the substitution containing N and/or the aqueous polishing composition of N '-hydroxyls-diazene * oxide salts
KR101906135B1 (en) 2010-09-08 2018-10-10 바스프 에스이 Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
MY164859A (en) 2010-09-08 2018-01-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrate materials for electrical, mechanical and optical devices
CN102399494B (en) * 2010-09-10 2014-12-31 安集微电子(上海)有限公司 Chemical mechanical polishing solution
CN103154321B (en) 2010-10-06 2015-11-25 安格斯公司 The composition of selective etch metal nitride and method
KR101907860B1 (en) 2010-10-07 2018-10-15 바스프 에스이 Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
CN102453439B (en) * 2010-10-22 2015-07-29 安集微电子(上海)有限公司 A kind of chemical mechanical polishing liquid
CN103222036B (en) 2010-11-22 2016-11-09 日立化成株式会社 The set agent of suspension, lapping liquid, lapping liquid, the Ginding process of substrate and substrate
CN103221503A (en) 2010-11-22 2013-07-24 日立化成株式会社 Method for producing abrasive grains, method for producing slurry, and method for producing polishing liquid
CN103497733B (en) * 2010-11-22 2016-11-23 日立化成株式会社 The set agent of suspension, lapping liquid, lapping liquid, the Ginding process of substrate and substrate
JP6096670B2 (en) 2010-12-10 2017-03-15 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se Aqueous polishing composition and method for chemically and mechanically polishing a substrate containing a silicon oxide dielectric film and a polysilicon film
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
US9496146B2 (en) 2011-03-11 2016-11-15 Basf Se Method for forming through-base wafer vias
US10407594B2 (en) * 2011-03-22 2019-09-10 Basf Se Chemical mechanical polishing (CMP) composition comprising a polymeric polyamine
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
CN102504705B (en) * 2011-10-17 2014-07-09 河南省化工研究所有限责任公司 Polishing solution used for precision machining of optical communication ZrO2 ceramic stub and preparation method thereof
CN102604542A (en) * 2012-02-21 2012-07-25 复旦大学 Polishing solution used in polishing process with metal ruthenium as adhesive barrier layer in copper interconnection
JP6044629B2 (en) 2012-02-21 2016-12-14 日立化成株式会社 Abrasive, abrasive set, and substrate polishing method
SG11201405091TA (en) 2012-02-21 2014-09-26 Hitachi Chemical Co Ltd Polishing agent, polishing agent set, and substrate polishing method
KR102034328B1 (en) 2012-05-22 2019-10-18 히타치가세이가부시끼가이샤 Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
US10557059B2 (en) 2012-05-22 2020-02-11 Hitachi Chemical Company, Ltd. Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
JP5943073B2 (en) 2012-05-22 2016-06-29 日立化成株式会社 Slurry, polishing liquid set, polishing liquid and polishing method for substrate
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
KR101933529B1 (en) * 2012-12-28 2019-03-15 동우 화인켐 주식회사 Etchant composition for copper-containing metal layer and preparing method of an array substrate for liquid crystal display using same
CN103450812B (en) * 2013-01-10 2014-09-17 湖南皓志新材料股份有限公司 Polishing solution for sapphire substrate
JP6203525B2 (en) * 2013-04-19 2017-09-27 関東化學株式会社 Cleaning liquid composition
US8974692B2 (en) 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
CN104449564A (en) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 Monodisperse grinding fluid and preparation method thereof and method for preparing inorganic oxide sol
WO2015084830A1 (en) * 2013-12-02 2015-06-11 Ecolab Usa Inc. Tetrazole based corrosion inhibitors
CN103789770B (en) * 2014-02-14 2016-08-31 东莞宜安科技股份有限公司 Large block amorphous and nanometer crystal alloy Surface Chemical Polishing technique
JP6879202B2 (en) * 2015-03-10 2021-06-02 昭和電工マテリアルズ株式会社 Abrasives, storage solutions for abrasives and polishing methods
CN104746082B (en) * 2015-03-12 2017-05-17 深圳新宙邦科技股份有限公司 Water-based copper anti-rusting agent and preparation method thereof
US9978609B2 (en) 2015-04-27 2018-05-22 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
CN105002498A (en) * 2015-07-24 2015-10-28 金川集团股份有限公司 GH625 high-temperature alloy metallographic etchant and preparation and application method thereof
CN105086836A (en) * 2015-08-19 2015-11-25 三峡大学 Cerium oxide polishing solution and preparation method thereof
WO2017156304A1 (en) 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
CN105802582A (en) * 2016-05-23 2016-07-27 昆山金城试剂有限公司 Rare earth grinding fluid
WO2017214995A1 (en) * 2016-06-17 2017-12-21 深圳市恒兆智科技有限公司 Polishing agent, copper part and polishing treatment method therefor
KR101943704B1 (en) * 2016-06-27 2019-01-29 삼성에스디아이 주식회사 Cmp slurry composition for metal film and polishing method
CN106119855B (en) * 2016-08-17 2018-08-21 安徽红桥金属制造有限公司 A kind of preparation method of stainless steel material polishing agent
US20200016721A1 (en) * 2016-09-21 2020-01-16 Hitachi Chemical Company, Ltd. Slurry and polishing method
JP6928675B2 (en) * 2017-05-25 2021-09-01 サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティドSaint−Gobain Ceramics And Plastics, Inc. Oxidizing fluid for chemical mechanical polishing of ceramic materials
CN107164764A (en) * 2017-06-09 2017-09-15 大连理工大学 A kind of environment protection chemical mechanical polishing method of copper
WO2019006601A1 (en) * 2017-07-03 2019-01-10 深圳市宏昌发科技有限公司 Polishing agent, copper part and polishing process therefor
US11401441B2 (en) 2017-08-17 2022-08-02 Versum Materials Us, Llc Chemical mechanical planarization (CMP) composition and methods therefore for copper and through silica via (TSV) applications
US10465096B2 (en) 2017-08-24 2019-11-05 Versum Materials Us, Llc Metal chemical mechanical planarization (CMP) composition and methods therefore
EP3692185A4 (en) * 2017-12-04 2021-07-21 Chemtreat, Inc. Methods and compositions for inhibiting corrosion on metal surfaces
US11560533B2 (en) * 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning
CN111378972A (en) * 2018-12-29 2020-07-07 安集微电子(上海)有限公司 Chemical mechanical polishing solution
US20200277514A1 (en) 2019-02-28 2020-09-03 Versum Materials Us, Llc Chemical Mechanical Polishing For Copper And Through Silicon Via Applications
KR20220002555A (en) * 2019-05-01 2022-01-06 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. etching composition
CN110256968B (en) * 2019-05-29 2021-01-01 湖南皓志科技股份有限公司 Aluminum oxide polishing solution for copper polishing and preparation method thereof
WO2020251800A1 (en) * 2019-06-13 2020-12-17 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US20220372332A1 (en) * 2019-09-24 2022-11-24 Versum Materials Us, Llc With-In Die Non-Uniformities (WID-NU) In Planarization
US20220332978A1 (en) * 2019-09-30 2022-10-20 Versum Materials Us, Llc Low Dishing Copper Chemical Mechanical Planarization
CN111235579A (en) * 2019-12-31 2020-06-05 南方科技大学 Metal polishing method
CN111362883B (en) * 2020-04-16 2022-04-19 安美科技股份有限公司 Benzotriazole derivative corrosion inhibitor and preparation method and application thereof
CN112160002B (en) * 2020-09-15 2021-05-28 深圳市崇辉表面技术开发有限公司 Method for carrying out surface activation treatment on copper alloy surface
CN114686115A (en) * 2020-12-30 2022-07-01 安集微电子科技(上海)股份有限公司 Chemical mechanical polishing solution and use method thereof
CN114855156A (en) * 2022-05-09 2022-08-05 如皋市凯源电器设备有限公司 Preparation process of corrosion-resistant conductive strip
CN115449302A (en) * 2022-09-20 2022-12-09 江西鑫铂瑞科技有限公司 Use method of novel polishing solution for electrolytic copper foil cathode titanium roller

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184141B1 (en) * 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US20010008828A1 (en) * 2000-01-12 2001-07-19 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6312486B1 (en) * 1997-08-21 2001-11-06 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
US20020005017A1 (en) * 2000-03-31 2002-01-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6357921B1 (en) * 1999-09-09 2002-03-19 Ina Walzlager Schaeffler Ohg Radial-axial bearing assembly
US6429134B1 (en) * 1999-06-30 2002-08-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6428721B1 (en) * 1998-12-01 2002-08-06 Fujimi Incorporated Polishing composition and polishing method employing it
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US6447695B1 (en) * 1999-09-06 2002-09-10 Jsr Corporation Aqueous dispersion composition for chemical mechanical polishing for use in manufacture of semiconductor devices
US6569350B2 (en) * 1996-12-09 2003-05-27 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US20030100247A1 (en) * 2001-11-28 2003-05-29 Kim Sue-Ryeon Method of supplying slurry and a slurry supply apparatus having a mixing unit at a point of use
US6593239B2 (en) * 1996-12-09 2003-07-15 Cabot Microelectronics Corp. Chemical mechanical polishing method useful for copper substrates
US6638854B2 (en) * 1998-11-09 2003-10-28 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US20040025444A1 (en) * 2002-02-11 2004-02-12 Ekc Technology, Inc. Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6805812B2 (en) * 2001-10-11 2004-10-19 Cabot Microelectronics Corporation Phosphono compound-containing polishing composition and method of using same
US6811470B2 (en) * 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US7132058B2 (en) * 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5332341B2 (en) * 1973-03-27 1978-09-07
US4468339B1 (en) * 1982-01-21 1989-05-16 Aqueous compositions containing overbased materials
JP2781954B2 (en) * 1994-03-04 1998-07-30 メック株式会社 Copper and copper alloy surface treatment agent
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US6168508B1 (en) * 1997-08-25 2001-01-02 Lsi Logic Corporation Polishing pad surface for improved process control
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
JP4163785B2 (en) * 1998-04-24 2008-10-08 スピードファム株式会社 Polishing composition and polishing method
US6114215A (en) * 1998-07-06 2000-09-05 Lsi Logic Corporation Generating non-planar topology on the surface of planar and near-planar substrates
KR100851451B1 (en) * 1998-12-25 2008-08-08 히다치 가세고교 가부시끼가이샤 Cmp abrasive, liquid additive for cmp abrasive and method for polishing substrate
US6159077A (en) * 1999-07-30 2000-12-12 Corning Incorporated Colloidal silica polishing abrasive
TW499471B (en) * 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP2001077060A (en) * 1999-09-08 2001-03-23 Toshiba Corp Manufacture of semiconductor device
JP2001187877A (en) * 1999-12-28 2001-07-10 Nec Corp Slurry for chemical mechanical polishing
JP3490038B2 (en) * 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 Metal wiring formation method
JP2001269859A (en) 2000-03-27 2001-10-02 Jsr Corp Aqueous dispersing element for polishing chemical machine
JP2002075927A (en) * 2000-08-24 2002-03-15 Fujimi Inc Composition for polishing and polishing method using it
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6612911B2 (en) * 2001-01-16 2003-09-02 Cabot Microelectronics Corporation Alkali metal-containing polishing system and method
JPWO2004100242A1 (en) 2003-05-09 2006-07-13 三洋化成工業株式会社 Polishing liquid and polishing method for CMP process

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US6569350B2 (en) * 1996-12-09 2003-05-27 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6593239B2 (en) * 1996-12-09 2003-07-15 Cabot Microelectronics Corp. Chemical mechanical polishing method useful for copper substrates
US6312486B1 (en) * 1997-08-21 2001-11-06 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6638854B2 (en) * 1998-11-09 2003-10-28 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US6184141B1 (en) * 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6428721B1 (en) * 1998-12-01 2002-08-06 Fujimi Incorporated Polishing composition and polishing method employing it
US6429134B1 (en) * 1999-06-30 2002-08-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6447695B1 (en) * 1999-09-06 2002-09-10 Jsr Corporation Aqueous dispersion composition for chemical mechanical polishing for use in manufacture of semiconductor devices
US6357921B1 (en) * 1999-09-09 2002-03-19 Ina Walzlager Schaeffler Ohg Radial-axial bearing assembly
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US20010008828A1 (en) * 2000-01-12 2001-07-19 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US20020005017A1 (en) * 2000-03-31 2002-01-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6811470B2 (en) * 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6805812B2 (en) * 2001-10-11 2004-10-19 Cabot Microelectronics Corporation Phosphono compound-containing polishing composition and method of using same
US20030100247A1 (en) * 2001-11-28 2003-05-29 Kim Sue-Ryeon Method of supplying slurry and a slurry supply apparatus having a mixing unit at a point of use
US7132058B2 (en) * 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US20040025444A1 (en) * 2002-02-11 2004-02-12 Ekc Technology, Inc. Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228999A1 (en) * 2005-04-08 2006-10-12 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US20070190910A1 (en) * 2005-04-08 2007-08-16 Ferro Corporation Slurry Composition And Method For Polishing Organic Polymer-Based Ophthalmic Substrates
US7294044B2 (en) * 2005-04-08 2007-11-13 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US7467988B2 (en) 2005-04-08 2008-12-23 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US20060283093A1 (en) * 2005-06-15 2006-12-21 Ivan Petrovic Planarization composition
US20080026582A1 (en) * 2005-06-16 2008-01-31 United Microelectronics Corp. Planarization process for pre-damascene structure including metal hard mask
US20100032613A1 (en) * 2005-08-08 2010-02-11 Kyoung Mook Lee Etchant composition, and methods of patterning conductive layer and manufacturing flat panel display device using the same
US8052889B2 (en) * 2005-08-08 2011-11-08 Lg Display Co., Ltd. Etchant composition, and methods of patterning conductive layer and manufacturing flat panel display device using the same
US20070218692A1 (en) * 2006-01-31 2007-09-20 Nissan Chemical Industries, Ltd. Copper-based metal polishing compositions and polishing processes
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
US10392531B2 (en) 2009-11-30 2019-08-27 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US20120276742A1 (en) * 2011-04-28 2012-11-01 Jaeseok Lee Chemical Mechanical Polishing Composition and Method For Polishing Germanium-Antimony-Tellurium Alloys
US8309468B1 (en) * 2011-04-28 2012-11-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing germanium-antimony-tellurium alloys
US8790160B2 (en) * 2011-04-28 2014-07-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing phase change alloys
US20120276819A1 (en) * 2011-04-28 2012-11-01 Jaeseok Lee Chemical Mechanical Polishing Composition and Method For Polishing Phase Change Alloys
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Also Published As

Publication number Publication date
US20090137122A1 (en) 2009-05-28
WO2004053008A2 (en) 2004-06-24
EP1570015A2 (en) 2005-09-07
TW200417600A (en) 2004-09-16
WO2004053008A3 (en) 2004-09-02
AU2003297590A8 (en) 2004-06-30
US20040108302A1 (en) 2004-06-10
CN1329467C (en) 2007-08-01
US20050255693A1 (en) 2005-11-17
CN1735671A (en) 2006-02-15
CN101085901A (en) 2007-12-12
TWI338711B (en) 2011-03-11
AU2003297590A1 (en) 2004-06-30
EP1570015A4 (en) 2006-01-25
US8236695B2 (en) 2012-08-07
US20070181852A1 (en) 2007-08-09
US7300601B2 (en) 2007-11-27
US7361603B2 (en) 2008-04-22

Similar Documents

Publication Publication Date Title
US7361603B2 (en) Passivative chemical mechanical polishing composition for copper film planarization
US7736405B2 (en) Chemical mechanical polishing compositions for copper and associated materials and method of using same
KR101332302B1 (en) Integrated chemical mechanical polishing composition and process for single platen processing
JP4081064B2 (en) Tunable composition and method for chemical mechanical planarization using aspartic acid / tolyltriazole
US20060249482A1 (en) Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US8304344B2 (en) High throughput chemical mechanical polishing composition for metal film planarization
US8226849B2 (en) Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
CN109456704B (en) Metal Chemical Mechanical Planarization (CMP) compositions and methods thereof
JP2004502860A (en) Ready-to-use stable chemical mechanical polishing slurry
US20050076579A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
KR101053712B1 (en) Combinations, Methods, and Compositions for Chemical Mechanical Planarization of Tungsten-Containing Substrates
WO2006116770A2 (en) Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, JUN;KING, MACKENZIE;DARSILLO, MICHAEL S.;AND OTHERS;REEL/FRAME:016637/0794;SIGNING DATES FROM 20050718 TO 20050719

AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, JUN;KING, MACKENZIE;DARSILLO, MICHAEL;AND OTHERS;REEL/FRAME:017920/0286;SIGNING DATES FROM 20060501 TO 20060508

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE