US20050255410A1 - Anti-reflective coatings using vinyl ether crosslinkers - Google Patents

Anti-reflective coatings using vinyl ether crosslinkers Download PDF

Info

Publication number
US20050255410A1
US20050255410A1 US11/105,862 US10586205A US2005255410A1 US 20050255410 A1 US20050255410 A1 US 20050255410A1 US 10586205 A US10586205 A US 10586205A US 2005255410 A1 US2005255410 A1 US 2005255410A1
Authority
US
United States
Prior art keywords
composition
compound
substrate
layer
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/105,862
Inventor
Douglas Guerrero
Robert Cox
Marc Weimer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Priority to US11/105,862 priority Critical patent/US20050255410A1/en
Priority to JP2007510782A priority patent/JP5972510B2/en
Priority to CN201010110135.5A priority patent/CN101916051B/en
Priority to EP05771626.8A priority patent/EP1743219B1/en
Priority to CN2005800132461A priority patent/CN1981240B/en
Priority to KR1020117031664A priority patent/KR101308191B1/en
Priority to KR1020067022125A priority patent/KR101308281B1/en
Priority to PCT/US2005/012851 priority patent/WO2005111719A2/en
Priority to TW94113835A priority patent/TWI387612B/en
Assigned to BREWER SCIENCE INC. reassignment BREWER SCIENCE INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COX, ROBERT CHRISTIAN, GUERRERO, DOUGLAS J., WEIMER, MARC W.
Publication of US20050255410A1 publication Critical patent/US20050255410A1/en
Assigned to ARMY, USA BY THE SECRETARY OF THE reassignment ARMY, USA BY THE SECRETARY OF THE CONFIRMATORY LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: BREWER SCIENCES, INC
Priority to US11/613,704 priority patent/US7601483B2/en
Priority to US11/683,309 priority patent/US20070207406A1/en
Priority to US12/551,176 priority patent/US20090317747A1/en
Priority to US12/973,552 priority patent/US9110372B2/en
Priority to JP2012106641A priority patent/JP5511887B2/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/03Ethers having all ether-oxygen atoms bound to acyclic carbon atoms
    • C07C43/14Unsaturated ethers
    • C07C43/164Unsaturated ethers containing six-membered aromatic rings
    • C07C43/166Unsaturated ethers containing six-membered aromatic rings having unsaturation outside the aromatic rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31667Next to addition polymer from unsaturated monomers, or aldehyde or ketone condensation product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31692Next to addition polymer from unsaturated monomers
    • Y10T428/31699Ester, halide or nitrile of addition polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31935Ester, halide or nitrile of addition polymer

Definitions

  • the present invention is concerned with novel wet developable anti-reflective coating compositions and methods of using the same.
  • wet-developable bottom anti-reflective coatings have typically utilized a polyamic acid soluble in alkaline media as a polymer binder, thus allowing the bottom anti-reflective coating to be removed when the resist is developed.
  • These traditional wet-developable bottom anti-reflective coatings are rendered insoluble in resist solvents taking advantage of a thermally driven amic acid-to-imide conversion.
  • the bake temperature window can be narrow (less than 10° C.) where the bottom anti-reflective coating remains insoluble in organic solvents but soluble in alkaline developer; and (2) the wet-develop process is isotropic, meaning the bottom anti-reflective coating is removed vertically at the same rate as horizontally, which leads to undercutting of the resist lines. While this is not a problem with larger geometries (greater than 0.2 micron), it can easily lead to line lifting and line collapse at smaller line sizes.
  • the present invention overcomes the problems of prior art wet developable anti-reflective coatings by providing new wet developable compositions that are useful in the manufacture of microelectronic devices.
  • the inventive compositions comprise a compound selected from the group consisting of polymers, oligomers, and mixtures thereof dissolved or dispersed in a solvent system.
  • the compound is preferably present in the composition at a level of from about 0.5-10% by weight, preferably from about 0.5-5% by weight, and even more preferably from about 1-4% by weight, based upon the total weight of all ingredients in the composition taken as 100% by weight.
  • the average molecular weight be from about 1,000-100,000 Daltons, and more preferably from about 1,000-25,000 Daltons.
  • Preferred polymers include those selected from the group consisting of aliphatic polymers, acrylates, methacrylates, polyesters, polycarbonates, novolaks, polyamic acids, and mixtures thereof.
  • the molecular weight be from about 500-3,000 Daltons, and more preferably from about 500-1,500 Daltons.
  • Preferred oligomers include substituted and unsubstituted acrylates, methacrylates, novolaks, isocyanurates, glycidyl ethers, and mixtures thereof.
  • the compound Regardless of whether the compound is an oligomer or polymer, and regardless of the structure of the polymer backbone or oligomer core, it is preferred that the compound comprise an acid functional group.
  • the acid group is preferably present in the compound at a level of at least about 5% by weight, preferably from about 5-90% by weight, and even more preferably from about 5-50% by weight, based upon the total weight of the compound taken as 100% by weight.
  • Preferred acid groups are groups other than phenolics, such as carboxylic acids (—COOH).
  • the acid group is preferably not protected by a protective group. That is, at least about 95%, preferably at least about 98%, and preferably about 100% of the acid groups are free of protective groups.
  • a protective group is a group that prevents the acid from being reactive.
  • An acid-sensitive polymer or oligomer is one that contains protective groups that are removed, decomposed, or otherwise converted in the presence of an acid.
  • the inventive compositions comprise a chromophore (light attenuating compound or moiety).
  • the chromophore can be bonded with the compound (either to a functional group on the compound or directly to the polymer backbone or oligomer core), or the chromophore can simply be physically mixed in the composition.
  • the chromophore should be present in the composition at a level of from about 5-50% by weight, and preferably from about 20-40% by weight, based upon the total weight of the compound taken as 100% by weight. The chromophore is selected based upon the wavelength at which the compositions will be processed.
  • Preferred crosslinkers are vinyl ether crosslinkers. It is preferred that the vinyl ether crosslinkers be multi-functional, and more preferably tri- and tetra-functional.
  • Preferred vinyl ether crosslinkers have the formula R—(X—O—CH ⁇ CH 2 ) n , where R is selected from the group consisting of aryls (preferably C 6 -C 12 ) and alkyls (preferably C 1 -C 18 , and more preferably C 1 -C 10 ), each X is individually selected from the group consisting of: alkyls (preferably C 1 -C 18 , and more preferably C 1 -C 10 ); alkoxys (preferably C 1 -C 18 , and more preferably C 1 -C 10 ); carboxys; and combinations of two or more of the foregoing, and n is 2-6.
  • the most preferred vinyl ether crosslinkers include those selected from the group consisting of ethylene glycol vinyl ether, trimethylolpropane trivinyl ether, 1,4-cyclohexane dimethanol divinyl ether, and mixtures thereof.
  • Another preferred vinyl ether crosslinker has a formula selected from the group consisting of
  • the preferred compositions also contain a catalyst.
  • the preferred catalyst is an acid generator, and particularly a photoacid generator (“PAG,” both ionic and/or non-ionic). Any PAG that produces an acid in the presence of light is suitable.
  • PAGs include onium salts (e.g., triphenyl sulfonium perfluorosulfonates such as triphenyl sulfonium nonaflate and triphenyl sulfonium triflate), oxime-sulfonates (e.g., those sold under the name CGI® by CIBA), and triazines (e.g., TAZ108® available from Midori Kagaku Company).
  • compositions preferably comprise from about 0.1-10% by weight of catalyst, and more preferably from about 1-5% by weight of catalyst, based upon the total weight of the polymer and oligomer solids in the composition taken as 100% by weight.
  • the resulting layer should be heated to a temperature of from about 100-250° C., and preferably from about 120-200° C., to induce crosslinking of the compound in the layer.
  • the crosslinked polymers or oligomers will comprise acetal linkages having the formula
  • the crosslinked layer will be sufficiently crosslinked that it will be substantially insoluble in typical photoresist solvents.
  • the inventive coating layers will have a percent stripping of less than about 5%, preferably less than about 1%, and even more preferably about 0%.
  • the stripping test involves first determining the thickness (by taking the average of measurements at five different locations) of a cured layer. This is the average initial film thickness. Next, a solvent (e.g., ethyl lactate) is puddled onto the cured film for about 10 seconds, followed by spin drying at about 2,000-3,500 rpm for about 20-30 seconds to remove the solvent. The thickness is measured again at five different points on the wafer using ellipsometry, and the average of these measurements is determined. This is the average final film thickness.
  • a solvent e.g., ethyl lactate
  • the crosslinked layers will also have superior light absorbance.
  • the n value of this cured anti-reflective layer or coating will be at least about 1.3, and preferably from about 1.4-2.0, while the k value will be least about 0.1, and preferably from about 0.2-0.8, at the wavelength of use (e.g., 157 nm, 193 nm, 248 nm, 365 nm).
  • the OD of the cured layers will be at least about 5/ ⁇ m, preferably from about 5-15/ ⁇ m, and even more preferably from about 10-15 ⁇ m, at the wavelength of use (e.g., 157 nm, 193 nm, 248 nm, 365 nm).
  • a photoresist can be applied to the cured layer and subsequently patterned by exposure to light of the appropriate wavelength followed by development of the exposed photoresist.
  • the photoresist is exposed to light, so is the inventive coating.
  • an acid is generated from the PAG, and this acid “decrosslinks” the compound in the layer. That is, the acid breaks the bond that was formed between the compound and the crosslinker upon thermal crosslinking.
  • the above formulation was spin coated at 1,500 rpm on a silicon substrate and then baked at 160° C.
  • the films were rinsed with EL to determine resistance to the resist solvent, exposed to light for 2 seconds, heated in a post-exposure bake (“PEB”) at 130° C., and immersed in developer (tetramethylammonium hydroxide or “TMAH,” sold under the name PD523AD, obtained from JSR Micro) for 60 seconds to decrosslink and remove the bottom anti-reflective coating.
  • PEB post-exposure bake
  • TMAH tetramethylammonium hydroxide
  • Table 1 shows that the bottom anti-reflective coating had good solvent resistance, and that it could only be removed by an alkaline developer after exposure. This example shows that a polymer having an acid-sensitive group is not required for the crosslinking/decrosslinking process.
  • the film was rinsed with EL to test resistance to a resist solvent. After a rinse and spin dry cycle, no change in film thickness occurred. The cured film was immersed in 0.26N TMAH solution, and no thickness loss occurred. However, after the film was exposed to light from a mercury-xenon lamp for 2 seconds and underwent a subsequent post-exposure bake at 130° C. for 90 seconds, the film became soluble in developer.
  • a comparative example was prepared to demonstrate that vinyl ether crosslinking with a phenolic resin does not provide sufficient crosslinking density to prevent stripping by photoresist solvent.

Abstract

Novel, wet developable anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a polymer and/or oligomer having acid functional groups and dissolved in a solvent system along with a crosslinker and a photoacid generator. The preferred acid functional group is a carboxylic acid, while the preferred crosslinker is a vinyl ether crosslinker. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light, the cured compositions will decrosslink, rendering them soluble in typical photoresist developing solutions (e.g., alkaline developers).

Description

    RELATED APPLICATIONS
  • This application claims the priority benefit of a provisional application entitled ANTI-REFLECTIVE COATING USING VINYL ETHER CROSSLINKERS, Ser. No. 60/566,329, filed Apr. 29, 2004, incorporated by reference herein.
  • FEDERALLY SPONSORED RESEARCH/DEVELOPMENT PROGRAM
  • This invention was made with government support under contract number DASG60-01-C-0047 awarded by the U.S. Army Space and Missile Defense Command. The United States government has certain rights in the invention.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention is concerned with novel wet developable anti-reflective coating compositions and methods of using the same.
  • 2. Description of the Prior Art
  • As feature sizes shrink to less than 110 nm, new and more advanced materials will be needed to achieve the goals set by the semiconductor industry. Improvements in both photoresists and bottom anti-reflective coatings are needed to achieve high-resolution lithography targets. For example, resist thickness loss that occurs during the bottom anti-reflective coating and substrate etch steps becomes a critical issue because new resists are much thinner than older generation materials. While resist thickness is being reduced, bottom anti-reflective coating thickness is not expected to decrease at the same rate, which further complicates the problem of resist loss. A solution to this problem is to eliminate the bottom anti-reflective coating etch step by using a wet-developable bottom anti-reflective coating.
  • Wet-developable bottom anti-reflective coatings have typically utilized a polyamic acid soluble in alkaline media as a polymer binder, thus allowing the bottom anti-reflective coating to be removed when the resist is developed. These traditional wet-developable bottom anti-reflective coatings are rendered insoluble in resist solvents taking advantage of a thermally driven amic acid-to-imide conversion. This process works well, however, it has two limitations: (1) the bake temperature window can be narrow (less than 10° C.) where the bottom anti-reflective coating remains insoluble in organic solvents but soluble in alkaline developer; and (2) the wet-develop process is isotropic, meaning the bottom anti-reflective coating is removed vertically at the same rate as horizontally, which leads to undercutting of the resist lines. While this is not a problem with larger geometries (greater than 0.2 micron), it can easily lead to line lifting and line collapse at smaller line sizes.
  • SUMMARY OF THE INVENTION
  • The present invention overcomes the problems of prior art wet developable anti-reflective coatings by providing new wet developable compositions that are useful in the manufacture of microelectronic devices.
  • In more detail, the inventive compositions comprise a compound selected from the group consisting of polymers, oligomers, and mixtures thereof dissolved or dispersed in a solvent system. The compound is preferably present in the composition at a level of from about 0.5-10% by weight, preferably from about 0.5-5% by weight, and even more preferably from about 1-4% by weight, based upon the total weight of all ingredients in the composition taken as 100% by weight.
  • If the compound is a polymer, it is preferred that the average molecular weight be from about 1,000-100,000 Daltons, and more preferably from about 1,000-25,000 Daltons. Preferred polymers include those selected from the group consisting of aliphatic polymers, acrylates, methacrylates, polyesters, polycarbonates, novolaks, polyamic acids, and mixtures thereof.
  • If the compound is an oligomer, it is preferred that the molecular weight be from about 500-3,000 Daltons, and more preferably from about 500-1,500 Daltons. Preferred oligomers include substituted and unsubstituted acrylates, methacrylates, novolaks, isocyanurates, glycidyl ethers, and mixtures thereof.
  • Regardless of whether the compound is an oligomer or polymer, and regardless of the structure of the polymer backbone or oligomer core, it is preferred that the compound comprise an acid functional group. The acid group is preferably present in the compound at a level of at least about 5% by weight, preferably from about 5-90% by weight, and even more preferably from about 5-50% by weight, based upon the total weight of the compound taken as 100% by weight. Preferred acid groups are groups other than phenolics, such as carboxylic acids (—COOH).
  • Unlike prior art compositions, the acid group is preferably not protected by a protective group. That is, at least about 95%, preferably at least about 98%, and preferably about 100% of the acid groups are free of protective groups. A protective group is a group that prevents the acid from being reactive.
  • Because protective groups are not necessary with the present invention, it is also preferred that the compound is not acid-sensitive. An acid-sensitive polymer or oligomer is one that contains protective groups that are removed, decomposed, or otherwise converted in the presence of an acid.
  • In another embodiment, a combination of protected acid groups and unprotected acid groups could be utilized. In these embodiments, the molar ratio of protected acid groups to unprotected acid groups is from about 1:3 to about 3:1, and more preferably from about 1:2 to about 1:1.
  • It is also preferred that the inventive compositions comprise a chromophore (light attenuating compound or moiety). The chromophore can be bonded with the compound (either to a functional group on the compound or directly to the polymer backbone or oligomer core), or the chromophore can simply be physically mixed in the composition. The chromophore should be present in the composition at a level of from about 5-50% by weight, and preferably from about 20-40% by weight, based upon the total weight of the compound taken as 100% by weight. The chromophore is selected based upon the wavelength at which the compositions will be processed. For example, at wavelengths of 248 nm, preferred chromophores include naphthalenes (e.g., naphthoic acid methacrylate, 3,7-dihydroxynaphthoic acid), heterocyclic chromophores, carbazoles, anthracenes (e.g., 9-anthracene methyl methacrylate, 9-anthracenecarboxylic acid), and functional moieties of the foregoing. At wavelengths of 193 nm, preferred chromophores include substituted and unsubstituted phenyls, heterocyclic chromophores (e.g., furan rings, thiophene rings), and functional moieties of the foregoing. The preferred inventive compositions will also include a crosslinker.
  • Preferred crosslinkers are vinyl ether crosslinkers. It is preferred that the vinyl ether crosslinkers be multi-functional, and more preferably tri- and tetra-functional.
  • Preferred vinyl ether crosslinkers have the formula
    R—(X—O—CH═CH2)n,
    where R is selected from the group consisting of aryls (preferably C6-C12) and alkyls (preferably C1-C18, and more preferably C1-C10), each X is individually selected from the group consisting of: alkyls (preferably C1-C18, and more preferably C1-C10); alkoxys (preferably C1-C18, and more preferably C1-C10); carboxys; and combinations of two or more of the foregoing, and n is 2-6. The most preferred vinyl ether crosslinkers include those selected from the group consisting of ethylene glycol vinyl ether, trimethylolpropane trivinyl ether, 1,4-cyclohexane dimethanol divinyl ether, and mixtures thereof. Another preferred vinyl ether crosslinker has a formula selected from the group consisting of
    Figure US20050255410A1-20051117-C00001
  • The preferred compositions also contain a catalyst. The preferred catalyst is an acid generator, and particularly a photoacid generator (“PAG,” both ionic and/or non-ionic). Any PAG that produces an acid in the presence of light is suitable. Preferred PAGs include onium salts (e.g., triphenyl sulfonium perfluorosulfonates such as triphenyl sulfonium nonaflate and triphenyl sulfonium triflate), oxime-sulfonates (e.g., those sold under the name CGI® by CIBA), and triazines (e.g., TAZ108® available from Midori Kagaku Company).
  • The compositions preferably comprise from about 0.1-10% by weight of catalyst, and more preferably from about 1-5% by weight of catalyst, based upon the total weight of the polymer and oligomer solids in the composition taken as 100% by weight.
  • It will be appreciated that a number of other optional ingredients can be included in the compositions as well. Typical optional ingredients include surfactants, amine bases, and adhesion promoters.
  • Regardless of the embodiment, the anti-reflective compositions are formed by simply dispersing or dissolving the polymers, oligomers, or mixtures thereof in a suitable solvent system, preferably at ambient conditions and for a sufficient amount of time to form a substantially homogeneous dispersion. The other ingredients (e.g., crosslinker, PAG) are preferably dispersed or dissolved in the solvent system along with the compound.
  • Preferred solvent systems include a solvent selected from the group consisting of propylene glycol methyl ether acetate (PGMEA), propylene glycol methyl ether (PGME), propylene glycol n-propyl ether (PnP), ethyl lactate, and mixtures thereof. Preferably, the solvent system has a boiling point of from about 50-250° C., and more preferably from about 100-175° C. The solvent system should be utilized at a level of from about 80-99% by weight, and preferably from about 95-99% by weight, based upon the total weight of the composition taken as 100% by weight.
  • The method of applying the compositions to a substrate (such as a microelectronic substrate) simply comprises applying a quantity of a composition hereof to the substrate surface by any known application method (including spin-coating). The substrate can be any conventional circuit substrate, and suitable substrates can be planar or can include topography (e.g., contact or via holes, trenches). Exemplary substrates include silicon, aluminum, tungsten, tungsten silicide, gallium arsenide, germanium, tantalum, tantalum nitrite, SiGe, low k dielectric layers, dielectric layers (e.g., silicon oxide), and ion implant layers.
  • After the desired coverage is achieved, the resulting layer should be heated to a temperature of from about 100-250° C., and preferably from about 120-200° C., to induce crosslinking of the compound in the layer. In embodiments where the polymer or oligomer includes a carboxylic acid group, and the crosslinker is a vinyl ether crosslinker, the crosslinked polymers or oligomers will comprise acetal linkages having the formula
    Figure US20050255410A1-20051117-C00002
  • The crosslinked layer will be sufficiently crosslinked that it will be substantially insoluble in typical photoresist solvents. Thus, when subjected to a stripping test, the inventive coating layers will have a percent stripping of less than about 5%, preferably less than about 1%, and even more preferably about 0%. The stripping test involves first determining the thickness (by taking the average of measurements at five different locations) of a cured layer. This is the average initial film thickness. Next, a solvent (e.g., ethyl lactate) is puddled onto the cured film for about 10 seconds, followed by spin drying at about 2,000-3,500 rpm for about 20-30 seconds to remove the solvent. The thickness is measured again at five different points on the wafer using ellipsometry, and the average of these measurements is determined. This is the average final film thickness.
  • The amount of stripping is the difference between the initial and final average film thicknesses. The percent stripping is: % stripping = ( amount of stripping initial average film thickness ) × 100.
  • The crosslinked layers will also have superior light absorbance. The n value of this cured anti-reflective layer or coating will be at least about 1.3, and preferably from about 1.4-2.0, while the k value will be least about 0.1, and preferably from about 0.2-0.8, at the wavelength of use (e.g., 157 nm, 193 nm, 248 nm, 365 nm). The OD of the cured layers will be at least about 5/μm, preferably from about 5-15/μm, and even more preferably from about 10-15 μm, at the wavelength of use (e.g., 157 nm, 193 nm, 248 nm, 365 nm).
  • After the layers are cured, further steps can be carried out as necessary for the particular manufacturing process. For example, a photoresist can be applied to the cured layer and subsequently patterned by exposure to light of the appropriate wavelength followed by development of the exposed photoresist. Advantageously, as the photoresist is exposed to light, so is the inventive coating. Upon exposure to light, an acid is generated from the PAG, and this acid “decrosslinks” the compound in the layer. That is, the acid breaks the bond that was formed between the compound and the crosslinker upon thermal crosslinking. When a carboxylic acid is the acid group on the polymer or oligomer, decrosslinking results in the formation of the same polymer or oligomer originally present in the composition as well as an alcohol and an acetylaldehyde. This reaction is demonstrated in the scheme below (where R represents the polymer backbone or oligomer core, and R′ represents the remainder of the vinyl ether crosslinker).
    Figure US20050255410A1-20051117-C00003
  • It will be appreciated that after this decrosslinking has occurred, the inventive coatings are rendered wet developable. That is, the cured compositions that have been exposed to light can be substantially (and preferable completely) removed with conventional aqueous developers such as tetramethyl ammonium hydroxide and KOH developers. Some of these developers are commercialized under the names PD523AD (available from JSR Micro), MF-319 (available from Shipley, Mass.), and NMD3 (available from TOK, Japan) developers. At least about 95%, preferably at least about 99%, and even more preferably 100% of the inventive coatings will be removed by a base developer such as tetramethyl ammonium hydroxide and/or KOH developers. This high percent solubility in commercially-available developers after light exposure is a significant advantage over the prior art as this shortens the manufacturing process and makes it less costly.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The following examples set forth preferred methods in accordance with the invention. It is to be understood, however, that these examples are provided by way of illustration and nothing therein should be taken as a limitation upon the overall scope of the invention.
  • Material and Methods
  • 1. In-house Preparation of Tetrafunctional Vinyl Ether Crosslinker
    Figure US20050255410A1-20051117-C00004
  • The reaction was carried out under N2 in a 250-ml, 3-neck, round bottom flask. The Na cube was rinsed with hexane prior to use to remove mineral oil, placed quickly in a vial for weighing, and then transferred to the flask, which contained 50 ml THF. An alcohol solution in THF (20 ml) was added dropwise through an addition funnel (about 15 minutes), and then heated to reflux until all of the Na was dissolved (about 30 minutes). The solution was light yellow and homogeneous. Tetrabromo durene dissolved in THF (15 ml) was added to the reaction flask dropwise (about 30 minutes), and allowed to reflux overnight. Upon addition, the mixture became heterogenous (NaBr precipitates).
  • After cooling, the salts were filtered and rinsed with THF. The THF was removed in a rotary evaporator, and the remaining oil was redissolved in CHCl3 (25 ml). The chloroform solution was washed with water (2×25 ml), and then with brine (saturated NaCl, 25 ml). The organic layer was dried by passing it over a bed of silica gel. The solvent was removed. The product was left under vacuum for further drying.
    Figure US20050255410A1-20051117-C00005
  • Ethylene glycol vinyl ether (6 grams) and triethyl amine (7.5 ml) were mixed in ether (40 ml) and treated dropwise with a solution of trimesic acid chloride (6 grams) in ether (40%). After addition, the mixture was heated to reflux for 1.5 hours. Residual salts were removed by filtration, and the ether solution was washed with 10% NaOH (2×25 ml), washed with water (25 ml), and then dried over anhydrous magnesium sulfate. After removal of the solvent under pressure, light yellow oil was collected (69% yield).
  • EXAMPLE 1 Polymer Composition Without Acid Sensitive Groups
  • A homopolymer of methacryloyloxy ethyl phthalate (28.9 mmol, obtained from Aldrich) and 2,2′-azobisisobutyronitrile (“AIBN,” 0.58 mmol radical initiator, obtained from Aldrich) were mixed in 50 ml tetrahydrofuran (“THF,” obtained from Aldrich) under a nitrogen atmosphere and heated to reflux for 15 hours. The reaction was allowed to cool, concentrated to about 25 ml, and then precipitated into 200 ml hexane. After filtration and drying, about 8 grams of the remaining white powder were collected. The polymer molecular weight (“Mw”) was measured by using polystyrene standards and gel permeation chromatography (“GPC”) and was determined to be 68,400.
  • A 193-nm bottom anti-reflective coating was prepared as follows: A 3% solids formulation containing ethyl lactate (“EL,” obtained from General Chemical), the polymer prepared above, 28% by weight Vectomer 5015 (a vinyl ether crosslinker obtained from Aldrich), and 4% by weight triphenyl sulfonium nonaflate (a PAG, obtained from Aldrich) was prepared and filtered through 0.1-micron endpoint filter. The crosslinker and PAG amounts were based on the weight of the polymer.
  • The above formulation was spin coated at 1,500 rpm on a silicon substrate and then baked at 160° C. The films were rinsed with EL to determine resistance to the resist solvent, exposed to light for 2 seconds, heated in a post-exposure bake (“PEB”) at 130° C., and immersed in developer (tetramethylammonium hydroxide or “TMAH,” sold under the name PD523AD, obtained from JSR Micro) for 60 seconds to decrosslink and remove the bottom anti-reflective coating. Table 1 below shows that the bottom anti-reflective coating had good solvent resistance, and that it could only be removed by an alkaline developer after exposure. This example shows that a polymer having an acid-sensitive group is not required for the crosslinking/decrosslinking process.
    TABLE 1
    Thickness After Thickness After
    Initial Thickness After Development Exposure, PEBa,
    Thickness 20 sec. EL Rinse % (No Exposure) % and Development %
    (Å) (Å) Loss (Å) Loss (Å) Loss
    619 590 4.7 712 0 65 90

    aPost-exposure bake
  • EXAMPLE 2 Bottom Anti-Reflective Coating Containing Chromophore, Acid, and Dissolution Enhancer
  • Methacrylic acid (“MAA,” 31.2 mmol, obtained from Aldrich), tert-butyl methacrylate (“tBMA,” 26.0 mmol, obtained from Aldrich), 9-anthracene methyl methacrylate (“9-AMMA,” 14.5 mmol, obtained from St-Jean Photochemicals Inc.), and AIBN (1.4 mmol) were mixed in 60 ml THF under nitrogen atmosphere and heated to reflux for 19 hours. The reaction was allowed to cool, was concentrated to about 35 ml, and was then precipitated into 150 ml hexane. After filtration and drying, about 10 grams of a light yellow powder were collected. The polymer Mw, measured by using polystyrene standards and GPC, was determined to be 23,800.
  • A 3% solids formulation containing the polymer, PGME (obtained from General Chemical), PGMEA (obtained from General Chemical), 10% tetrafunctional vinyl ether crosslinker prepared in-house as described above, and 4% triphenyl sulfonium triflate (a PAG obtained from Aldrich) was prepared and filtered through a 0.1-micron endpoint filter. The crosslinker and PAG amounts were based on polymer weight. The above formulation was spin coated at 1,500 rpm onto a silicon substrate and then baked at 160° C. The optical constants at 248 nm were measured using a variable angle spectroscopic ellipsometer (“VASE”) and were determined to be k=0.42 and n=1.4589. The film was rinsed with EL to test resistance to a resist solvent. After a rinse and spin dry cycle, no change in film thickness occurred. The cured film was immersed in 0.26N TMAH solution, and no thickness loss occurred. However, after the film was exposed to light from a mercury-xenon lamp for 2 seconds and underwent a subsequent post-exposure bake at 130° C. for 90 seconds, the film became soluble in developer.
  • EXAMPLE 3 Control of Optical Properties by Polymer Composition
  • Several polymers were prepared using the procedure in Example 2 and using varying amounts of chromophore (9-AMMA) in order to demonstrate control of the optical properties of the bottom anti-reflective coating while maintaining dissolution properties. A 3% solids formulation containing PGME, PGMEA, 10% tetrafunctional vinyl ether crosslinker prepared in-house as described above, and 4% triphenyl sulfonium triflate PAG was prepared and filtered through a 0.1-micron endpoint filter.
  • Table 2 shows that by increasing chromophore loading in the polymer, optical density, and substrate reflectivity can be controlled.
    TABLE 2
    Reflectivity
    9-AMMA n 1st Minimum at 1st Minimum
    (Mole %)a k value value OD/μm Thickness (Å) Thickness (%)
    10 0.27 1.52 6.1 660 2.6
    20 0.42 1.459 10.8 660 0.08
    30 0.54 1.462 13.3 620 0.87

    abased upon total moles of solids in composition
  • EXAMPLE 4 Comparative Example with Phenolic Polymer
  • A comparative example was prepared to demonstrate that vinyl ether crosslinking with a phenolic resin does not provide sufficient crosslinking density to prevent stripping by photoresist solvent.
  • In this procedure, 0.5 grams of polyhydroxystyrene (“PHS,” obtained from DuPont), 0.02 grams of a triazine PAG (TAZ107, obtained from Midori Kagaku Company), 8.5 grams of EL, and various amounts of triscarboxyphenyl trivinyl ether prepared in-house were mixed and filtered through a 0.1-micron endpoint filter. Two additional formulations were also prepared in which 9-anthracene carboxylic acid (“9-ACA,” a chromophore obtained from Aldrich) were added to the composition to form a bottom anti-reflective coating for 248-nm lithography. Films were spin coated onto silicon substrates and then baked at varying temperatures up to 205° C. Table 3 shows the results obtained. In all cases, the bottom anti-reflective coating stripped completely when rinsed with EL.
    TABLE 3
    Bake EL Stripping (%
    Crosslinker:PHS Temperature change in film
    Polymer Ratio (° C.) PAG Chromophore thickness)
    PHS 2:1 150, 205 TAZ107 100
    PHS 4:1 150, 205 TAZ107 100
    PHS 2:1 100-205a TAZ107 9-ACA 100
    PHS 4:1 100-205 TAZ107 9-ACA 100

    atests were carried out at 10-degree intervals through this temperature range.

Claims (46)

1. A composition useful for forming microelectronic devices, said composition comprising:
a compound selected from the group consisting of polymers, oligomers, and mixtures thereof, said compound comprising an acid group other than a phenolic group;
a vinyl ether crosslinker; and
a solvent system, said compound and crosslinker being dissolved or dispersed in said solvent system,
said composition being wet developable.
2. The composition of claim 1, said composition further comprising an acid generator.
3. The composition of claim 2, wherein said acid generator is a photoacid generator.
4. The composition of claim 1, wherein said compound is not acid-sensitive.
5. The composition of claim 1, wherein said acid group is free of protective groups.
6. The composition of claim 1, wherein said compound comprises protected acid groups and unprotected acid groups, and the molar ratio of protected acid groups to unprotected acid groups is from about 1:3 to about 3:1.
7. The composition of claim 1, wherein said composition further comprises a chromophore.
8. The composition of claim 7, wherein said chromophore is bonded with said compound.
9. The composition of claim 7, wherein said chromophore is present in said composition at a level of from about 5-50% by weight, based upon the total weight of the compound taken as 100% by weight.
10. The composition of claim 1, wherein said vinyl ether crosslinker has the formula R—(X—O—CH═CH2)n, where:
R is selected from the group consisting of aryls and alkyls;
each X is individually selected from the group consisting of alkyls, alkoxys, carboxys, and combinations of two or more thereof; and
n is 2-6.
11. The composition of claim 10, wherein said vinyl ether crosslinker is selected from the group consisting of ethylene glycol vinyl ether, trimethylolpropane trivinyl ether, 1,4-cyclohexane dimethanol divinyl ether,
Figure US20050255410A1-20051117-C00006
and mixtures thereof.
12. The composition of claim 1, wherein said acid group is a carboxylic acid.
13. The composition of claim 1, wherein said polymer is selected from the group consisting of aliphatic polymers, acrylates, methacrylates polyesters, polycarbonates, novolaks, polyamic acids, and mixtures thereof.
14. A method of forming a microelectronic structure, said method comprising the steps of:
providing a substrate having a surface;
applying a composition to said surface, said composition comprising:
a compound selected from the group consisting of polymers, oligomers, and mixtures thereof, said compound comprising an acid group other than a phenolic group;
a vinyl ether crosslinker; and
a solvent system, said compound and crosslinker being dissolved or dispersed in said solvent system,
crosslinking the compound in said composition;
exposing said composition to light to yield an exposed portion of said composition; and
contacting said composition with a developer so as to remove said exposed portion from said surface.
15. The method of claim 14, wherein said crosslinking step comprises thermally crosslinking said compound.
16. The method of claim 14, wherein said crosslinking step yields a layer of composition that is substantially insoluble in photoresist solvents.
17. The method of claim 16, wherein said crosslinking step yields crosslinked compounds comprising linkages having the formula
Figure US20050255410A1-20051117-C00007
18. The method of claim 14, where said exposing step yields a layer of composition that is substantially soluble in photoresist developers.
19. The method of claim 17, wherein said exposing step results in the breaking of the bond (*) of the linkage having the formula
Figure US20050255410A1-20051117-C00008
20. The method of claim 14, wherein said substrate is a microelectronic substrate.
21. The method of claim 20, wherein said substrate is selected from the group consisting of silicon, aluminum, tungsten, tungsten silicide, gallium arsenide, germanium, tantalum, tantalum nitrite, SiGe, ion implant layers, low k dielectric layers, and dielectric layers.
22. The method of claim 14, wherein:
said substrate further comprises structure defining a hole, said structure including sidewalls and a bottom wall; and
said applying step comprises applying the composition to at least a portion of said hole sidewalls and bottom wall.
23. The method of claim 14, wherein said substrate comprises an ion implant layer, and said applying step comprises forming a layer of said composition adjacent said ion implant layer.
24. The method of claim 14, further comprising the step of applying a photoresist layer prior to said exposing step.
25. A method of forming a microelectronic structure, said method comprising the steps of:
providing a substrate having a surface;
applying a composition to said surface, said composition comprising a compound dissolved or dispersed in a solvent system, said compound being selected from the group consisting of polymers, oligomers, and mixtures thereof, said compound comprising a carboxylic acid group;
crosslinking the compound in said composition; and
exposing said composition to light so as to decrosslink said compound.
26. The method of claim 25, wherein said crosslinking step comprises thermally crosslinking said compound.
27. The method of claim 25, wherein said crosslinking step yields a layer of composition that is substantially insoluble in photoresist solvents.
28. The method of claim 25, wherein crosslinking step yields crosslinked compounds comprising linkages having the formula
Figure US20050255410A1-20051117-C00009
29. The method of claim 25, where said exposing step yields a layer of composition that is substantially soluble in photoresist developers.
30. The method of claim 28, wherein said exposing step results in the breaking of the bond (*) of the linkage having the formula
Figure US20050255410A1-20051117-C00010
31. The method of claim 25, wherein said substrate is a microelectronic substrate.
32. The method of claim 31, wherein said substrate is selected from the group consisting of silicon, aluminum, tungsten, tungsten silicide, gallium arsenide, germanium, tantalum, tantalum nitrite, SiGe, ion implant layers, low k dielectric layers, and dielectric layers.
33. The method of claim 25, wherein:
said substrate further comprises structure defining a hole, said structure including sidewalls and a bottom wall; and
said applying step comprises applying the composition to at least a portion of said hole sidewalls and bottom wall.
34. The method of claim 25, wherein said substrate comprises an ion implant layer, and said applying step comprises forming a layer of said composition adjacent said ion implant layer.
35. The method of claim 25, further comprising the step of applying a photoresist layer prior to said exposing step.
36. The combination of:
a substrate; and
a layer adjacent said substrate, said layer comprising a crosslinked compound comprising linkages having the formula
Figure US20050255410A1-20051117-C00011
37. The combination of claim 36, wherein said substrate is amicroelectronic substrate.
38. The combination of claim 37, wherein said substrate is selected from the group consisting of silicon, aluminum, tungsten, tungsten silicide, gallium arsenide, germanium, tantalum, tantalum nitrite, SiGe, ion implant layers, low k dielectric layers, and dielectric layers.
39. The combination of claim 36, wherein said layer is substantially insoluble in photoresist solvents.
40. The combination of claim 36, further comprising a photoresist adjacent said layer.
41. The combination of:
a substrate; and
a layer adjacent said substrate, said layer comprising a mixture of:
a compound selected from the group consisting of polymers, oligomers, and mixtures thereof, said compound comprising an acid group;
an alcohol; and
acetylaldehyde.
42. The combination of claim 41, wherein said substrate is a microelectronic substrate.
43. The combination of claim 42, wherein said substrate is selected from the group consisting of silicon, aluminum, tungsten, tungsten silicide, gallium arsenide, germanium, tantalum, tantalum nitrite, SiGe, ion implant layers, low k dielectric layers, and dielectric layers.
44. The combination of claim 41, wherein said layer is substantially soluble in photoresist developers.
45. The combination of claim 41, further comprising a photoresist adjacent said layer.
46. A compound having the formula
Figure US20050255410A1-20051117-C00012
US11/105,862 2004-04-29 2005-04-14 Anti-reflective coatings using vinyl ether crosslinkers Abandoned US20050255410A1 (en)

Priority Applications (14)

Application Number Priority Date Filing Date Title
US11/105,862 US20050255410A1 (en) 2004-04-29 2005-04-14 Anti-reflective coatings using vinyl ether crosslinkers
PCT/US2005/012851 WO2005111719A2 (en) 2004-04-29 2005-04-15 Anti-reflective coatings using vinyl ether crosslinkers
CN201010110135.5A CN101916051B (en) 2004-04-29 2005-04-15 Method for forming microelectronic structure
EP05771626.8A EP1743219B1 (en) 2004-04-29 2005-04-15 Method of forming a microelectronique structure having an anti-reflective coating using vinyl ether crosslinkers
CN2005800132461A CN1981240B (en) 2004-04-29 2005-04-15 Anti-reflective coatings using vinyl ether crosslinkers
KR1020117031664A KR101308191B1 (en) 2004-04-29 2005-04-15 Anti-reflective coatings using vinyl ether crosslinkers
KR1020067022125A KR101308281B1 (en) 2004-04-29 2005-04-15 Anti-reflective coatings using vinyl ether crosslinkers
JP2007510782A JP5972510B2 (en) 2004-04-29 2005-04-15 Antireflection film using vinyl ether crosslinking agent
TW94113835A TWI387612B (en) 2004-04-29 2005-04-29 Anti-reflective coatings using vinyl ether crosslinkers
US11/613,704 US7601483B2 (en) 2004-04-29 2006-12-20 Anti-reflective coatings using vinyl ether crosslinkers
US11/683,309 US20070207406A1 (en) 2004-04-29 2007-03-07 Anti-reflective coatings using vinyl ether crosslinkers
US12/551,176 US20090317747A1 (en) 2004-04-29 2009-08-31 Anti-reflective coatings using vinyl ether crosslinkers
US12/973,552 US9110372B2 (en) 2004-04-29 2010-12-20 Anti-reflective coatings using vinyl ether crosslinkers
JP2012106641A JP5511887B2 (en) 2004-04-29 2012-05-08 Antireflection film using vinyl ether crosslinking agent

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56632904P 2004-04-29 2004-04-29
US11/105,862 US20050255410A1 (en) 2004-04-29 2005-04-14 Anti-reflective coatings using vinyl ether crosslinkers

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/613,704 Continuation US7601483B2 (en) 2004-04-29 2006-12-20 Anti-reflective coatings using vinyl ether crosslinkers
US11/683,309 Continuation-In-Part US20070207406A1 (en) 2004-04-29 2007-03-07 Anti-reflective coatings using vinyl ether crosslinkers

Publications (1)

Publication Number Publication Date
US20050255410A1 true US20050255410A1 (en) 2005-11-17

Family

ID=35309829

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/105,862 Abandoned US20050255410A1 (en) 2004-04-29 2005-04-14 Anti-reflective coatings using vinyl ether crosslinkers
US11/613,704 Active US7601483B2 (en) 2004-04-29 2006-12-20 Anti-reflective coatings using vinyl ether crosslinkers
US12/551,176 Abandoned US20090317747A1 (en) 2004-04-29 2009-08-31 Anti-reflective coatings using vinyl ether crosslinkers
US12/973,552 Active US9110372B2 (en) 2004-04-29 2010-12-20 Anti-reflective coatings using vinyl ether crosslinkers

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/613,704 Active US7601483B2 (en) 2004-04-29 2006-12-20 Anti-reflective coatings using vinyl ether crosslinkers
US12/551,176 Abandoned US20090317747A1 (en) 2004-04-29 2009-08-31 Anti-reflective coatings using vinyl ether crosslinkers
US12/973,552 Active US9110372B2 (en) 2004-04-29 2010-12-20 Anti-reflective coatings using vinyl ether crosslinkers

Country Status (7)

Country Link
US (4) US20050255410A1 (en)
EP (1) EP1743219B1 (en)
JP (2) JP5972510B2 (en)
KR (2) KR101308191B1 (en)
CN (2) CN1981240B (en)
TW (1) TWI387612B (en)
WO (1) WO2005111719A2 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20060177774A1 (en) * 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US20070003863A1 (en) * 2005-06-17 2007-01-04 Uh Dong S Antireflective hardmask composition and methods for using same
US20070117041A1 (en) * 2005-11-22 2007-05-24 Christoph Noelscher Photosensitive coating for enhancing a contrast of a photolithographic exposure
US20070184648A1 (en) * 2005-11-17 2007-08-09 Sang-Woong Yoon Composition for forming a photosensitive organic anti-reflective layer and method of forming a pattern using the same
US20080044772A1 (en) * 2006-08-18 2008-02-21 Guerrero Douglas J Anti-reflective imaging layer for multiple patterning process
US20080138744A1 (en) * 2004-05-14 2008-06-12 Nissan Chemical Industries, Ltd. Anti-Reflective Coating Forming Composition Containing Vinyl Ether Compound
US20080174051A1 (en) * 2006-06-26 2008-07-24 Dipietro Richard Anthony Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US20090104559A1 (en) * 2007-10-23 2009-04-23 Houlihan Francis M Bottom Antireflective Coating Compositions
US20090111057A1 (en) * 2007-10-30 2009-04-30 Hao Xu Photoimageable branched polymer
US20090226672A1 (en) * 2008-02-22 2009-09-10 Brewer Science, Inc. Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
US20090297784A1 (en) * 2008-04-23 2009-12-03 Hao Xu Photosensitive hardmask for microlithography
US20090317747A1 (en) * 2004-04-29 2009-12-24 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20100119972A1 (en) * 2008-11-12 2010-05-13 Francis Houlihan Coating composition
US20110076626A1 (en) * 2009-09-30 2011-03-31 Munirathna Padmanaban Positive-Working Photoimageable Bottom Antireflective Coating
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
US7976894B1 (en) * 2007-11-13 2011-07-12 Brewer Science Inc. Materials with thermally reversible curing mechanism
US8133659B2 (en) 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US8257910B1 (en) * 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US20140186774A1 (en) * 2013-01-03 2014-07-03 International Business Machines Corporation Acid-strippable silicon-containing antireflective coating
US9436085B2 (en) 2009-12-16 2016-09-06 Nissan Chemical Industries, Ltd. Composition for forming photosensitive resist underlayer film
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
EP2399169B1 (en) * 2009-02-19 2019-04-17 Brewer Science, Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
WO2008072624A1 (en) 2006-12-13 2008-06-19 Nissan Chemical Industries, Ltd. Composition for forming resist foundation film containing low molecular weight dissolution accelerator
US20090098490A1 (en) * 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
JP5218762B2 (en) * 2008-12-12 2013-06-26 日産化学工業株式会社 Method for forming resist pattern
KR20120105545A (en) * 2010-01-18 2012-09-25 닛산 가가쿠 고교 가부시키 가이샤 Composition for production of photosensitive resist underlayer film, and method for formation of resist pattern
KR20110112641A (en) * 2010-04-07 2011-10-13 한국과학기술연구원 Photoactive group-bonded polysilsesquioxane having a ladder structure and a method for preparing the same
US8685615B2 (en) 2010-06-17 2014-04-01 Nissan Chemical Industries, Ltd. Photosensitive resist underlayer film forming composition
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP5820676B2 (en) * 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Lower layer composition and method for imaging lower layer
JP6035017B2 (en) 2010-10-04 2016-11-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Lower layer composition and method for imaging lower layer
JP2014507795A (en) 2010-12-27 2014-03-27 ブルーワー サイエンス アイ エヌ シー. Small feature patterning process required for advanced patterning
JP6449145B2 (en) * 2012-04-23 2019-01-09 ブルーワー サイエンス アイ エヌ シー. Photosensitive, developer-soluble bottom antireflection film material
JP6119669B2 (en) 2013-06-11 2017-04-26 信越化学工業株式会社 Underlayer film material and pattern forming method
JP6135600B2 (en) 2013-06-11 2017-05-31 信越化学工業株式会社 Underlayer film material and pattern forming method
JP6119667B2 (en) 2013-06-11 2017-04-26 信越化学工業株式会社 Underlayer film material and pattern forming method
JP6119668B2 (en) 2013-06-11 2017-04-26 信越化学工業株式会社 Underlayer film material and pattern forming method
KR102374880B1 (en) * 2017-09-29 2022-03-16 후지필름 가부시키가이샤 Colored photosensitive composition and manufacturing method of optical filter
KR102285555B1 (en) * 2018-06-12 2021-08-03 주식회사 엘지화학 Coating composition and method for manufacturing positive pattern for manufacturing microelectronic device using same
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4137365A (en) * 1975-11-21 1979-01-30 Nasa Oxygen post-treatment of plastic surfaces coated with plasma polymerized silicon-containing monomers
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4320224A (en) * 1977-09-07 1982-03-16 Imperial Chemical Industries Limited Thermoplastic aromatic polyetherketones
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4738916A (en) * 1984-06-11 1988-04-19 Nippon Telegraph And Telephone Corp. Intermediate layer material of three-layer resist system
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
US5089593A (en) * 1988-06-28 1992-02-18 Amoco Corporation Polyimide containing 4,4'-bis(4-amino-2-trifluoromethylphenoxy)-biphenyl moieties
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US5106718A (en) * 1988-10-20 1992-04-21 Ciba-Geigy Corporation Positive photoresist composition containing alkali-soluble phenolic resin, photosensitive quinonediazide compound and sulfonyl containing compound
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
US5302548A (en) * 1987-07-31 1994-04-12 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5403438A (en) * 1991-01-10 1995-04-04 Fujitsu Limited Process for forming pattern
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5632910A (en) * 1993-12-24 1997-05-27 Sony Corporation Multilayer resist pattern forming method
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
US5772925A (en) * 1994-06-29 1998-06-30 Shin-Etsu Chemical Co., Ltd. Anti-reflective coating composition
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5892096A (en) * 1994-11-09 1999-04-06 Brewer Science, Inc. Non-subliming mid-UV dyes and ultra-thin organic arcs having differential solubility
US5922503A (en) * 1996-03-06 1999-07-13 Clariant Finance (Bvi) Limited Process for obtaining a lift-off imaging profile
US5925578A (en) * 1995-12-29 1999-07-20 Hyundai Electronics Industries Co., Ltd. Method for forming fine patterns of a semiconductor device
US5939235A (en) * 1993-02-05 1999-08-17 Fuji Photo Film Co., Ltd. Positive-working light-sensitive composition
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
US6015650A (en) * 1995-12-29 2000-01-18 Hyundai Electronics Industries Co., Ltd. Method for forming micro patterns of semiconductor devices
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6042997A (en) * 1996-06-11 2000-03-28 Ibm Corporation Copolymers and photoresist compositions comprising copolymer resin binder component
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6268108B1 (en) * 1997-07-16 2001-07-31 Tokyo Ohka Kogyo Co., Ltd. Composition for forming antireflective coating film and method for forming resist pattern using same
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US20020009599A1 (en) * 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
US20020031729A1 (en) * 2000-07-31 2002-03-14 Shipley Company, L.L.C. Antireflective coating compositions
US6359028B1 (en) * 1995-07-12 2002-03-19 Mitsubishi Engineering-Plastics Corporation Polycarbonate resin composition
US6361833B1 (en) * 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6391472B1 (en) * 1999-08-26 2002-05-21 Brewer Science, Inc. Fill material for dual damascene processes
US20020076642A1 (en) * 2000-09-19 2002-06-20 Shipley Company, L.L.C. Antireflective composition
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6426125B1 (en) * 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US20020110665A1 (en) * 2000-04-24 2002-08-15 Shipley Company, L.L.C. Aperture fill
US6440640B1 (en) * 1998-12-01 2002-08-27 Advanced Micro Devices, Inc. Thin resist with transition metal hard mask for via etch application
US20020120070A1 (en) * 1998-12-31 2002-08-29 Hyundai Electronics Industries Co., Ltd. Anti reflective coating polymers and the preparation method thereof
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6509137B1 (en) * 2000-02-10 2003-01-21 Winbond Electronics Corp. Multilayer photoresist process in photolithography
US20030040179A1 (en) * 2001-08-24 2003-02-27 Thakar Gautam V. Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US20030064608A1 (en) * 2001-02-02 2003-04-03 Sabnis Ram W. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6558819B1 (en) * 1999-08-20 2003-05-06 Fuji Photo Film Co., Ltd. Arylsilane compound, light emitting device material and light emitting device by using the same
US6586560B1 (en) * 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
US20030122269A1 (en) * 2001-05-29 2003-07-03 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US20030129531A1 (en) * 2002-01-09 2003-07-10 Oberlander Joseph E. Positive-working photoimageable bottom antireflective coating
US20030129547A1 (en) * 2002-01-09 2003-07-10 Neisser Mark O. Process for producing an image using a first minimum bottom antireflective coating composition
US20030143404A1 (en) * 2001-11-01 2003-07-31 Welch Cletus N. Articles having a photochromic polymeric coating
US20030166828A1 (en) * 2002-01-17 2003-09-04 Brewer Science, Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6616692B1 (en) * 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US20040018451A1 (en) * 2002-07-23 2004-01-29 Samsung Electronics Co., Ltd. Photoresist developer-soluble organic bottom antireflective composition and photolithography and etching process using the same
US20040058275A1 (en) * 2002-06-25 2004-03-25 Brewer Science, Inc. Wet-developable anti-reflective compositions
US20040077173A1 (en) * 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6767689B2 (en) * 2001-05-11 2004-07-27 Shipley Company, L.L.C. Antireflective coating compositions
US6838223B2 (en) * 2002-02-16 2005-01-04 Samsung Electronics Co., Ltd. Compositions for anti-reflective light absorbing layer and method for forming patterns in semiconductor device using the same
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US20050074699A1 (en) * 2003-06-11 2005-04-07 Sun Sam X. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US20050148170A1 (en) * 2003-10-15 2005-07-07 Mandar Bhave Developer-soluble materials and methods of using the same in via-first dual damascene applications
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (en) * 1964-08-26
US3561962A (en) * 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) * 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
JPS48891Y1 (en) 1969-03-10 1973-01-11
US3682641A (en) * 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) * 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) * 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
JPS5471579A (en) * 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4430419A (en) * 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
EP0098922A3 (en) * 1982-07-13 1986-02-12 International Business Machines Corporation Process for selectively generating positive and negative resist patterns from a single exposure pattern
US4526856A (en) * 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
DE3425063A1 (en) * 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt MASK FOR X-RAY LITHOGRAPHY
US4578328A (en) * 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4808513A (en) * 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US5024922A (en) * 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
DE69021022T2 (en) 1989-12-07 1996-01-18 Toshiba Kawasaki Kk Photosensitive composition and resin-coated semiconductor device.
JPH0414212A (en) * 1990-05-02 1992-01-20 Dainippon Printing Co Ltd Resist pattern formation
US5066566A (en) * 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
US5364738A (en) * 1991-10-07 1994-11-15 Fuji Photo Film Co., Ltd. Light-sensitive composition
JP3014065B2 (en) * 1991-10-29 2000-02-28 日本ビクター株式会社 Optical discs, glass masters, glass stampers, glass substrates, and their manufacturing methods
DE69308671T2 (en) 1992-07-22 1997-10-16 Asahi Chemical Ind Photosensitive polyimide composition
US5370969A (en) 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
US5362608A (en) 1992-08-24 1994-11-08 Brewer Science, Inc. Microlithographic substrate cleaning and compositions therefor
JPH06295064A (en) * 1993-04-09 1994-10-21 Kansai Paint Co Ltd Photosensitive composition and pattern producing method
US5691101A (en) 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
SG54108A1 (en) 1994-03-31 1998-11-16 Catalysts & Chem Ind Co Coating solution for formation of coating and use thereof
JP3325715B2 (en) 1994-08-24 2002-09-17 ホーヤ株式会社 Method for manufacturing optical member having antireflection property
JPH08110638A (en) 1994-10-13 1996-04-30 Hitachi Chem Co Ltd Photosensitive resin composition and production of resist image
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
JP3135508B2 (en) * 1996-10-22 2001-02-19 キヤノン株式会社 Pattern formation method and device production method
JPH10149531A (en) 1996-11-15 1998-06-02 Sony Corp Magnetic recording medium and its production
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6487879B1 (en) 1997-03-07 2002-12-03 Corning Incorporated Method of making titania-doped fused silica
JPH10307394A (en) 1997-05-09 1998-11-17 Hitachi Ltd Positive photosensitive resin composition and pattern forming method by using the same and method of manufacture of electronic device
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
TW468091B (en) * 1997-09-05 2001-12-11 Kansai Paint Co Ltd Visible light-sensitive compositions and pattern formation process
KR100566042B1 (en) * 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 Positive Electrodeposition Photoresist Composition and Process for Preparing Pattern
US5998569A (en) 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
JP3673399B2 (en) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド Anti-reflection coating composition
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
JP3852889B2 (en) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 Anti-reflective coating material composition for photoresist
US6114085A (en) 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6127070A (en) 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6156658A (en) 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6306560B1 (en) 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6136511A (en) 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
KR100533379B1 (en) * 1999-09-07 2005-12-06 주식회사 하이닉스반도체 Organic polymer for anti-reflective coating layer and preparation thereof
KR20030009326A (en) * 1999-11-30 2003-01-29 브레우어 사이언스 인코포레이션 Non-aromatic chromophores for use in polymer anti-reflective coatings
KR100610406B1 (en) * 2000-02-22 2006-08-09 브레우어 사이언스 인코포레이션 Organic polymeric antireflective coatings deposited by chemical vapor deposition
JP2001338926A (en) 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device
JP2001344732A (en) 2000-05-29 2001-12-14 Fujitsu Ltd Substrate for magnetic recording medium, method for producing the same and method for evaluating magnetic recording medium
KR100917101B1 (en) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 Flexible metal laminate and production method thereof
JP3948646B2 (en) * 2000-08-31 2007-07-25 東京応化工業株式会社 Positive resist composition and resist pattern forming method using the same
JP3787271B2 (en) 2000-11-20 2006-06-21 東京応化工業株式会社 Fine resist hole pattern forming method
US6444582B1 (en) * 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6852828B2 (en) 2001-02-16 2005-02-08 Medtronic, Inc. Poly amic acid system for polyimides
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
US6680252B2 (en) * 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) * 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
EP1448669B1 (en) * 2001-09-27 2010-04-07 LG Chem Ltd. Adhesive composition comprising a polyimide copolymer and method for preparing the same
KR100465866B1 (en) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 Organic anti-reflective coating material and preparation thereof
JP2003162065A (en) 2001-11-26 2003-06-06 Mitsubishi Electric Corp Exposure system, mask for exposure, exposure method, display, and electronic parts
JP3773445B2 (en) 2001-12-19 2006-05-10 セントラル硝子株式会社 Fluorine-containing alicyclic diamine and polymer using the same
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US6846612B2 (en) * 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6849293B2 (en) * 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
KR100487948B1 (en) 2003-03-06 2005-05-06 삼성전자주식회사 Method of forming a via contact structure using a dual damascene technique
KR100539494B1 (en) 2003-05-02 2005-12-29 한국전자통신연구원 Polyamic ester having moieties of electro and nonlinear optic compound in side chains as an electro and nonlinear optic polymer, manufacturing method therefor and film manufactured therefrom
JP4796498B2 (en) 2003-05-23 2011-10-19 ダウ コーニング コーポレーション Siloxane resin anti-reflective coating composition with high wet etch rate
JP4173414B2 (en) 2003-08-28 2008-10-29 東京応化工業株式会社 Antireflection film forming composition and resist pattern forming method
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
KR20050045560A (en) 2003-11-12 2005-05-17 삼성전자주식회사 Method for implanting channel ions in recess gate type transistor
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
WO2005111724A1 (en) 2004-05-14 2005-11-24 Nissan Chemical Industries, Ltd. Antireflective film-forming composition containing vinyl ether compound
KR20060028220A (en) 2004-09-24 2006-03-29 주식회사 하이닉스반도체 Method for fabricating semidonductor device
KR20070087356A (en) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 Method for forming fine pattern of semiconductor device
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4137365A (en) * 1975-11-21 1979-01-30 Nasa Oxygen post-treatment of plastic surfaces coated with plasma polymerized silicon-containing monomers
US4320224A (en) * 1977-09-07 1982-03-16 Imperial Chemical Industries Limited Thermoplastic aromatic polyetherketones
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
US4738916A (en) * 1984-06-11 1988-04-19 Nippon Telegraph And Telephone Corp. Intermediate layer material of three-layer resist system
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
US5302548A (en) * 1987-07-31 1994-04-12 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5089593A (en) * 1988-06-28 1992-02-18 Amoco Corporation Polyimide containing 4,4'-bis(4-amino-2-trifluoromethylphenoxy)-biphenyl moieties
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
US5106718A (en) * 1988-10-20 1992-04-21 Ciba-Geigy Corporation Positive photoresist composition containing alkali-soluble phenolic resin, photosensitive quinonediazide compound and sulfonyl containing compound
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
US5403438A (en) * 1991-01-10 1995-04-04 Fujitsu Limited Process for forming pattern
US5939235A (en) * 1993-02-05 1999-08-17 Fuji Photo Film Co., Ltd. Positive-working light-sensitive composition
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5632910A (en) * 1993-12-24 1997-05-27 Sony Corporation Multilayer resist pattern forming method
US5772925A (en) * 1994-06-29 1998-06-30 Shin-Etsu Chemical Co., Ltd. Anti-reflective coating composition
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
US5892096A (en) * 1994-11-09 1999-04-06 Brewer Science, Inc. Non-subliming mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
US6359028B1 (en) * 1995-07-12 2002-03-19 Mitsubishi Engineering-Plastics Corporation Polycarbonate resin composition
US6015650A (en) * 1995-12-29 2000-01-18 Hyundai Electronics Industries Co., Ltd. Method for forming micro patterns of semiconductor devices
US5925578A (en) * 1995-12-29 1999-07-20 Hyundai Electronics Industries Co., Ltd. Method for forming fine patterns of a semiconductor device
US5922503A (en) * 1996-03-06 1999-07-13 Clariant Finance (Bvi) Limited Process for obtaining a lift-off imaging profile
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US6042997A (en) * 1996-06-11 2000-03-28 Ibm Corporation Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
US6268108B1 (en) * 1997-07-16 2001-07-31 Tokyo Ohka Kogyo Co., Ltd. Composition for forming antireflective coating film and method for forming resist pattern using same
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6576409B2 (en) * 1998-02-02 2003-06-10 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6602652B2 (en) * 1998-09-15 2003-08-05 Shipley Company, L.L.C. Antireflective coating compositions and exposure methods under 200 nm
US6361833B1 (en) * 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6440640B1 (en) * 1998-12-01 2002-08-27 Advanced Micro Devices, Inc. Thin resist with transition metal hard mask for via etch application
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
US20020120070A1 (en) * 1998-12-31 2002-08-29 Hyundai Electronics Industries Co., Ltd. Anti reflective coating polymers and the preparation method thereof
US6426125B1 (en) * 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6616692B1 (en) * 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
US6558819B1 (en) * 1999-08-20 2003-05-06 Fuji Photo Film Co., Ltd. Arylsilane compound, light emitting device material and light emitting device by using the same
US6391472B1 (en) * 1999-08-26 2002-05-21 Brewer Science, Inc. Fill material for dual damascene processes
US20020009599A1 (en) * 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
US6509137B1 (en) * 2000-02-10 2003-01-21 Winbond Electronics Corp. Multilayer photoresist process in photolithography
US20020110665A1 (en) * 2000-04-24 2002-08-15 Shipley Company, L.L.C. Aperture fill
US20020031729A1 (en) * 2000-07-31 2002-03-14 Shipley Company, L.L.C. Antireflective coating compositions
US20020076642A1 (en) * 2000-09-19 2002-06-20 Shipley Company, L.L.C. Antireflective composition
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US20030064608A1 (en) * 2001-02-02 2003-04-03 Sabnis Ram W. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6767689B2 (en) * 2001-05-11 2004-07-27 Shipley Company, L.L.C. Antireflective coating compositions
US20030122269A1 (en) * 2001-05-29 2003-07-03 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US20030040179A1 (en) * 2001-08-24 2003-02-27 Thakar Gautam V. Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) * 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
US20030143404A1 (en) * 2001-11-01 2003-07-31 Welch Cletus N. Articles having a photochromic polymeric coating
US20030129531A1 (en) * 2002-01-09 2003-07-10 Oberlander Joseph E. Positive-working photoimageable bottom antireflective coating
US20030129547A1 (en) * 2002-01-09 2003-07-10 Neisser Mark O. Process for producing an image using a first minimum bottom antireflective coating composition
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20030166828A1 (en) * 2002-01-17 2003-09-04 Brewer Science, Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6838223B2 (en) * 2002-02-16 2005-01-04 Samsung Electronics Co., Ltd. Compositions for anti-reflective light absorbing layer and method for forming patterns in semiconductor device using the same
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US20040058275A1 (en) * 2002-06-25 2004-03-25 Brewer Science, Inc. Wet-developable anti-reflective compositions
US6872506B2 (en) * 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US20040018451A1 (en) * 2002-07-23 2004-01-29 Samsung Electronics Co., Ltd. Photoresist developer-soluble organic bottom antireflective composition and photolithography and etching process using the same
US20040077173A1 (en) * 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
US20050074699A1 (en) * 2003-06-11 2005-04-07 Sun Sam X. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US20050148170A1 (en) * 2003-10-15 2005-07-07 Mandar Bhave Developer-soluble materials and methods of using the same in via-first dual damascene applications
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US8039202B2 (en) 2004-03-25 2011-10-18 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US7824837B2 (en) 2004-03-25 2010-11-02 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20080038666A1 (en) * 2004-03-25 2008-02-14 Hengpeng Wu Positive-Working Photoimageable Bottom Antireflective Coating
US20080090184A1 (en) * 2004-03-25 2008-04-17 Yu Sui Positive -Working Photoimageable Bottom Antireflective Coating
US20090317747A1 (en) * 2004-04-29 2009-12-24 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US9110372B2 (en) 2004-04-29 2015-08-18 Brewer Science Inc. Anti-reflective coatings using vinyl ether crosslinkers
US8501393B2 (en) * 2004-05-14 2013-08-06 Nissan Chemical Industries, Ltd. Anti-reflective coating forming composition containing vinyl ether compound
US20080138744A1 (en) * 2004-05-14 2008-06-12 Nissan Chemical Industries, Ltd. Anti-Reflective Coating Forming Composition Containing Vinyl Ether Compound
US20060177774A1 (en) * 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US7632622B2 (en) * 2005-06-17 2009-12-15 Cheil Industries, Inc. Antireflective hardmask composition and methods for using same
US20070003863A1 (en) * 2005-06-17 2007-01-04 Uh Dong S Antireflective hardmask composition and methods for using same
US20070184648A1 (en) * 2005-11-17 2007-08-09 Sang-Woong Yoon Composition for forming a photosensitive organic anti-reflective layer and method of forming a pattern using the same
US7655389B2 (en) 2005-11-17 2010-02-02 Samsung Electronics Co., Ltd. Composition for forming a photosensitive organic anti-reflective layer and method of forming a pattern using the same
US20070117041A1 (en) * 2005-11-22 2007-05-24 Christoph Noelscher Photosensitive coating for enhancing a contrast of a photolithographic exposure
US8262961B2 (en) 2006-06-26 2012-09-11 International Business Machines Corporation Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US8759415B2 (en) 2006-06-26 2014-06-24 International Business Machines Corporation Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US20080174051A1 (en) * 2006-06-26 2008-07-24 Dipietro Richard Anthony Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US20080044772A1 (en) * 2006-08-18 2008-02-21 Guerrero Douglas J Anti-reflective imaging layer for multiple patterning process
US20090104559A1 (en) * 2007-10-23 2009-04-23 Houlihan Francis M Bottom Antireflective Coating Compositions
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US20090111057A1 (en) * 2007-10-30 2009-04-30 Hao Xu Photoimageable branched polymer
US8206893B2 (en) * 2007-10-30 2012-06-26 Brewer Science Inc. Photoimageable branched polymer
US7976894B1 (en) * 2007-11-13 2011-07-12 Brewer Science Inc. Materials with thermally reversible curing mechanism
US8415083B2 (en) 2008-01-29 2013-04-09 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US8133659B2 (en) 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US9638999B2 (en) 2008-02-22 2017-05-02 Brewer Science Inc. Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
US20090226672A1 (en) * 2008-02-22 2009-09-10 Brewer Science, Inc. Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
US7939244B2 (en) * 2008-04-23 2011-05-10 Brewer Science Inc. Photosensitive hardmask for microlithography
US20090297784A1 (en) * 2008-04-23 2009-12-03 Hao Xu Photosensitive hardmask for microlithography
US8257910B1 (en) * 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US20100119972A1 (en) * 2008-11-12 2010-05-13 Francis Houlihan Coating composition
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
EP2399169B1 (en) * 2009-02-19 2019-04-17 Brewer Science, Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
US8632948B2 (en) 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20110076626A1 (en) * 2009-09-30 2011-03-31 Munirathna Padmanaban Positive-Working Photoimageable Bottom Antireflective Coating
WO2011042770A1 (en) * 2009-10-09 2011-04-14 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
US9436085B2 (en) 2009-12-16 2016-09-06 Nissan Chemical Industries, Ltd. Composition for forming photosensitive resist underlayer film
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
US20140186774A1 (en) * 2013-01-03 2014-07-03 International Business Machines Corporation Acid-strippable silicon-containing antireflective coating

Also Published As

Publication number Publication date
KR20120015360A (en) 2012-02-21
CN1981240B (en) 2012-09-26
KR101308191B1 (en) 2013-09-13
JP2007536389A (en) 2007-12-13
TWI387612B (en) 2013-03-01
US20070117049A1 (en) 2007-05-24
US20120156613A1 (en) 2012-06-21
US9110372B2 (en) 2015-08-18
KR20070012812A (en) 2007-01-29
JP5511887B2 (en) 2014-06-04
US7601483B2 (en) 2009-10-13
WO2005111719A2 (en) 2005-11-24
CN1981240A (en) 2007-06-13
EP1743219A2 (en) 2007-01-17
CN101916051B (en) 2014-07-23
WO2005111719A3 (en) 2006-11-09
KR101308281B1 (en) 2013-09-13
JP2012188671A (en) 2012-10-04
CN101916051A (en) 2010-12-15
EP1743219A4 (en) 2010-04-28
EP1743219B1 (en) 2015-12-09
TW200615312A (en) 2006-05-16
JP5972510B2 (en) 2016-08-17
US20090317747A1 (en) 2009-12-24

Similar Documents

Publication Publication Date Title
US7601483B2 (en) Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) Anti-reflective coatings using vinyl ether crosslinkers
KR101668505B1 (en) Acid-sensitive, developer-soluble bottom anti-reflective coatings
US7932018B2 (en) Antireflective coating composition
US6610457B2 (en) Organic polymers for bottom antireflective coating, process for preparing the same, and compositions containing the same
US7378217B2 (en) Antireflective hardmask composition and methods for using same
EP2070107B1 (en) Anti-reflective imaging layer for multiple patterning process
US7323289B2 (en) Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
KR20100124303A (en) Dual-layer light-sensitive developer-soluble bottom anti-reflective coatings for 193-nm lithography
EP2207837B1 (en) Method of forming a microelectronic structure
US6495305B1 (en) Halogenated anti-reflective coatings
KR101400183B1 (en) Aromatic ring-containing compound for resist underlayer, resist underlayer composition including same, and method of patterning device using same
US7976894B1 (en) Materials with thermally reversible curing mechanism
TWI436165B (en) Photoimageable branched polymer

Legal Events

Date Code Title Description
AS Assignment

Owner name: BREWER SCIENCE INC., MISSOURI

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GUERRERO, DOUGLAS J.;COX, ROBERT CHRISTIAN;WEIMER, MARC W.;REEL/FRAME:016852/0395

Effective date: 20050623

AS Assignment

Owner name: ARMY, USA BY THE SECRETARY OF THE, ALABAMA

Free format text: CONFIRMATORY LICENSE;ASSIGNOR:BREWER SCIENCES, INC;REEL/FRAME:017641/0927

Effective date: 20060412

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION