US20050250346A1 - Process and apparatus for post deposition treatment of low k dielectric materials - Google Patents

Process and apparatus for post deposition treatment of low k dielectric materials Download PDF

Info

Publication number
US20050250346A1
US20050250346A1 US11/123,265 US12326505A US2005250346A1 US 20050250346 A1 US20050250346 A1 US 20050250346A1 US 12326505 A US12326505 A US 12326505A US 2005250346 A1 US2005250346 A1 US 2005250346A1
Authority
US
United States
Prior art keywords
dielectric layer
nitrogen
silicon carbide
carbon
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/123,265
Inventor
Francimar Schmitt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/123,265 priority Critical patent/US20050250346A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHMITT, FRANCIMAR C.
Publication of US20050250346A1 publication Critical patent/US20050250346A1/en
Priority to US11/923,233 priority patent/US7910897B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Definitions

  • the invention relates to the fabrication of integrated circuits, more specifically to a process for forming dielectric layers on a substrate, and to the structures formed by the dielectric layer.
  • conductive materials having low resistivity and to use insulators having low dielectric constants (dielectric constants of less than 4.0) to also reduce the capacitive coupling between adjacent metal lines.
  • insulators having low dielectric constants dielectric constants of less than 4.0
  • One such low k material is silicon oxycarbide deposited by a chemical vapor deposition process and silicon carbide, both of which may be used as dielectric materials in fabricating damascene features.
  • One conductive material having a low resistivity is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 ⁇ -cm for copper compared to 3.1 ⁇ -cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method.
  • one or more dielectric materials such as the low k dielectric materials
  • Conductive materials such as copper containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.
  • low k dielectric materials are often porous and susceptible to interlayer diffusion of conductive materials, such as copper, which can result in the formation of short-circuits and device failure.
  • a dielectric barrier layer material is often disposed between the copper material and surrounding the low k material to prevent interlayer diffusion.
  • traditional dielectric barrier layer materials such as silicon nitride, often have high dielectric constants of 7 or greater. The combination of such a high k dielectric material with surrounding low k dielectric materials results in dielectric stacks having a higher than desired dielectric constant.
  • silicon oxycarbide layers or silicon carbide layers that contain nitrogen are used as the low k material in damascene formation, it can be difficult to produced aligned features with little or no defects. It has also been observed that resist materials deposited on the silicon oxycarbide layers or the silicon carbide layers may be contaminated with nitrogen deposited with the silicon oxycarbide layers or the silicon carbide layers or from nitrogen diffusing therethough. For example, reaction of organosilicon compounds with nitrous oxide can contaminate the silicon oxycarbide layer with nitrogen or the nitrogen in nitrogen-doped silicon carbide layers may diffuse through adjacent layers as amine radicals (—NH 2 ) to react with the resist materials.
  • amine radicals —NH 2
  • Resist material exposed to other compounds, such as basic radicals including hydroxyl groups (—OH) may also decrease the sensitivity of the resist material.
  • the decrease in the sensitivity to radiation is referred to as “resist poisoning”.
  • Any resist material that is not sensitive to radiation is not removed by subsequent resist stripping processes and remains as residue.
  • the remaining residue of resist material is referred to as “footing”. This residue can result in detrimentally affecting subsequent etching processes and result in misaligned and malformed features.
  • aspects of the invention generally provide a method for depositing and treating a dielectric material having a low dielectric constant as a barrier layer, an etch stop, or an anti-reflective coating.
  • the invention provides a method for processing a substrate including depositing a silicon carbide dielectric layer on a substrate surface and curing the silicon carbide dielectric layer with ultra-violet curing radiation.
  • the invention provides a method for processing a substrate including depositing a first dielectric layer on the substrate by introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber, reacting the processing gas to deposit a first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5, and curing the first dielectric layer with ultra-violet curing radiation.
  • a method for processing a substrate including depositing a nitrogen-doped dielectric layer on the substrate, curing the nitrogen-doped dielectric layer with ultra-violet radiation, depositing a dielectric layer comprising silicon, oxygen, and carbon, on the nitrogen-doped dielectric layer, depositing a resist on the dielectric layer comprising silicon, oxygen, and carbon.
  • an apparatus for processing a substrate including a tandem-process chamber and a source of ultraviolet radiation disposed on the tandem-processing chamber.
  • FIG. 1 is a cross sectional view showing a dual damascene structure comprising a low k barrier layer and a low k dielectric layer described herein;
  • FIGS. 2A-2H are cross sectional views showing one embodiment of a dual damascene deposition sequence of the invention.
  • FIG. 3 is a plan view of one embodiment of a tandem semiconductor processing system.
  • aspects of the invention described herein refer to a method and apparatus for depositing a silicon carbide containing layer having a low dielectric constant, such as a nitrogen doped silicon carbide, and treating the surface of the silicon carbide containing layer with an ultraviolet curing process.
  • Treating of the surface of the silicon carbide containing material is believed to improve barrier properties, densify the silicon carbide containing material, limit the migration of nitrogen contaminants from the deposited material or limit the ability of nitrogen from reacting with the resist material, remove nitrogen containing compounds from the deposited material, and reduce the dielectric constant of the silicon carbide containing material.
  • Treating the surface may further include a plasma treatment or e-beam treatment.
  • the surface treated with the ultraviolet curing process has been observed to be less reactive with the subsequently deposited resist material thereby limiting resist poisoning and reduce defect formation when forming features in the dielectric layer. While the following description is directed to depositing and treating a nitrogen doped dielectric layer, the invention contemplates depositing and treating nitrogen free dielectric layers, such as oxygen-doped silicon carbide and silicon carbide deposited from phenyl containing precursors as described herein.
  • Silicon carbide layer may be deposited by reacting a processing gas of an organosilicon compound.
  • Silicon carbide layer include a nitrogen containing silicon carbide layer, an oxygen containing silicon carbide layer, or a phenyl containing silicon carbide layer.
  • the silicon carbide layer may be deposited with an organosilicon compound and a reactive gas.
  • nitrogen-doped silicon carbide layers may be deposited by reacting a processing gas of the organosilicon compound and a nitrogen containing compound.
  • the processing gas may include additional reactive compounds such as hydrogen gas.
  • the processing gas may also include an inert gas including helium, argon, or combinations thereof.
  • Suitable organosilicon compounds for depositing silicon carbide materials include oxygen-free organosilicon compounds.
  • oxygen free organosilicon compounds include phenylsilanes and aliphatic organosilicon compounds.
  • suitable organosilicon compounds used herein for silicon carbide deposition preferably include the structure: wherein R includes hydrogen atoms or organic functional groups including alkyl, alkenyl, cyclical, such as cyclohexyl, and aryl groups, in addition to functional derivatives thereof.
  • the organosilicon compounds may have more than one R group attached to the silicon atom, and the invention contemplates the use of organosilicon compounds with or without Si—H bonds.
  • Suitable oxygen-free organosilicon compounds include oxygen-free aliphatic organosilicon compounds, oxygen-free cyclic organosilicon compounds, or combinations thereof, having at least one silicon-carbon bond.
  • Cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms.
  • Aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms.
  • Commercially available aliphatic organosilicon compounds include alkylsilanes. Fluorinated derivatives of the organosilicon compounds described herein may also be used to deposit the silicon carbide and silicon oxycarbide layers described herein. Methylsilanes are preferred organosilicon compounds for silicon carbide deposition.
  • organosilicon compounds include, for example, one or more of the following compounds: Methylsilane, CH 3 —SiH 3 Dimethylsilane, (CH 3 ) 2 —SiH 2 Trimethylsilane (TMS), (CH 3 ) 3 —SiH Tetramethylsilane, (CH 3 ) 4 —Si Ethylsilane, CH 3 —CH 2 —SiH 3 Disilanomethane, SiH 3 —CH 2 —SiH 3 Bis(methylsilano)methane, CH 3 —SiH 2 —CH 2 —SiH 2 —CH 3 1,2-disilanoethane, SiH 3 —CH 2 —CH 2 —SiH 3 1,2-bis(methylsilano)ethane, CH 3 —SiH 2 —CH 2 —CH 2 —SiH 2 —CH 3 2,2-disilanopropane, SiH 3 —C(CH 3 —C
  • Suitable organosilicon compounds further include alkyl and/or cyclical organosilicon compounds having carbon to silicon atom ratios (C:Si) of 5:1 or greater, such as 8:1 or 9:1.
  • Alkyl functional groups having higher carbon alkyl groups such as ethyl and iso-propyl functional groups, for example, dimethylisopropylsilane (5:1), diethylmethylsilane (5:1), tetraethylsilane (8:1), dibutylsilanes (8:1), tripropylsilanes (9:1), may be used.
  • Cyclical organosilicons such as cyclopentylsilane (5:1) and cyclohexylsilane (6:1), including cyclical compounds having alkyl groups, such as ethylcyclohexylsilane (8:1) and propylcyclohexylsilanes (9:1) may also be used for the deposition of silicon carbon layers.
  • Phenyl containing organosilicon compounds such as phenylsilanes may also be used for depositing the silicon carbide materials and generally include the structure: wherein R is a phenyl group.
  • suitable phenyl containing organosilicon compounds generally include the formula SiH a (CH 3 ) b (C 6 H 5 ) c , wherein a is 0 to 3, b is 0 to 3, and c is 1 to 4, and a+b+c is equal to 4.
  • suitable compounds derived from this formula include diphenylsilane (DPS), dimethylphenylsilane (DMPS), diphenylmethylsilane, phenylmethylsilane, and combinations thereof.
  • phenyl containing organosilicon compounds with b is 1 to 3 and c is 1 to 3.
  • the most preferred phenyl organosilicon compounds for deposition as barrier layer materials include organosilicon compounds having the formula SiH a (CH 3 ) b (C 6 H 5 ) c , wherein a is 1 or 2, b is 1 or 2, and c is 1 or 2.
  • Examples of preferred phenyl compounds include dimethylphenylsilane and diphenylmethylsilane.
  • An example of a phenyl containing silicon carbide deposition process includes supplying dimethylphenylsilane, to a plasma processing chamber at a flow rate between about 10 milligrams/minute (mgm) and about 1500 mgm, for example, about 750 mgm, supplying hydrogen gas at a flow rate between about 10 sccm and about 2000 sccm, for example, about 500 sccm, supplying an inert gas at a flow rate between about 10 sccm and about 10000 sccm, for example, about 1500 sccm, maintaining a substrate temperature between about 0° C.
  • the RF power can be provided at a high frequency, such as between 13 MHz and 14 MHz.
  • the RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle.
  • the processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 700 mils from the substrate surface. Additionally, the RF power may also be provided at low frequencies, such as 356 kHz, for depositing silicon carbide material.
  • Example processes for depositing a phenyl containing silicon carbide layer is disclosed in U.S. Pat. No. 6,759,327, issued on Jul. 6, 2004, and U.S. Pat. No. 6,790,788, issued on Sep. 14, 2004, which are incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.
  • Nitrogen doped silicon carbide may be deposited by the reaction of the organosilicon compounds described herein with a nitrogen containing compound.
  • the nitrogen containing compound may be a nitrogen-containing gas, for example, ammonia (NH 3 ), a mixture of nitrogen gas and hydrogen gas, or combinations thereof, in the processing gas.
  • the nitrogen doped silicon carbide layer generally includes less than about 20 atomic percent (atomic %) of nitrogen.
  • the nitrogen containing compound may be introduced into the processing chamber at a flow rate between about 50 sccm and about 10,000 sccm.
  • the nitrogen doped silicon carbide layer may further be oxygen doped by the processes described herein.
  • the nitrogen containing compound may comprise silicon and nitrogen containing compounds.
  • Suitable silicon and nitrogen containing compounds include compounds having Si—N—Si bonding groups, such as silazane compounds, may be used in the processing gas for doping the deposited silicon carbide material with nitrogen.
  • Compounds having bonded nitrogen, such as in the silazane compounds can improve the hardness of layers as well as reduced the current leakage of the layers.
  • suitable silizane compounds includes aliphatic compounds, such as hexamethyldisilazane and divinyltetramethyldisilizane, as well as cyclic compounds, such as hexamethylcyclotrisilazane.
  • One embodiment of a deposition of nitrated silicon carbide layer comprises supplying an organosilicon precursor, for example trimethylsilane, at a flow rate between about 10 sccm and about 1000 sccm, such as between about 50 sccm and about 500 sccm, for example, about 350 sccm, supplying reducing compounds including nitrogen containing compounds, to a processing chamber at a flow rate between about 100 sccm and about 2500 sccm, such as, between about 500 sccm and about 2000 sccm, for example, ammonia at 700 sccm, and optionally, supplying a hydrogen and/or an inert (noble) gas to a processing chamber at a flow rate between about 1 sccm and about 10,000 sccm respectively, for example, about 1200 sccm of helium, optionally supplying an oxygen-containing compound to a processing chamber at a flow rate between about 100 sccm and about
  • a gas distributor or “showerhead”, between about 200 mils and about 1000 mils, for example, 280 mils from the substrate surface, and optionally, generating a plasma.
  • the plasma may be generated by applying a power density ranging between about 0.03 W/cm 2 and about 6.4 W/cm 2 , which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 500 W and about 1100 W, for example, 900 watts, at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • a power density ranging between about 0.03 W/cm 2 and about 6.4 W/cm 2 , which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 500 W and about 1100 W, for example, 900 watts, at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • the plasma may be generated by applying a power density ranging between about 0.01 W/cm 2 and about 2.8 W/cm 2 , which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 500 W and about 1100 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • a power density ranging between about 0.01 W/cm 2 and about 2.8 W/cm 2 , which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 500 W and about 1100 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • the power may be applied from a dual-frequency RF power source a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power in a range of about 200 watts to about 1000 watts and at least a second RF power with a frequency in a range of between about 100 KHz and about 500 KHz as well as a power in a range of about 1 watt to about 200 watts.
  • the initiation layer may be deposited for a period of time between about 1 second and 60 seconds, for example between about 1 and about 5 seconds, such as 2 seconds.
  • Example processes for depositing a nitrogen containing silicon carbide layer is disclosed in U.S. Pat. No. 6,764,958, issued on Jul. 20, 2004, and U.S. Pat. No. 6,537,733, issued on Mar. 25, 2003, which are incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.
  • the processing gas may further include hydrogen gas (H 2 ) or an inert gas, or combinations thereof.
  • Suitable inert gases include a noble gas selected from the group of argon, helium, neon, xenon, or krypton, and combinations thereof.
  • the hydrogen gas may be added at a molar ratio of organosilicon compound to hydrogen gas of between about 1:1 and about 10:1, such as between about 1:1 and about 6:1.
  • Preferred deposition processes for oxygen-free organosilicon compounds and hydrogen gas has a molar ratio of oxygen-free organosilicon compound to hydrogen gas of between about 1:1 and about 1.5:1.
  • the flow rate hydrogen gas (H 2 ) and/or an inert gas is between about 50 sccm and about 20,000 sccm.
  • the silicon carbide layer may also be doped with boron and/or phosphorus to improve layer properties, and generally includes less than about 15 atomic percent (atomic %) or less of dopants.
  • Boron doping of the low k silicon carbide layer may be performed by introducing borane (BH 3 ), or borane derivatives thereof, such as diborane (B 2 H 6 ), into the chamber during the deposition process. Boron doping of the silicon carbide layer preferably comprises between about 0.1 wt. % and about 4 wt. % of boron.
  • Phosphorus containing dopants may be used in the processing gases at a ratio of dopant to organosilicon compound between about 1:5 or greater, such as between about 1:5 and about 1:100.
  • Phosphorus doping of the low k silicon carbide layer may be performed by introducing phosphine (PH 3 ), triethylphosphate (TEPO), triethoxyphosphate (TEOP), trimethyl phosphine (TMP), triethyl phosphine (TEP), and combinations thereof, into the chamber during the deposition process. It is believed that dopants may reduce the dielectric constant of the deposited silicon carbide material.
  • the doped silicon carbide layer may comprise between about 0.1 wt. % and about 15 wt. % of phosphorus, for example, between about 1 wt. % and about 4 wt. % of phosphorus.
  • Silicon carbide layers may further include oxygen.
  • Oxygen-doped silicon carbide layers typically include less than about 15 atomic percent (atomic %) of oxygen, preferably having between about 3 atomic % and about 10 atomic % of oxygen.
  • Oxygen doped silicon carbide layers may be deposited with oxygen containing compounds including oxygen and carbon containing compounds, such as oxygen containing gases and oxygen containing organosilicon compounds.
  • the oxygen-containing gas and the oxygen-containing organosilicon compound described herein are considered non-oxidizing gases as compared to oxygen or ozone.
  • Materials that are described as silicon oxycarbide or carbon-doped silicon oxide generally comprises between about 15 atomic % or greater of oxygen in the layer and are deposited from oxidizing gases.
  • Preferred oxygen-containing gases generally have the formula C X H Y O Z , with x being between 0 and 2, Y being between 0 and 2, where X+Y is at least 1, and Z being between 1 and 3, wherein X+Y+Z is 3 or less.
  • the oxygen-containing gas may include carbon dioxide, carbon monoxide, or combinations thereof; and may additionally include water.
  • the oxygen-containing gas is typically an inorganic material.
  • oxygen-doped silicon carbide layers may be deposited with oxygen-containing organosilicon compounds to modify or change desired layer properties by controlling the oxygen content of the deposited silicon carbide layer.
  • Suitable oxygen-containing organosilicon compounds include oxygen-containing aliphatic organosilicon compounds, oxygen-containing cyclic organosilicon compounds, or combinations thereof.
  • Oxygen-containing aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms, and the structure includes silicon-oxygen bonds.
  • Oxygen-containing cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms and the ring may further comprise one or more oxygen atoms.
  • Commercially available oxygen-containing cyclic organosilicon compounds include rings having alternating silicon and oxygen atoms with one or two alkyl groups bonded to each silicon atom.
  • Preferred oxygen-containing organosilicon compounds are cyclic compounds.
  • One class of oxygen-containing organosilicon compounds include compounds having Si—O—Si bonding groups, such as organosiloxane compounds.
  • Compounds with siloxane bonds provide silicon carbide layers with bonded oxygen that can reduce the dielectric constant of the layer as well as reduce the current leakage of the layer.
  • Suitable oxygen-containing organosilicon compounds include, for example, one or more of the following compounds: Dimethyldimethoxysilane (DMDMOS), (CH 3 ) 2 —Si—(OCH 3 ) 2 , Diethoxymethylsilane (DEMS), (CH 3 )—SiH—(OCH 3 ) 2 , 1,3-dimethyldisiloxane, CH 3 —SiH 2 —O—SiH 2 —CH 3 , 1,1,3,3-tetramethyldisiloxane (TMDSO), (CH 3 ) 2 —SiH—O—SiH—(CH 3 ) 2 , Hexamethyldisiloxane (HMDS), (CH 3 ) 3 —Si—O—Si—(CH 3 ) 3 , Hexamethoxydisiloxane (HMDSO), (CH 3 O) 3 —Si—O—Si—(OCH 3 ) 3 , 1,3-bis(s
  • An oxygen-doped silicon carbide layer may be deposited in one embodiment by supplying organosilicon compounds, such as trimethylsilane, to a plasma processing chamber at a flow rate between about 10 milligrams/minute (mgm) and about 1500 mgm, for example about 160 mgm or sccm, supplying an oxidizing gas at a flow rate between about 10 sccm and about 2000 sccm, for example, about 700 sccm, supplying a noble gas at a flow rate between about 1 sccm and about 10000 sccm, for example, about 400 sccm, maintaining a substrate temperature between about 0° C.
  • organosilicon compounds such as trimethylsilane
  • a gas distributor may be positioned between about 200 mils and about 700 mils, for example about 320 mils, from the substrate surface.
  • the RF power can be provided at a high frequency such as between 13 MHz and 14 MHz or a mixed frequency of the high frequency and the low frequency.
  • a high frequency of about 13.56 MHz may be used as well as a mixed frequency of high frequency of about 13.56 MHz and low frequency of about 356 KHz.
  • the RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Additionally, a low frequency RF power may be applied during the deposition process.
  • an application of less than about 300 watts, such as less than about 100 watts at between about 100 KHz and about 1 MHz, such as 356 KHz may be used to modify film properties, such as increase the compressive stress of a SiC film to reduce copper stress migration.
  • organic compounds such as aliphatic hydrocarbon compounds may also be used in the processing gas to increase the carbon content of the deposited silicon carbide materials.
  • Suitable aliphatic hydrocarbon compounds include compounds having between one and about 20 adjacent carbon atoms.
  • the hydrocarbon compounds can include adjacent carbon atoms that are bonded by any combination of single, double, and triple bonds.
  • Suitable organic compounds may include alkenes and alkynes having two to about 20 carbon atoms, such as ethylene, propylene, acetylene, and butadiene.
  • suitable hydrocarbons include t-butylethylene, 1,1,3,3-tetramethylbutylbenzene, t-butylether, metyl-methacrylate (MMA), t-butylfurfurylether, and combinations thereof.
  • Organic compounds containing functional groups including oxygen and/or nitrogen containing functional groups may also be used.
  • alcohols including ethanol, methanol, propanol, and iso-propanol, may be used for depositing the silicon carbide material.
  • Silicon carbide material are generally deposited by supplying an organosilicon compound to a plasma processing chamber at a flow rate between about 10 sccm and about 1500 sccm, supplying a dopants, such as a nitrogen containing compounds including as ammonia and oxygen containing compounds, at a flow rate between about 10 sccm and about 2500 sccm, supplying additional gases, such as an inert gas and/or hydrogen, to the processing chamber at a flow rate between about 10 sccm and about 10000 sccm, respectively, maintaining the chamber at a heater temperature between about 0° C. and about 500° C., maintaining a chamber pressure between about 100 milliTorr and about 100 Torr, positioning a gas distributor between about 200 mils and about 700 mils from the substrate surface, and generating a plasma.
  • a dopants such as a nitrogen containing compounds including as ammonia and oxygen containing compounds
  • additional gases such as an inert gas and/or hydrogen
  • the plasma may be generated power levels may be by applying a power density ranging between about 0.03 W/cm 2 and about 6.4 W/cm 2 , which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • the plasma may be generated power levels may be by applying a power density ranging between about 0.01 W/cm 2 and about 2.8 W/cm 2 , which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • the RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle.
  • all plasma generation may be performed remotely, with the generated radicals introduced into the processing chamber for plasma treatment of a deposited material or deposition of a material layer.
  • the plasma may be generated by a dual-frequency RF power source.
  • the power may be applied from a dual-frequency RF power source a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power, for example, in a range of about 100 watts to about 1000 watts and at least a second RF power with a frequency in a range of between about 100 KHz and about 500 KHz as well as a power, for example, in a range of about 1 watt to about 200 watts.
  • the above process parameters provide a deposition rate for the silicon carbide layer in the range of about 500 ⁇ /min to about 20,000 ⁇ /min, such as a range between about 100 ⁇ /min and about 3000 ⁇ /min.
  • Suitable processing systems for performing the processes described herein are a DxZTM chemical vapor deposition chamber or ProducerTM processing system, both of which are commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the above process parameters provide a deposition rate for the silicon carbide layer or nitrogen doped silicon carbide layer in the range of about 500 ⁇ /min to about 20,000 ⁇ /min, such as a range between about 100 ⁇ /min and about 3000 ⁇ /min, when implemented on a 200 mm (millimeter) substrate in a deposition chamber available from Applied Materials, Inc., Santa Clara, Calif.
  • the deposited silicon carbide material may then be cured by an ultraviolet curing process.
  • Silicon carbide material cured using the ultraviolet curing process has shown an improved barrier layer properties and reduced and minimal resist poisoning.
  • the ultraviolet curing process may be performed in situ within the same processing chamber or system, for example, transferred from one chamber to another without break in a vacuum.
  • the following ultraviolet curing process is illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • Exposure to an ultraviolet radiation source may be performed as follows.
  • the substrate is introduced into a chamber, which may include the deposition chamber, and a deposited silicon carbide layer, including nitrogen-doped silicon carbide materials, is exposed to between about 0.01 milliWatts/cm 2 and about 1 watts/cm 2 of ultraviolet radiation, for example, between about 0.1 milliWatts/cm 2 and about 10 milliwatts/cm 2 .
  • the ultraviolet radiation may comprise a range of ultraviolet wavelengths, and include one or more simultaneous wavelength. Suitable ultraviolet wavelengths include between about 1 nm and about 400 nm, and may further include optical wavelengths up to about 600 or 780 nm.
  • the ultraviolet wavelengths between about 1 nm and about 400 nm may provide a photon energy (electroVolts) between about 11.48 (eV) and about 3.5 (eV).
  • Preferred ultraviolet wavelengths include between about 100 nm and about 350 nm.
  • the ultraviolet radiation application may occur at multiple wavelengths, a tunable wavelength emission and tunable power emission, or a modulation between a plurality of wavelengths as desired, and may be emitted from a single UV lamp or applied from an array of ultraviolet lamps.
  • suitable UV lamps include a Xe filled ZeridexTM UV lamp, which emits ultraviolet radiation at a wavelength of about 172 nm or the Ushio Excimer UV lamp, or a Hg Arc Lamp, which emits ultraviolet radioation at wave.
  • the deposited silicon carbide layer is exposed to the ultraviolet radiation for between about 10 seconds and about 600 seconds.
  • the temperature of the processing chamber may be maintained at between about 0° C. and about 450° C., for example, between about 20° C. and about 400° C. degrees Celsius, for example about 25° C., and at a chamber pressure between vacuum, for example, less than about 1 mTorr up to about atmospheric pressure, i.e., 760 Torr, for example at about 100 Torr.
  • the source of ultraviolet radiation may be between about 100 mils and about 600 mils from the substrate surface.
  • a processing gas may be introduced during the ultraviolet curing process.
  • Suitable processing gases include oxygen (O 2 ), nitrogen (N 2 ), hydrogen (H 2 ), helium (He), argon (Ar), water vapor (H 2 O), carbon monoxide, carbon dioxide, hydrocarbon gases, fluorocarbon gases, and fluorinated hydrocarbon gases, or combinations thereof.
  • the hydrocarbon compounds may have the formula C X H Y , C X F Y , C X F Y H Z , or combinations thereof, with x an integer between 1 and 6, y is an integer between 4 and 14, and z is an integer between 1 and 3.
  • An example of an ultraviolet process is as follows. A substrate having a nitrogen doped silicon carbide layer is exposed to ultraviolet radiation at a chamber temperature about 400° C., an applied power of about 10 mW/cm 2 at a wavelength of about 172 nm for about 120 seconds in an argon atmosphere at atmosphere pressure (about 760 Torr).
  • the deposited silicon carbide material may also be exposed to an anneal, a plasma treatment or other post-deposition treatment process.
  • the anneal, plasma treatment, or other process may be performed before the ultraviolet curing process, after the ultraviolet curing process, or both before and after, with the before and after combination being the same or different processes.
  • the post-deposition treatments may be performed in situ with the deposition of the silicon carbide material without breaking vacuum in a processing chamber or processing system.
  • Annealing the deposited material may comprise exposing the substrate at a temperature between about 100° C. and about 400° C. for between about 1 minute and about 60 minutes, preferably at about 30 minutes, to reduce the moisture content and increase the solidity and hardness of the dielectric material.
  • Annealing is preferably performed after the deposition of a subsequent material or layer that prevents shrinkage or deformation of the dielectric layer.
  • the annealing process is typically formed using inert gases, such as argon and helium, but may also include hydrogen or other non-oxidizing gases.
  • the above described annealing process is preferably used for low dielectric constant materials deposited from processing gases without meta-stable compounds.
  • the anneal process is preferably performed prior to the subsequent deposition of additional materials.
  • an in-situ i.e., inside the same chamber or same processing system without breaking vacuum
  • post treatment is performed.
  • the annealing process is preferably performed in one or more cycles using helium.
  • the annealing process may be performed more than once, and variable amounts of helium and hydrogen may be used in multiple processing steps or annealing steps.
  • the anneal energy may be provided by the use of heat lamps, infer-red radiation, such as IR heating lamps, or as part of a plasma anneal process.
  • a RF power may be applied to the annealing gas between about 200 W and about 1,000 W, such as between about 200 W and about 800 W, at a frequency of about 13.56 MHz for a 200 mm substrate.
  • the deposited silicon carbide layer may be plasma treated to remove contaminants or other wise clean the exposed surface of the silicon carbide layer prior to subsequent deposition of materials thereon.
  • the plasma treatment may be performed in the same chamber used to deposit the silicon and carbon containing material.
  • the plasma treatment is also believed to improve film stability by forming a protective layer of a higher density material than the untreated silicon carbide material.
  • the higher density silicon carbide material is believed to be more resistive to chemical reactions, such as forming oxides when exposed to oxygen, than the untreated silicon carbide material.
  • the plasma treatment generally includes providing an inert gas including helium, argon, neon, xenon, krypton, or combinations thereof, of which helium is preferred, and/or a reducing gas including hydrogen, ammonia, and combinations thereof, to a processing chamber.
  • the inert gas or reducing gas is introduced into the processing chamber at a flow rate between about 500 sccm and about 3000 sccm, preferably between about 1000 sccm and about 2500 sccm of hydrogen, and generating a plasma in the processing chamber.
  • the plasma may be generated using a power density ranging between about 0.03 W/cm 2 and about 3.2 W/cm 2 , which is a RF power level of between about 10 W and about 1000 W for a 200 mm substrate. Preferably, at a power level of about 100 watts for a silicon carbide material on a 200 mm substrate.
  • the RF power can be provided at a high frequency such as between 13 MHz and 14 MHz.
  • the RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle.
  • the RF power may also be provided at low frequencies, such as 356 kHz, for plasma treating the depositing silicon carbide layer.
  • the processing chamber is preferably maintained at a chamber pressure of between about 1 Torr and about 12 Torr, for example about 3 Torr.
  • the substrate is preferably maintained at a temperature between about 200° C. and about 450° C., preferably between about 290° C. and about 400° C., during the plasma treatment.
  • a heater temperature of about the same temperature of the silicon carbide deposition process, for example about 290° C., may be used during the plasma treatment.
  • the plasma treatment may be performed between about 10 seconds and about 100 seconds, with a plasma treatment between about 40 seconds and about 60 seconds preferably used.
  • the processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 500 mils from the substrate surface.
  • the gas distributor may be positioned between about 300 mils and about 600 mils during the plasma treatment.
  • the hydrogen containing plasma treatment is believed to further reduce the dielectric constant of the low k dielectric layer by about 0.1.
  • the plasma treatment is believed to clean contaminants from the exposed surface of the silicon carbide material and may be used to stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover.
  • One example of a post deposition plasma treatment for a silicon carbide layer includes introducing ammonia at a flow rate of 950 sccm into the processing chamber, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 300 watts at 13.56 MHz for about two seconds.
  • the silicon carbide layer may also be treated by depositing a silicon carbide cap layer or silicon oxide cap layer prior to depositing a resist material.
  • the cap layer may be deposited at a thickness between about 100 ⁇ and about 500 ⁇ .
  • the deposited silicon carbide material may be cured by an electronic beam (e-beam) technique in addition to or as an alternative to ultraviolet radiation treatment described herein.
  • Silicon carbide material cured using an e-beam technique has shown an unexpected reduction in k value and an unexpected increase in hardness, not capable with conventional curing techniques.
  • the e-beam treatment may be performed in situ within the same processing system, for example, transferred from one chamber to another without break in a vacuum.
  • the following e-beam apparatus and process are illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • the temperature at which the electron beam apparatus 200 operates ranges from about ⁇ 200 degrees Celsius to about 600 degrees Celsius, for example, about 400 degrees Celsius.
  • An e-beam treatment of a silicon carbide layer may comprise the application or exposure to between about 1 micro coulombs per square centimeter ( ⁇ C/cm 2 ) and about 6,000 ⁇ C/cm 2 , for example, between about 1 ⁇ C/cm 2 and about 400 ⁇ C/cm 2 , and more preferably less than about 200 ⁇ C/cm 2 , such as about 70 ⁇ C/cm 2 , at energy ranges between about 0.5 kiloelectron volts (KeV) and about 30 KeV, for example between about 1 KeV and about 3 kiloelectron volts (KeV).
  • the electron beams are generally generated at a pressure of about 1 mTorr to about 200 mTorr.
  • the gas ambient in the electron beam chamber 220 may be an inert gas, including nitrogen, helium, argon, xenon, an oxidizing gas including oxygen, a reducing gas including hydrogen, a blend of hydrogen and nitrogen, ammonia, or any combination of these gases.
  • the electron beam current ranges from about 1 mA to about 40 mA, and more preferably from about 5 mA to about 20 mA.
  • the electron beam may cover an area from about 4 square inches to about 700 square inches.
  • any e-beam device may be used, one exemplary device is the EBK chamber, available from Applied Materials, Inc., of Santa Clara Calif.
  • a general example of an e-beam process is as follows. A substrate having a 3000 ⁇ thick layer is exposed to an e-beam at a chamber temperature about 400 degrees Celsius, an applied electron beam energy of about 3.5 KeV, and at an electron beam current of about 5 mA, with an exposure dose of the electron beam of about 500 mC/cm 2 .
  • the ultraviolet cured silicon carbide layer including nitrogen doped silicon carbide layers may be used as barrier layers, etch stop, and anti-reflective coating/passivation layers in damascene formation, of which use as a barrier layer is preferred.
  • Interlayer dielectric layers for use in low k damascene formations having silicon carbide layer formed as described herein include dielectric layers having silicon, oxygen, and carbon, and a dielectric constant of less than about 3.
  • the adjacent dielectric layers for use with the barrier layer material described herein have a carbon content of about 1 atomic percent or greater excluding hydrogen atoms, preferably between about 5 and about 30 atomic percent excluding hydrogen atoms, and have oxygen concentrations of about 15 atomic % or greater.
  • the adjacent dielectric layer may be deposited by oxidizing an organosiliane compound in a plasma enhanced chemical vapor deposition technique.
  • a suitable adjacent dielectric material may be deposited by reacting trimethylsilane and oxygen in a plasma enhanced chemical vapor deposition technique, with the plasma formed under conditions including a high frequency RF power density from about 0.16 W/cm 2 to about 0.48 W/cm 2 .
  • Examples of methods and uses for the adjacent dielectric layers comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 are more further described in U.S. Pat. No. 6,054,379, issued May 25, 2000, U.S. Pat. No. 6,287,990, issued Sep. 11, 2001, and U.S. Pat. No.
  • dielectric layer comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 is Black DiamondTM dielectric materials commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • FIG. 1 An example of a damascene structure that is formed using the silicon carbide material described herein as a barrier layer is shown in FIG. 1 .
  • a silicon carbide barrier layer 110 such as nitrogen-doped silicon carbide, is deposited and post deposition treated with ultraviolet radiation as described herein on the substrate surface to eliminate inter-level diffusion between the substrate and subsequently deposited materials.
  • the substrate surface may comprise metal features 107 , such as copper features, formed in a dielectric material 105 .
  • a second barrier layer of a oxygen containing silicon carbide layer or a phenyl containing silicon carbide layer as described herein may be deposited on the silicon carbide barrier layer 110 .
  • a first dielectric layer 112 comprising silicon, oxygen, and carbon, as described herein, is deposited on the silicon carbide barrier layer 110 .
  • the etch stop 114 is then pattern etched using conventional techniques to define the openings 116 of the interconnects or contacts/vias.
  • a second dielectric layer 118 is then deposited over the patterned etch stop.
  • a resist is then deposited and patterned by conventional means known in the art to define the contacts/vias openings 116 .
  • a resist material may include an energy based reist material including deep ultraviolet (DUV) resist materials as well as e-beam resist materials.
  • DUV deep ultraviolet
  • a single etch process is then performed to define the contacts/vias openings 116 down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias openings 116 .
  • One or more conductive materials 120 such as copper are then deposited to fill the formed contacts/vias openings 116 .
  • an optional silicon carbide layer may be deposited on the second dielectric layer 118 and treated with ultraviolet radiation as described herein prior to deposition of the resist material.
  • the optional silicon carbide layer may perform as a anti-reflective coating, a passivation layer, or both.
  • the optional silicon carbide layer is preferably a nitrogen free silicon carbide material, and the invention contemplates that a nitrogen doped silicon carbide layer with the ultraviolet curing may also be used.
  • FIGS. 2A-2H are cross sectional views of a substrate having the steps of the invention formed thereon.
  • a nitrogen doped silicon carbide barrier layer 110 is deposited on the substrate surface from the processes described herein.
  • the silicon carbide barrier layer 110 may be deposited by introducing ammonia at a flow rate of 700 sccm into the processing chamber, introducing helium at a flow rate of 1200 sccm into the processing chamber, introducing trimethylsilane (TMS) at a flow rate of about 350 sccm, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 900 watts at 13.56 MHz, to deposit a silicon carbide layer.
  • TMS trimethylsilane
  • the silicon carbide material is deposited at about 1300 ⁇ /min by this process.
  • the deposited silicon carbide layer has a dielectric constant of about 3.5.
  • the silicon carbide barrier layer 110 may then be treated to the ultraviolet curing as described herein or another or additional post deposition process, such as an anneal or e-beam or plasma treated as described herein.
  • the ultraviolet cure treatment may be performed in situ with the deposition of the silicon carbide material.
  • Such an ultraviolet cure treatment is believed to harden and stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover.
  • An example of an ultraviolet curing includes exposing the silicon carbide barrier layer 110 exposed to ultraviolet radiation at a chamber temperature about 25° C., an applied power of about 10 mW/cm 2 at a wavelength of about 172 nm for about 120 seconds.
  • the processing chamber is maintained at a pressure and at a heater temperature of about the pressure and heater temperature during the silicon carbide barrier deposition process during the ultraviolet curing.
  • a capping layer (not shown) of a nitrogen free silicon carbide material may be deposited on the silicon carbide barrier layer 110 .
  • the nitrogen free silicon carbide capping layer may be deposited in situ on the silicon carbide barrier layer 110 .
  • the capping layer is preferably deposited after any e-beam or plasma treatment of silicon carbide barrier layer 110 .
  • the first dielectric layer 112 of interlayer dielectric material is deposited on the first silicon carbide barrier layer 110 by oxidizing an organosilane or organosiloxane, such as trimethylsilane.
  • the first dielectric layer 112 may be deposited to a thickness of about 5,000 ⁇ to about 15,000 ⁇ , depending on the size of the structure to be fabricated.
  • An example of a low dielectric constant material that may be used as an interlayer dielectric material is Black DiamondTM dielectric commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • the first dielectric layer may also comprise other low k dielectric material such as a low k polymer material including paralyne or a low k spin-on glass such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG).
  • a low k polymer material including paralyne
  • a low k spin-on glass such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG).
  • the low k etch stop 114 which may be a silicon carbide material as described herein, is then deposited on the first dielectric layer.
  • the etch stop may be deposited to a thickness between about 200 ⁇ and about 1000 ⁇ .
  • the low k etch stop 114 may be deposited from the same precursors and by the same process as the silicon carbide barrier layer 110 .
  • the low k etch stop 114 may be treated as described herein for the silicon carbide barrier layer 110 .
  • a capping layer (not shown) may also be deposited on the low k etch stop 114 as described for the silicon carbide barrier layer 100 described herein.
  • the low k etch stop 114 may then pattern etched to define the contact/via openings 116 and to expose first dielectric layer 112 in the areas where the contacts/vias are to be formed as shown in FIG. 2C .
  • the low k etch stop 114 is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. While not shown, a nitrogen-free silicon carbide or silicon oxide cap layer between about 100 ⁇ and about 500 ⁇ thick may be deposited on the etch stop 114 prior to depositing further materials.
  • a second dielectric layer 118 of silicon oxycarbide is deposited.
  • the second dielectric layer may be deposited to a thickness between about 5,000 and about 15,000 ⁇ as shown in FIG. 2D .
  • the second dielectric layer 118 may be deposited as described for the first dielectric layer 112 as well as comprise the same materials used for the first dielectric layer 112 .
  • the first and second dielectric layer 118 may also be treated as described herein for silicon carbide barrier layer 110 .
  • a nitrogen-free silicon carbide or silicon oxide cap layer may be deposited on second dielectric layer 118 prior to depositing additional materials, such as resist materials. Such a layer may be deposited between about 100 ⁇ and about 500 ⁇ thick.
  • a silicon carbide cap layer (not shown) may be deposited from the same precursors are by the same process as the silicon carbide barrier layer 110 on the second dielectric layer 118 prior to depositing additional materials, such as resist materials.
  • a resist material 122 is then deposited on the second dielectric layer 118 (or optional ARC layer or passivation layer as described with regard to FIG. 1 ) and patterned preferably using conventional photolithography processes to define the copper material 120 interconnect lines as shown in FIG. 2E .
  • the resist material 122 comprises a material conventionally known in the art, preferably a high activation energy resist, such as UV-5, commercially available from Shipley Company Inc., of Marlborough, Mass.
  • the interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in FIG. 2F . Any resist or other material used to pattern the etch stop 114 or the second dielectric layer 118 is removed using an oxygen strip or other suitable process.
  • the metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof.
  • a conductive material such as aluminum, copper, tungsten or combinations thereof.
  • the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 m ⁇ -cm compared to 3.1 m ⁇ -cm for aluminum).
  • a suitable barrier layer 124 for copper such as tantalum or tantalum nitride, is first deposited conformally in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material.
  • copper 126 is deposited using chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure.
  • the surface is planarized using chemical mechanical polishing, as shown in FIG. 2H .
  • FIG. 3 is a plan view of one embodiment of a semiconductor tandem-chamber processing system 300 in which embodiments of the invention may be used to advantage.
  • the arrangement and combination of chambers may be altered for purposes of performing specific fabrication process steps.
  • Sources of the ultraviolet radiation may be disposed on various locations of the processing tool.
  • the tandem-chamber processing system 300 is a self-contained system having the necessary processing utilities supported on a mainframe structure 301 which can be easily installed and which provides a quick start up for operation.
  • the tandem-chamber processing system 300 generally includes four different regions, namely, a front end staging area 302 where substrate cassettes 309 are supported and substrates are loaded into and unloaded from a loadlock chamber 312 , a transfer chamber 311 housing a substrate handler 313 , a series of tandem-processing chambers 306 mounted on the transfer chamber 311 and a back end 338 which houses the support utilities needed for operation of the tandem-chamber processing system 300 , such as a gas panel 303 , and the power distribution panel 305 for RF power generators 307 .
  • the tandem processing chambers include two processing regions 318 for processing substrates.
  • the system can be adapted to accommodate various processes and supporting chamber hardware such as CVD, PVD, etch, and the like.
  • Sources of ultraviolet radiation 340 , 342 may be disposed on the tandem-processing chambers 306 or the loadlock chamber 312 to integrate with a system processing regime.
  • a source of ultraviolet radiation may be used in the place of one of the tandem-tandem-processing chambers 306 .
  • the source of ultraviolet radiation may be position ex situ of the tandem-chamber processing system 300 .
  • the source of ultraviolet radiation may be an ultraviolet lamp, an ultraviolet laser, an ultraviolet electron beam, an ultraviolet imaging system, such as a DUV resist imaging system, or other form of ultraviolet radiation emitter.
  • the above apparatus is one embodiment of a ProducerTM processing system, commercially available from Applied Materials, Inc., of Santa Clara Calif., suitable for chemical vapor deposition of materials, such as the silicon carbide materials described herein.
  • the plan-view in FIG. 3 is provided for illustrative purposes, and FIG. 3 and the corresponding description should not be interpreted or construed as limiting the scope of the invention.
  • An example of the processing described herein is further detailed in commonly owned U.S. Pat. No. 6,591,850, issued on Jul. 15, 2003, which is incorporated by reference to the extent not inconsistent with the disclosure and claimed aspects herein.

Abstract

Methods and apparatus are provided for processing a substrate with an ultraviolet curing process. In one aspect, the invention provides a method for processing a substrate including depositing a silicon carbide dielectric layer on a substrate surface and curing the silicon carbide dielectric layer with ultra-violet curing radiation. The silicon carbide dielectric layer may comprise a nitrogen containing silicon carbide layer, an oxygen containing silicon carbide layer, or a phenyl containing silicon carbide layer. The silicon carbide dielectric layer may be used as a barrier layer, an etch stop, or as an anti-reflective coating in a damascene formation technique.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional Patent Application Ser. No. 60/569,373, filed May 6, 2004, which applications are herein incorporated by reference.
  • BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention
  • The invention relates to the fabrication of integrated circuits, more specifically to a process for forming dielectric layers on a substrate, and to the structures formed by the dielectric layer.
  • 2. Description of the Related Art
  • Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.35 μm and even 0.18 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.
  • To further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and to use insulators having low dielectric constants (dielectric constants of less than 4.0) to also reduce the capacitive coupling between adjacent metal lines. One such low k material is silicon oxycarbide deposited by a chemical vapor deposition process and silicon carbide, both of which may be used as dielectric materials in fabricating damascene features.
  • One conductive material having a low resistivity is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm for copper compared to 3.1 μΩ-cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • One difficulty in using copper in semiconductor devices is that copper is difficult to etch and achieve a precise pattern. Etching with copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory. Therefore, new methods of manufacturing interconnects having copper containing materials and low k dielectric materials are being developed.
  • One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method. In the damascene method, one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, for example, vias, and horizontal interconnects, for example, lines. Conductive materials, such as copper containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.
  • However, low k dielectric materials are often porous and susceptible to interlayer diffusion of conductive materials, such as copper, which can result in the formation of short-circuits and device failure. A dielectric barrier layer material is often disposed between the copper material and surrounding the low k material to prevent interlayer diffusion. However, traditional dielectric barrier layer materials, such as silicon nitride, often have high dielectric constants of 7 or greater. The combination of such a high k dielectric material with surrounding low k dielectric materials results in dielectric stacks having a higher than desired dielectric constant.
  • Further when silicon oxycarbide layers or silicon carbide layers that contain nitrogen are used as the low k material in damascene formation, it can be difficult to produced aligned features with little or no defects. It has also been observed that resist materials deposited on the silicon oxycarbide layers or the silicon carbide layers may be contaminated with nitrogen deposited with the silicon oxycarbide layers or the silicon carbide layers or from nitrogen diffusing therethough. For example, reaction of organosilicon compounds with nitrous oxide can contaminate the silicon oxycarbide layer with nitrogen or the nitrogen in nitrogen-doped silicon carbide layers may diffuse through adjacent layers as amine radicals (—NH2) to react with the resist materials.
  • Resist materials contaminated with nitrogen becomes less sensitive to radiation. Resist material exposed to other compounds, such as basic radicals including hydroxyl groups (—OH) may also decrease the sensitivity of the resist material. The decrease in the sensitivity to radiation is referred to as “resist poisoning”. Any resist material that is not sensitive to radiation is not removed by subsequent resist stripping processes and remains as residue. The remaining residue of resist material is referred to as “footing”. This residue can result in detrimentally affecting subsequent etching processes and result in misaligned and malformed features.
  • Therefore, there remains a need for an improved process for depositing dielectric material and resist materials for layering techniques, such as damascene applications.
  • SUMMARY OF THE INVENTION
  • Aspects of the invention generally provide a method for depositing and treating a dielectric material having a low dielectric constant as a barrier layer, an etch stop, or an anti-reflective coating. In one aspect, the invention provides a method for processing a substrate including depositing a silicon carbide dielectric layer on a substrate surface and curing the silicon carbide dielectric layer with ultra-violet curing radiation.
  • In another aspect, the invention provides a method for processing a substrate including depositing a first dielectric layer on the substrate by introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber, reacting the processing gas to deposit a first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5, and curing the first dielectric layer with ultra-violet curing radiation.
  • In another aspect, a method is provided for processing a substrate including depositing a nitrogen-doped dielectric layer on the substrate, curing the nitrogen-doped dielectric layer with ultra-violet radiation, depositing a dielectric layer comprising silicon, oxygen, and carbon, on the nitrogen-doped dielectric layer, depositing a resist on the dielectric layer comprising silicon, oxygen, and carbon.
  • In another aspect, an apparatus is provided for processing a substrate including a tandem-process chamber and a source of ultraviolet radiation disposed on the tandem-processing chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a cross sectional view showing a dual damascene structure comprising a low k barrier layer and a low k dielectric layer described herein;
  • FIGS. 2A-2H are cross sectional views showing one embodiment of a dual damascene deposition sequence of the invention; and
  • FIG. 3 is a plan view of one embodiment of a tandem semiconductor processing system.
  • For a further understanding of aspect of the invention, reference should be made to the ensuing detailed description.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. The following deposition processes are described with use of the 300 mm Producer™ dual deposition station processing chamber commercially available from Applied Materials Inc., of Santa Clara Calif., of which one example is shown in FIG. 3, and should be interpreted accordingly where appropriate. For example, flow rates for the Producer™ processing chamber are total flow rates and should be divided by two to describe the process flow rates at each deposition station in the processing chamber. Additionally, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as for 200 mm substrates. Process parameters for the exposure to the ultraviolet radiation may occur in the Producer™ processing chamber or separate chamber or separate system.
  • Aspects of the invention described herein refer to a method and apparatus for depositing a silicon carbide containing layer having a low dielectric constant, such as a nitrogen doped silicon carbide, and treating the surface of the silicon carbide containing layer with an ultraviolet curing process. Treating of the surface of the silicon carbide containing material is believed to improve barrier properties, densify the silicon carbide containing material, limit the migration of nitrogen contaminants from the deposited material or limit the ability of nitrogen from reacting with the resist material, remove nitrogen containing compounds from the deposited material, and reduce the dielectric constant of the silicon carbide containing material. Treating the surface may further include a plasma treatment or e-beam treatment. The surface treated with the ultraviolet curing process has been observed to be less reactive with the subsequently deposited resist material thereby limiting resist poisoning and reduce defect formation when forming features in the dielectric layer. While the following description is directed to depositing and treating a nitrogen doped dielectric layer, the invention contemplates depositing and treating nitrogen free dielectric layers, such as oxygen-doped silicon carbide and silicon carbide deposited from phenyl containing precursors as described herein.
  • Silicon Carbide Deposition
  • Silicon carbide layer may be deposited by reacting a processing gas of an organosilicon compound. Silicon carbide layer include a nitrogen containing silicon carbide layer, an oxygen containing silicon carbide layer, or a phenyl containing silicon carbide layer. The silicon carbide layer may be deposited with an organosilicon compound and a reactive gas. For example, nitrogen-doped silicon carbide layers may be deposited by reacting a processing gas of the organosilicon compound and a nitrogen containing compound. The processing gas may include additional reactive compounds such as hydrogen gas. The processing gas may also include an inert gas including helium, argon, or combinations thereof.
  • Suitable organosilicon compounds for depositing silicon carbide materials include oxygen-free organosilicon compounds. Examples of oxygen free organosilicon compounds include phenylsilanes and aliphatic organosilicon compounds. Examples of suitable organosilicon compounds used herein for silicon carbide deposition preferably include the structure:
    Figure US20050250346A1-20051110-C00001

    wherein R includes hydrogen atoms or organic functional groups including alkyl, alkenyl, cyclical, such as cyclohexyl, and aryl groups, in addition to functional derivatives thereof. The organosilicon compounds may have more than one R group attached to the silicon atom, and the invention contemplates the use of organosilicon compounds with or without Si—H bonds.
  • Suitable oxygen-free organosilicon compounds include oxygen-free aliphatic organosilicon compounds, oxygen-free cyclic organosilicon compounds, or combinations thereof, having at least one silicon-carbon bond. Cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms. Aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms. Commercially available aliphatic organosilicon compounds include alkylsilanes. Fluorinated derivatives of the organosilicon compounds described herein may also be used to deposit the silicon carbide and silicon oxycarbide layers described herein. Methylsilanes are preferred organosilicon compounds for silicon carbide deposition.
  • Examples of suitable organosilicon compounds include, for example, one or more of the following compounds:
    Methylsilane, CH3—SiH3
    Dimethylsilane, (CH3)2—SiH2
    Trimethylsilane (TMS), (CH3)3—SiH
    Tetramethylsilane, (CH3)4—Si
    Ethylsilane, CH3—CH2—SiH3
    Disilanomethane, SiH3—CH2—SiH3
    Bis(methylsilano)methane, CH3—SiH2—CH2—SiH2—CH3
    1,2-disilanoethane, SiH3—CH2—CH2—SiH3
    1,2-bis(methylsilano)ethane, CH3—SiH2—CH2—CH2—SiH2—CH3
    2,2-disilanopropane, SiH3—C(CH3)2—SiH3
    1,3,5-trisilano-2,4,6- —(—SiH2—CH2—)3— (cyclic)
    trimethylene,
    Diethylsilane (C2H5)2SiH2
    Diethylmethylsilane (C2H5)2SiH(CH3)
    Propylsilane C3H7SiH3
    Vinylmethylsilane (CH2═CH)(CH3)SiH2
    Divinyldimethylsilane (CH2═CH)2(CH3)2Si
    (DVDMS)
    1,1,2,2-tetramethyldisilane HSi(CH3)2—Si(CH3)2H
    Hexamethyldisilane (CH3)3Si—Si(CH3)3
    1,1,2,2,3,3-hexamethyltrisilane H(CH3)2Si—Si(CH3)2—SiH(CH3)2
    1,1,2,3,3-pentamethyltrisilane H(CH3)2Si—SiH(CH3)—SiH(CH3)2
    Dimethyldisilanoethane CH3—SiH2—(CH2)2—SiH2—CH3
    Dimethyldisilanopropane CH3—SiH2—(CH2)3—SiH2—CH3
    Tetramethyldisilanoethane (CH)2—SiH—(CH2)2—SiH—(CH)2
    Tetramethyldisilanopropane (CH3)2—SiH—(CH2)3—SiH—(CH3)2
  • Suitable organosilicon compounds further include alkyl and/or cyclical organosilicon compounds having carbon to silicon atom ratios (C:Si) of 5:1 or greater, such as 8:1 or 9:1. Alkyl functional groups having higher carbon alkyl groups, such as ethyl and iso-propyl functional groups, for example, dimethylisopropylsilane (5:1), diethylmethylsilane (5:1), tetraethylsilane (8:1), dibutylsilanes (8:1), tripropylsilanes (9:1), may be used. Cyclical organosilicons, such as cyclopentylsilane (5:1) and cyclohexylsilane (6:1), including cyclical compounds having alkyl groups, such as ethylcyclohexylsilane (8:1) and propylcyclohexylsilanes (9:1) may also be used for the deposition of silicon carbon layers.
  • Phenyl containing organosilicon compounds, such as phenylsilanes may also be used for depositing the silicon carbide materials and generally include the structure:
    Figure US20050250346A1-20051110-C00002

    wherein R is a phenyl group. For example, suitable phenyl containing organosilicon compounds generally include the formula SiHa(CH3)b(C6H5)c, wherein a is 0 to 3, b is 0 to 3, and c is 1 to 4, and a+b+c is equal to 4. Examples of suitable compounds derived from this formula include diphenylsilane (DPS), dimethylphenylsilane (DMPS), diphenylmethylsilane, phenylmethylsilane, and combinations thereof. Preferably used are phenyl containing organosilicon compounds with b is 1 to 3 and c is 1 to 3. The most preferred phenyl organosilicon compounds for deposition as barrier layer materials include organosilicon compounds having the formula SiHa(CH3)b(C6H5)c, wherein a is 1 or 2, b is 1 or 2, and c is 1 or 2. Examples of preferred phenyl compounds include dimethylphenylsilane and diphenylmethylsilane.
  • An example of a phenyl containing silicon carbide deposition process includes supplying dimethylphenylsilane, to a plasma processing chamber at a flow rate between about 10 milligrams/minute (mgm) and about 1500 mgm, for example, about 750 mgm, supplying hydrogen gas at a flow rate between about 10 sccm and about 2000 sccm, for example, about 500 sccm, supplying an inert gas at a flow rate between about 10 sccm and about 10000 sccm, for example, about 1500 sccm, maintaining a substrate temperature between about 0° C. and about 500° C., for example, about 350° C., maintaining a chamber pressure below about 500 Torr, for example, about about 6 Torr, and an RF power of between about 0.03 watts/cm2 and about 1500 watts/cm2, for example, about 200 watts at a gas distributor positioned between about 300 mils and about 600 mils, for example, about 450 mils, form the substrate surface during the deposition process.
  • The RF power can be provided at a high frequency, such as between 13 MHz and 14 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. The processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 700 mils from the substrate surface. Additionally, the RF power may also be provided at low frequencies, such as 356 kHz, for depositing silicon carbide material.
  • Example processes for depositing a phenyl containing silicon carbide layer is disclosed in U.S. Pat. No. 6,759,327, issued on Jul. 6, 2004, and U.S. Pat. No. 6,790,788, issued on Sep. 14, 2004, which are incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.
  • Nitrogen doped silicon carbide may be deposited by the reaction of the organosilicon compounds described herein with a nitrogen containing compound. The nitrogen containing compound may be a nitrogen-containing gas, for example, ammonia (NH3), a mixture of nitrogen gas and hydrogen gas, or combinations thereof, in the processing gas. The nitrogen doped silicon carbide layer generally includes less than about 20 atomic percent (atomic %) of nitrogen. The nitrogen containing compound may be introduced into the processing chamber at a flow rate between about 50 sccm and about 10,000 sccm. The nitrogen doped silicon carbide layer may further be oxygen doped by the processes described herein.
  • Alternatively, the nitrogen containing compound may comprise silicon and nitrogen containing compounds. Suitable silicon and nitrogen containing compounds include compounds having Si—N—Si bonding groups, such as silazane compounds, may be used in the processing gas for doping the deposited silicon carbide material with nitrogen. Compounds having bonded nitrogen, such as in the silazane compounds, can improve the hardness of layers as well as reduced the current leakage of the layers. Examples of suitable silizane compounds includes aliphatic compounds, such as hexamethyldisilazane and divinyltetramethyldisilizane, as well as cyclic compounds, such as hexamethylcyclotrisilazane.
  • One embodiment of a deposition of nitrated silicon carbide layer comprises supplying an organosilicon precursor, for example trimethylsilane, at a flow rate between about 10 sccm and about 1000 sccm, such as between about 50 sccm and about 500 sccm, for example, about 350 sccm, supplying reducing compounds including nitrogen containing compounds, to a processing chamber at a flow rate between about 100 sccm and about 2500 sccm, such as, between about 500 sccm and about 2000 sccm, for example, ammonia at 700 sccm, and optionally, supplying a hydrogen and/or an inert (noble) gas to a processing chamber at a flow rate between about 1 sccm and about 10,000 sccm respectively, for example, about 1200 sccm of helium, optionally supplying an oxygen-containing compound to a processing chamber at a flow rate between about 100 sccm and about 2500 sccm for an oxygen and nitrogen doped silicon carbide layer, for example, between about 500 sccm and about 2000 sccm, maintaining a chamber pressure between about 100 milliTorr and about 100 Torr, such as, between about 2.5 Torr and about 9 Torr, for example, 3.7 Torr, maintaining a heater temperature between about 100° C. and about 500° C., such as between about 250° C. and about 450° C., for example, about 350° C., positioning a gas distributor, or “showerhead”, between about 200 mils and about 1000 mils, for example, 280 mils from the substrate surface, and optionally, generating a plasma.
  • The plasma may be generated by applying a power density ranging between about 0.03 W/cm2 and about 6.4 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 500 W and about 1100 W, for example, 900 watts, at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The plasma may be generated by applying a power density ranging between about 0.01 W/cm2 and about 2.8 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 500 W and about 1100 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz.
  • The power may be applied from a dual-frequency RF power source a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power in a range of about 200 watts to about 1000 watts and at least a second RF power with a frequency in a range of between about 100 KHz and about 500 KHz as well as a power in a range of about 1 watt to about 200 watts. The initiation layer may be deposited for a period of time between about 1 second and 60 seconds, for example between about 1 and about 5 seconds, such as 2 seconds.
  • Example processes for depositing a nitrogen containing silicon carbide layer is disclosed in U.S. Pat. No. 6,764,958, issued on Jul. 20, 2004, and U.S. Pat. No. 6,537,733, issued on Mar. 25, 2003, which are incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.
  • The processing gas may further include hydrogen gas (H2) or an inert gas, or combinations thereof. Suitable inert gases include a noble gas selected from the group of argon, helium, neon, xenon, or krypton, and combinations thereof. The hydrogen gas may be added at a molar ratio of organosilicon compound to hydrogen gas of between about 1:1 and about 10:1, such as between about 1:1 and about 6:1. Preferred deposition processes for oxygen-free organosilicon compounds and hydrogen gas has a molar ratio of oxygen-free organosilicon compound to hydrogen gas of between about 1:1 and about 1.5:1. Generally, the flow rate hydrogen gas (H2) and/or an inert gas is between about 50 sccm and about 20,000 sccm.
  • The silicon carbide layer may also be doped with boron and/or phosphorus to improve layer properties, and generally includes less than about 15 atomic percent (atomic %) or less of dopants. Boron doping of the low k silicon carbide layer may be performed by introducing borane (BH3), or borane derivatives thereof, such as diborane (B2H6), into the chamber during the deposition process. Boron doping of the silicon carbide layer preferably comprises between about 0.1 wt. % and about 4 wt. % of boron.
  • Phosphorus containing dopants may be used in the processing gases at a ratio of dopant to organosilicon compound between about 1:5 or greater, such as between about 1:5 and about 1:100. Phosphorus doping of the low k silicon carbide layer may be performed by introducing phosphine (PH3), triethylphosphate (TEPO), triethoxyphosphate (TEOP), trimethyl phosphine (TMP), triethyl phosphine (TEP), and combinations thereof, into the chamber during the deposition process. It is believed that dopants may reduce the dielectric constant of the deposited silicon carbide material. The doped silicon carbide layer may comprise between about 0.1 wt. % and about 15 wt. % of phosphorus, for example, between about 1 wt. % and about 4 wt. % of phosphorus.
  • Silicon carbide layers may further include oxygen. Oxygen-doped silicon carbide layers typically include less than about 15 atomic percent (atomic %) of oxygen, preferably having between about 3 atomic % and about 10 atomic % of oxygen. Oxygen doped silicon carbide layers may be deposited with oxygen containing compounds including oxygen and carbon containing compounds, such as oxygen containing gases and oxygen containing organosilicon compounds. The oxygen-containing gas and the oxygen-containing organosilicon compound described herein are considered non-oxidizing gases as compared to oxygen or ozone. Materials that are described as silicon oxycarbide or carbon-doped silicon oxide generally comprises between about 15 atomic % or greater of oxygen in the layer and are deposited from oxidizing gases.
  • Preferred oxygen-containing gases generally have the formula CXHYOZ, with x being between 0 and 2, Y being between 0 and 2, where X+Y is at least 1, and Z being between 1 and 3, wherein X+Y+Z is 3 or less. The oxygen-containing gas may include carbon dioxide, carbon monoxide, or combinations thereof; and may additionally include water. The oxygen-containing gas is typically an inorganic material.
  • Alternatively, oxygen-doped silicon carbide layers may be deposited with oxygen-containing organosilicon compounds to modify or change desired layer properties by controlling the oxygen content of the deposited silicon carbide layer. Suitable oxygen-containing organosilicon compounds include oxygen-containing aliphatic organosilicon compounds, oxygen-containing cyclic organosilicon compounds, or combinations thereof. Oxygen-containing aliphatic organosilicon compounds have linear or branched structures comprising one or more silicon atoms and one or more carbon atoms, and the structure includes silicon-oxygen bonds.
  • Oxygen-containing cyclic organosilicon compounds typically have a ring comprising three or more silicon atoms and the ring may further comprise one or more oxygen atoms. Commercially available oxygen-containing cyclic organosilicon compounds include rings having alternating silicon and oxygen atoms with one or two alkyl groups bonded to each silicon atom. Preferred oxygen-containing organosilicon compounds are cyclic compounds.
  • One class of oxygen-containing organosilicon compounds include compounds having Si—O—Si bonding groups, such as organosiloxane compounds. Compounds with siloxane bonds provide silicon carbide layers with bonded oxygen that can reduce the dielectric constant of the layer as well as reduce the current leakage of the layer.
  • Suitable oxygen-containing organosilicon compounds include, for example, one or more of the following compounds:
    Dimethyldimethoxysilane (DMDMOS), (CH3)2—Si—(OCH3)2,
    Diethoxymethylsilane (DEMS), (CH3)—SiH—(OCH3)2,
    1,3-dimethyldisiloxane, CH3—SiH2—O—SiH2—CH3,
    1,1,3,3-tetramethyldisiloxane (TMDSO), (CH3)2—SiH—O—SiH—(CH3)2,
    Hexamethyldisiloxane (HMDS), (CH3)3—Si—O—Si—(CH3)3,
    Hexamethoxydisiloxane (HMDSO), (CH3O)3—Si—O—Si—(OCH3)3,
    1,3-bis(silanomethylene)disiloxane, (SiH3—CH2—SiH2—)2—O,
    Bis(1-methyldisiloxanyl)methane, (CH3—SiH2—O—SiH2—)2—CH2,
    2,2-bis(1-methyldisiloxanyl)propane, (CH3—SiH2—O—SiH2—)2—C(CH3),2
    1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS), —(—SiHCH3—O—)4— (cyclic),
    Octamethylcyclotetrasiloxane (OMCTS), —(—Si(CH3)2—O—)4— (cyclic),
    1,3,5,7,9-pentamethylcyclopentasiloxane, —(—SiHCH3—O—)5— (cyclic),
    1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene, —(—SiH2—CH2—SiH2—O—)2
    Hexamethylcyclotrisiloxane —(—Si(CH3)2—O—)3— (cyclic)
    1,3-dimethyldisiloxane, CH3—SiH2—O—SiH2—CH3
    Hexamethylcyclotrisiloxane (HMDOS) —(—Si(CH3)2—O—)3— (cyclic),

    and fluorinated hydrocarbon derivatives thereof. The above lists are illustrative and should not be construed or interpreted as limiting the scope of the invention.
  • When oxygen-containing organosilicon compounds and oxygen-free organosilicon compounds are used in the same processing gas, a molar ratio of oxygen-free organosilicon compounds to oxygen-containing organosilicon compounds between about 4:1 and about 1:1 is generally used. An example process for depositing an oxygen containing silicon carbide layer is disclosed in U.S. patent application Ser. No. 10/196,498, filed on Jul. 15, 2002, which is incorporated by reference to the extent not inconsistent with the claims and disclosure described herein.
  • An oxygen-doped silicon carbide layer may be deposited in one embodiment by supplying organosilicon compounds, such as trimethylsilane, to a plasma processing chamber at a flow rate between about 10 milligrams/minute (mgm) and about 1500 mgm, for example about 160 mgm or sccm, supplying an oxidizing gas at a flow rate between about 10 sccm and about 2000 sccm, for example, about 700 sccm, supplying a noble gas at a flow rate between about 1 sccm and about 10000 sccm, for example, about 400 sccm, maintaining a substrate temperature between about 0° C. and about 500° C., for example, about 350° C., maintaining a chamber pressure below about 500 Torr, for example, about 2.5 Torr, at about and an RF power of between about 0.03 watts/cm2 and about 1500 watts/cm2, for example about 200 Watts with a gas distributor may be positioned between about 200 mils and about 700 mils, for example about 320 mils, from the substrate surface.
  • The RF power can be provided at a high frequency such as between 13 MHz and 14 MHz or a mixed frequency of the high frequency and the low frequency. For example, a high frequency of about 13.56 MHz may be used as well as a mixed frequency of high frequency of about 13.56 MHz and low frequency of about 356 KHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Additionally, a low frequency RF power may be applied during the deposition process. For example, an application of less than about 300 watts, such as less than about 100 watts at between about 100 KHz and about 1 MHz, such as 356 KHz may be used to modify film properties, such as increase the compressive stress of a SiC film to reduce copper stress migration.
  • Additional materials, such as an organic compounds, may also be present during the deposition process to modify or change desired layer properties. For example, organic compounds, such as aliphatic hydrocarbon compounds may also be used in the processing gas to increase the carbon content of the deposited silicon carbide materials. Suitable aliphatic hydrocarbon compounds include compounds having between one and about 20 adjacent carbon atoms. The hydrocarbon compounds can include adjacent carbon atoms that are bonded by any combination of single, double, and triple bonds.
  • Suitable organic compounds may include alkenes and alkynes having two to about 20 carbon atoms, such as ethylene, propylene, acetylene, and butadiene. Further examples of suitable hydrocarbons include t-butylethylene, 1,1,3,3-tetramethylbutylbenzene, t-butylether, metyl-methacrylate (MMA), t-butylfurfurylether, and combinations thereof. Organic compounds containing functional groups including oxygen and/or nitrogen containing functional groups may also be used. For example, alcohols, including ethanol, methanol, propanol, and iso-propanol, may be used for depositing the silicon carbide material.
  • Silicon carbide material are generally deposited by supplying an organosilicon compound to a plasma processing chamber at a flow rate between about 10 sccm and about 1500 sccm, supplying a dopants, such as a nitrogen containing compounds including as ammonia and oxygen containing compounds, at a flow rate between about 10 sccm and about 2500 sccm, supplying additional gases, such as an inert gas and/or hydrogen, to the processing chamber at a flow rate between about 10 sccm and about 10000 sccm, respectively, maintaining the chamber at a heater temperature between about 0° C. and about 500° C., maintaining a chamber pressure between about 100 milliTorr and about 100 Torr, positioning a gas distributor between about 200 mils and about 700 mils from the substrate surface, and generating a plasma.
  • The plasma may be generated power levels may be by applying a power density ranging between about 0.03 W/cm2 and about 6.4 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 200 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The plasma may be generated power levels may be by applying a power density ranging between about 0.01 W/cm2 and about 2.8 W/cm2, which is a RF power level of between about 10 W and about 2000 W for a 300 mm substrate, for example, between about 100 W and about 400 W at a high frequency such as between 13 MHz and 14 MHz, for example, 13.56 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Alternatively, all plasma generation may be performed remotely, with the generated radicals introduced into the processing chamber for plasma treatment of a deposited material or deposition of a material layer.
  • Alternatively, the plasma may be generated by a dual-frequency RF power source. The power may be applied from a dual-frequency RF power source a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power, for example, in a range of about 100 watts to about 1000 watts and at least a second RF power with a frequency in a range of between about 100 KHz and about 500 KHz as well as a power, for example, in a range of about 1 watt to about 200 watts. The above process parameters provide a deposition rate for the silicon carbide layer in the range of about 500 Å/min to about 20,000 Å/min, such as a range between about 100 Å/min and about 3000 Å/min.
  • Suitable processing systems for performing the processes described herein are a DxZ™ chemical vapor deposition chamber or Producer™ processing system, both of which are commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • The above process parameters provide a deposition rate for the silicon carbide layer or nitrogen doped silicon carbide layer in the range of about 500 Å/min to about 20,000 Å/min, such as a range between about 100 Å/min and about 3000 Å/min, when implemented on a 200 mm (millimeter) substrate in a deposition chamber available from Applied Materials, Inc., Santa Clara, Calif.
  • Ultraviolet Curing
  • The deposited silicon carbide material may then be cured by an ultraviolet curing process. Silicon carbide material cured using the ultraviolet curing process has shown an improved barrier layer properties and reduced and minimal resist poisoning. The ultraviolet curing process may be performed in situ within the same processing chamber or system, for example, transferred from one chamber to another without break in a vacuum. The following ultraviolet curing process is illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • Exposure to an ultraviolet radiation source may be performed as follows. The substrate is introduced into a chamber, which may include the deposition chamber, and a deposited silicon carbide layer, including nitrogen-doped silicon carbide materials, is exposed to between about 0.01 milliWatts/cm2 and about 1 watts/cm2 of ultraviolet radiation, for example, between about 0.1 milliWatts/cm2 and about 10 milliwatts/cm2. The ultraviolet radiation may comprise a range of ultraviolet wavelengths, and include one or more simultaneous wavelength. Suitable ultraviolet wavelengths include between about 1 nm and about 400 nm, and may further include optical wavelengths up to about 600 or 780 nm. The ultraviolet wavelengths between about 1 nm and about 400 nm, may provide a photon energy (electroVolts) between about 11.48 (eV) and about 3.5 (eV). Preferred ultraviolet wavelengths include between about 100 nm and about 350 nm.
  • Further, the ultraviolet radiation application may occur at multiple wavelengths, a tunable wavelength emission and tunable power emission, or a modulation between a plurality of wavelengths as desired, and may be emitted from a single UV lamp or applied from an array of ultraviolet lamps. Examples of suitable UV lamps include a Xe filled Zeridex™ UV lamp, which emits ultraviolet radiation at a wavelength of about 172 nm or the Ushio Excimer UV lamp, or a Hg Arc Lamp, which emits ultraviolet radioation at wave. The deposited silicon carbide layer is exposed to the ultraviolet radiation for between about 10 seconds and about 600 seconds.
  • During processing, the temperature of the processing chamber may be maintained at between about 0° C. and about 450° C., for example, between about 20° C. and about 400° C. degrees Celsius, for example about 25° C., and at a chamber pressure between vacuum, for example, less than about 1 mTorr up to about atmospheric pressure, i.e., 760 Torr, for example at about 100 Torr. The source of ultraviolet radiation may be between about 100 mils and about 600 mils from the substrate surface. Optionally, a processing gas may be introduced during the ultraviolet curing process. Suitable processing gases include oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, hydrocarbon gases, fluorocarbon gases, and fluorinated hydrocarbon gases, or combinations thereof. The hydrocarbon compounds may have the formula CXHY, CXFY, CXFYHZ, or combinations thereof, with x an integer between 1 and 6, y is an integer between 4 and 14, and z is an integer between 1 and 3.
  • An example of an ultraviolet process is as follows. A substrate having a nitrogen doped silicon carbide layer is exposed to ultraviolet radiation at a chamber temperature about 400° C., an applied power of about 10 mW/cm2 at a wavelength of about 172 nm for about 120 seconds in an argon atmosphere at atmosphere pressure (about 760 Torr).
  • Alternative Post-Deposition Treatment:
  • The deposited silicon carbide material may also be exposed to an anneal, a plasma treatment or other post-deposition treatment process. The anneal, plasma treatment, or other process may be performed before the ultraviolet curing process, after the ultraviolet curing process, or both before and after, with the before and after combination being the same or different processes. The post-deposition treatments may be performed in situ with the deposition of the silicon carbide material without breaking vacuum in a processing chamber or processing system.
  • Annealing the deposited material may comprise exposing the substrate at a temperature between about 100° C. and about 400° C. for between about 1 minute and about 60 minutes, preferably at about 30 minutes, to reduce the moisture content and increase the solidity and hardness of the dielectric material. Annealing is preferably performed after the deposition of a subsequent material or layer that prevents shrinkage or deformation of the dielectric layer. The annealing process is typically formed using inert gases, such as argon and helium, but may also include hydrogen or other non-oxidizing gases. The above described annealing process is preferably used for low dielectric constant materials deposited from processing gases without meta-stable compounds. The anneal process is preferably performed prior to the subsequent deposition of additional materials. Preferably, an in-situ (i.e., inside the same chamber or same processing system without breaking vacuum) post treatment is performed.
  • The annealing process is preferably performed in one or more cycles using helium. The annealing process may be performed more than once, and variable amounts of helium and hydrogen may be used in multiple processing steps or annealing steps. The anneal energy may be provided by the use of heat lamps, infer-red radiation, such as IR heating lamps, or as part of a plasma anneal process. Alternatively, a RF power may be applied to the annealing gas between about 200 W and about 1,000 W, such as between about 200 W and about 800 W, at a frequency of about 13.56 MHz for a 200 mm substrate.
  • Alternatively, or additionally, the deposited silicon carbide layer may be plasma treated to remove contaminants or other wise clean the exposed surface of the silicon carbide layer prior to subsequent deposition of materials thereon. The plasma treatment may be performed in the same chamber used to deposit the silicon and carbon containing material. The plasma treatment is also believed to improve film stability by forming a protective layer of a higher density material than the untreated silicon carbide material. The higher density silicon carbide material is believed to be more resistive to chemical reactions, such as forming oxides when exposed to oxygen, than the untreated silicon carbide material.
  • The plasma treatment generally includes providing an inert gas including helium, argon, neon, xenon, krypton, or combinations thereof, of which helium is preferred, and/or a reducing gas including hydrogen, ammonia, and combinations thereof, to a processing chamber. The inert gas or reducing gas is introduced into the processing chamber at a flow rate between about 500 sccm and about 3000 sccm, preferably between about 1000 sccm and about 2500 sccm of hydrogen, and generating a plasma in the processing chamber.
  • The plasma may be generated using a power density ranging between about 0.03 W/cm2 and about 3.2 W/cm2, which is a RF power level of between about 10 W and about 1000 W for a 200 mm substrate. Preferably, at a power level of about 100 watts for a silicon carbide material on a 200 mm substrate. The RF power can be provided at a high frequency such as between 13 MHz and 14 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle. Alternatively, the RF power may also be provided at low frequencies, such as 356 kHz, for plasma treating the depositing silicon carbide layer.
  • The processing chamber is preferably maintained at a chamber pressure of between about 1 Torr and about 12 Torr, for example about 3 Torr. The substrate is preferably maintained at a temperature between about 200° C. and about 450° C., preferably between about 290° C. and about 400° C., during the plasma treatment. A heater temperature of about the same temperature of the silicon carbide deposition process, for example about 290° C., may be used during the plasma treatment. The plasma treatment may be performed between about 10 seconds and about 100 seconds, with a plasma treatment between about 40 seconds and about 60 seconds preferably used. The processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 500 mils from the substrate surface. The gas distributor may be positioned between about 300 mils and about 600 mils during the plasma treatment.
  • The hydrogen containing plasma treatment is believed to further reduce the dielectric constant of the low k dielectric layer by about 0.1. The plasma treatment is believed to clean contaminants from the exposed surface of the silicon carbide material and may be used to stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover.
  • One example of a post deposition plasma treatment for a silicon carbide layer includes introducing ammonia at a flow rate of 950 sccm into the processing chamber, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 300 watts at 13.56 MHz for about two seconds.
  • However, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as 300 mm substrates. An example of a plasma treatment for a silicon and carbon containing film is further disclosed in U.S. patent application Ser. No. 09/336,525, entitled, “Plasma treatment to Enhance adhesion and to Minimize Oxidation of Carbon-Containing Layers,” filed on Jun. 18, 1999, which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects of the invention described herein.
  • Alternatively, the silicon carbide layer may also be treated by depositing a silicon carbide cap layer or silicon oxide cap layer prior to depositing a resist material. The cap layer may be deposited at a thickness between about 100 Å and about 500 Å. The use of a cap layer is more fully described in co-pending U.S. patent application Ser. No. 09/977,008, entitled “Method Of Eliminating Resist Poisoning In Damascene Applications”, filed on Oct. 11, 2001, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure described herein.
  • Electron Beam Treatment
  • In another aspect of the invention, the deposited silicon carbide material may be cured by an electronic beam (e-beam) technique in addition to or as an alternative to ultraviolet radiation treatment described herein. Silicon carbide material cured using an e-beam technique has shown an unexpected reduction in k value and an unexpected increase in hardness, not capable with conventional curing techniques. The e-beam treatment may be performed in situ within the same processing system, for example, transferred from one chamber to another without break in a vacuum. The following e-beam apparatus and process are illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • The temperature at which the electron beam apparatus 200 operates ranges from about −200 degrees Celsius to about 600 degrees Celsius, for example, about 400 degrees Celsius. An e-beam treatment of a silicon carbide layer may comprise the application or exposure to between about 1 micro coulombs per square centimeter (μC/cm2) and about 6,000 μC/cm2, for example, between about 1 μC/cm2 and about 400 μC/cm2, and more preferably less than about 200 μC/cm2, such as about 70 μC/cm2, at energy ranges between about 0.5 kiloelectron volts (KeV) and about 30 KeV, for example between about 1 KeV and about 3 kiloelectron volts (KeV). The electron beams are generally generated at a pressure of about 1 mTorr to about 200 mTorr.
  • The gas ambient in the electron beam chamber 220 may be an inert gas, including nitrogen, helium, argon, xenon, an oxidizing gas including oxygen, a reducing gas including hydrogen, a blend of hydrogen and nitrogen, ammonia, or any combination of these gases. The electron beam current ranges from about 1 mA to about 40 mA, and more preferably from about 5 mA to about 20 mA. The electron beam may cover an area from about 4 square inches to about 700 square inches. Although any e-beam device may be used, one exemplary device is the EBK chamber, available from Applied Materials, Inc., of Santa Clara Calif.
  • A general example of an e-beam process is as follows. A substrate having a 3000 Å thick layer is exposed to an e-beam at a chamber temperature about 400 degrees Celsius, an applied electron beam energy of about 3.5 KeV, and at an electron beam current of about 5 mA, with an exposure dose of the electron beam of about 500 mC/cm2.
  • Further description of an e-beam process for silicon carbon materials is more fully described in co-pending U.S. Pat. No. 6,790,788, issued on Sep. 14, 2004, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure described herein.
  • Deposition of a Barrier Layer for a Dual Damascene Structure
  • The ultraviolet cured silicon carbide layer, including nitrogen doped silicon carbide layers may be used as barrier layers, etch stop, and anti-reflective coating/passivation layers in damascene formation, of which use as a barrier layer is preferred. Interlayer dielectric layers for use in low k damascene formations having silicon carbide layer formed as described herein include dielectric layers having silicon, oxygen, and carbon, and a dielectric constant of less than about 3. The adjacent dielectric layers for use with the barrier layer material described herein have a carbon content of about 1 atomic percent or greater excluding hydrogen atoms, preferably between about 5 and about 30 atomic percent excluding hydrogen atoms, and have oxygen concentrations of about 15 atomic % or greater.
  • The adjacent dielectric layer may be deposited by oxidizing an organosiliane compound in a plasma enhanced chemical vapor deposition technique. For example, a suitable adjacent dielectric material may be deposited by reacting trimethylsilane and oxygen in a plasma enhanced chemical vapor deposition technique, with the plasma formed under conditions including a high frequency RF power density from about 0.16 W/cm2 to about 0.48 W/cm2. Examples of methods and uses for the adjacent dielectric layers comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 are more further described in U.S. Pat. No. 6,054,379, issued May 25, 2000, U.S. Pat. No. 6,287,990, issued Sep. 11, 2001, and U.S. Pat. No. 6,303,523, issued on Oct. 16, 2001, which are incorporated by reference herein to the extent not inconsistent with the disclosure and claimed aspects described herein. An example of a dielectric layer comprising silicon, oxygen, and carbon, having a dielectric constant of less than about 3 is Black Diamond™ dielectric materials commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • The embodiments described herein for depositing silicon carbide layers adjacent low k dielectric layers are provided to illustrate the invention and the particular embodiment shown should not be used to limit the scope of the invention.
  • An example of a damascene structure that is formed using the silicon carbide material described herein as a barrier layer is shown in FIG. 1. A silicon carbide barrier layer 110, such as nitrogen-doped silicon carbide, is deposited and post deposition treated with ultraviolet radiation as described herein on the substrate surface to eliminate inter-level diffusion between the substrate and subsequently deposited materials. The substrate surface may comprise metal features 107, such as copper features, formed in a dielectric material 105. Optionally, a second barrier layer of a oxygen containing silicon carbide layer or a phenyl containing silicon carbide layer as described herein may be deposited on the silicon carbide barrier layer 110.
  • A first dielectric layer 112, comprising silicon, oxygen, and carbon, as described herein, is deposited on the silicon carbide barrier layer 110. An etch stop (or second barrier layer) 114 of a silicon carbide material, such as the nitrogen and/or oxygen doped silicon carbide material described herein, is then deposited on the first dielectric layer 112 and treated with ultraviolet radiation as described herein. The etch stop 114 is then pattern etched using conventional techniques to define the openings 116 of the interconnects or contacts/vias.
  • A second dielectric layer 118 is then deposited over the patterned etch stop. A resist is then deposited and patterned by conventional means known in the art to define the contacts/vias openings 116. A resist material may include an energy based reist material including deep ultraviolet (DUV) resist materials as well as e-beam resist materials.
  • A single etch process is then performed to define the contacts/vias openings 116 down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias openings 116. One or more conductive materials 120 such as copper are then deposited to fill the formed contacts/vias openings 116. While not shown, an optional silicon carbide layer, may be deposited on the second dielectric layer 118 and treated with ultraviolet radiation as described herein prior to deposition of the resist material. The optional silicon carbide layer may perform as a anti-reflective coating, a passivation layer, or both. The optional silicon carbide layer is preferably a nitrogen free silicon carbide material, and the invention contemplates that a nitrogen doped silicon carbide layer with the ultraviolet curing may also be used.
  • A preferred dual damascene structure fabricated in accordance with the invention including a silicon carbide barrier layer deposited by the processes described herein is sequentially depicted schematically in FIGS. 2A-2H, which are cross sectional views of a substrate having the steps of the invention formed thereon.
  • As shown in FIG. 2A, a nitrogen doped silicon carbide barrier layer 110 is deposited on the substrate surface from the processes described herein. The silicon carbide barrier layer 110 may be deposited by introducing ammonia at a flow rate of 700 sccm into the processing chamber, introducing helium at a flow rate of 1200 sccm into the processing chamber, introducing trimethylsilane (TMS) at a flow rate of about 350 sccm, maintaining the chamber at a heater temperature of about 350° C., maintaining a chamber pressure of about 3.7 Torr, positioning a gas distributor at about 280 mils from the substrate surface, and applying a RF power of about 900 watts at 13.56 MHz, to deposit a silicon carbide layer. The silicon carbide material is deposited at about 1300 Å/min by this process. The deposited silicon carbide layer has a dielectric constant of about 3.5.
  • The silicon carbide barrier layer 110 may then be treated to the ultraviolet curing as described herein or another or additional post deposition process, such as an anneal or e-beam or plasma treated as described herein. The ultraviolet cure treatment may be performed in situ with the deposition of the silicon carbide material. Such an ultraviolet cure treatment is believed to harden and stabilize the layer, such that it becomes less reactive with moisture and/or oxygen under atmospheric condition as well as the adhesion of layers formed thereover. An example of an ultraviolet curing includes exposing the silicon carbide barrier layer 110 exposed to ultraviolet radiation at a chamber temperature about 25° C., an applied power of about 10 mW/cm2 at a wavelength of about 172 nm for about 120 seconds. Alternatively, the processing chamber is maintained at a pressure and at a heater temperature of about the pressure and heater temperature during the silicon carbide barrier deposition process during the ultraviolet curing.
  • Alternatively, or additionally, a capping layer (not shown) of a nitrogen free silicon carbide material may be deposited on the silicon carbide barrier layer 110. The nitrogen free silicon carbide capping layer may be deposited in situ on the silicon carbide barrier layer 110. The capping layer is preferably deposited after any e-beam or plasma treatment of silicon carbide barrier layer 110.
  • The first dielectric layer 112 of interlayer dielectric material is deposited on the first silicon carbide barrier layer 110 by oxidizing an organosilane or organosiloxane, such as trimethylsilane. The first dielectric layer 112 may be deposited to a thickness of about 5,000 Å to about 15,000 Å, depending on the size of the structure to be fabricated. An example of a low dielectric constant material that may be used as an interlayer dielectric material is Black Diamond™ dielectric commercially available from Applied Materials, Inc., of Santa Clara, Calif. Alternatively, the first dielectric layer may also comprise other low k dielectric material such as a low k polymer material including paralyne or a low k spin-on glass such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG).
  • As shown in FIG. 2B, the low k etch stop 114, which may be a silicon carbide material as described herein, is then deposited on the first dielectric layer. The etch stop may be deposited to a thickness between about 200 Å and about 1000 Å. The low k etch stop 114 may be deposited from the same precursors and by the same process as the silicon carbide barrier layer 110. The low k etch stop 114 may be treated as described herein for the silicon carbide barrier layer 110. A capping layer (not shown) may also be deposited on the low k etch stop 114 as described for the silicon carbide barrier layer 100 described herein.
  • The low k etch stop 114 may then pattern etched to define the contact/via openings 116 and to expose first dielectric layer 112 in the areas where the contacts/vias are to be formed as shown in FIG. 2C. Preferably, the low k etch stop 114 is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. While not shown, a nitrogen-free silicon carbide or silicon oxide cap layer between about 100 Å and about 500 Å thick may be deposited on the etch stop 114 prior to depositing further materials.
  • After the low k etch stop 114 has been etched to pattern the contacts/vias and the resist has been removed, a second dielectric layer 118 of silicon oxycarbide is deposited. The second dielectric layer may be deposited to a thickness between about 5,000 and about 15,000 Å as shown in FIG. 2D. The second dielectric layer 118 may be deposited as described for the first dielectric layer 112 as well as comprise the same materials used for the first dielectric layer 112. The first and second dielectric layer 118 may also be treated as described herein for silicon carbide barrier layer 110.
  • In an alternative embodiment, a nitrogen-free silicon carbide or silicon oxide cap layer may be deposited on second dielectric layer 118 prior to depositing additional materials, such as resist materials. Such a layer may be deposited between about 100 Å and about 500 Å thick. In a further alternative embodiment, a silicon carbide cap layer (not shown) may be deposited from the same precursors are by the same process as the silicon carbide barrier layer 110 on the second dielectric layer 118 prior to depositing additional materials, such as resist materials.
  • A resist material 122 is then deposited on the second dielectric layer 118 (or optional ARC layer or passivation layer as described with regard to FIG. 1) and patterned preferably using conventional photolithography processes to define the copper material 120 interconnect lines as shown in FIG. 2E. The resist material 122 comprises a material conventionally known in the art, preferably a high activation energy resist, such as UV-5, commercially available from Shipley Company Inc., of Marlborough, Mass. The interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in FIG. 2F. Any resist or other material used to pattern the etch stop 114 or the second dielectric layer 118 is removed using an oxygen strip or other suitable process.
  • The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 mΩ-cm compared to 3.1 mΩ-cm for aluminum). Preferably, as shown in FIG. 2G, a suitable barrier layer 124 for copper, such as tantalum or tantalum nitride, is first deposited conformally in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper 126 is deposited using chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in FIG. 2H.
  • Apparatus
  • FIG. 3 is a plan view of one embodiment of a semiconductor tandem-chamber processing system 300 in which embodiments of the invention may be used to advantage. The arrangement and combination of chambers may be altered for purposes of performing specific fabrication process steps. Sources of the ultraviolet radiation may be disposed on various locations of the processing tool.
  • The tandem-chamber processing system 300 is a self-contained system having the necessary processing utilities supported on a mainframe structure 301 which can be easily installed and which provides a quick start up for operation. The tandem-chamber processing system 300 generally includes four different regions, namely, a front end staging area 302 where substrate cassettes 309 are supported and substrates are loaded into and unloaded from a loadlock chamber 312, a transfer chamber 311 housing a substrate handler 313, a series of tandem-processing chambers 306 mounted on the transfer chamber 311 and a back end 338 which houses the support utilities needed for operation of the tandem-chamber processing system 300, such as a gas panel 303, and the power distribution panel 305 for RF power generators 307. The tandem processing chambers include two processing regions 318 for processing substrates. The system can be adapted to accommodate various processes and supporting chamber hardware such as CVD, PVD, etch, and the like.
  • Sources of ultraviolet radiation 340, 342 may be disposed on the tandem-processing chambers 306 or the loadlock chamber 312 to integrate with a system processing regime. Alternatively, a source of ultraviolet radiation may be used in the place of one of the tandem-tandem-processing chambers 306. Further, the source of ultraviolet radiation may be position ex situ of the tandem-chamber processing system 300. The source of ultraviolet radiation may be an ultraviolet lamp, an ultraviolet laser, an ultraviolet electron beam, an ultraviolet imaging system, such as a DUV resist imaging system, or other form of ultraviolet radiation emitter.
  • The above apparatus is one embodiment of a Producer™ processing system, commercially available from Applied Materials, Inc., of Santa Clara Calif., suitable for chemical vapor deposition of materials, such as the silicon carbide materials described herein. The plan-view in FIG. 3, is provided for illustrative purposes, and FIG. 3 and the corresponding description should not be interpreted or construed as limiting the scope of the invention. An example of the processing described herein is further detailed in commonly owned U.S. Pat. No. 6,591,850, issued on Jul. 15, 2003, which is incorporated by reference to the extent not inconsistent with the disclosure and claimed aspects herein.
  • While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (30)

1. A method for processing a substrate, comprising:
depositing a silicon carbide dielectric layer on a substrate surface; and
curing the silicon carbide dielectric layer with ultra-violet curing radiation.
2. The method of claim 1, wherein the silicon carbide dielectric layer comprises a nitrogen doped silicon carbide layer deposited by a method comprising:
introducing a processing gas comprising a nitrogen containing compound and an oxygen-free organosilicon compound into a processing chamber; and
reacting the processing gas by a plasma enhanced process.
3. The method of claim 2, wherein the nitrogen containing compound comprises ammonia, a silazane, a mixture of hydrogen and nitrogen gas, or combinations thereof.
4. The method of claim 1, wherein the silicon carbide dielectric layer comprises an oxygen doped silicon carbide layer deposited by a method comprising:
introducing a processing gas comprising a carbon and oxygen containing compound and an oxygen-free organosilicon compound into a processing chamber; and
reacting the processing gas by a plasma enhanced process.
5. The method of claim 4, wherein the carbon and oxygen containing compound comprises carbon dioxide and the oxygen-free organosilicon compound comprises trimethylsilane.
6. The method of claim 1, wherein the silicon carbide dielectric layer comprises a phenyl containing silicon carbide layer deposited by a method comprising:
introducing a processing gas comprising a phenyl containing organosilicon compound and hydrogen gas; and
reacting the processing gas by a plasma enhanced process.
7. The method of claim 6, wherein the phenyl containing organosilicon compound comprise dimethylphenylsilane.
8. The method of claim 1, wherein the curing the first dielectric layer comprises applying ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm to the first dielectric layer for a period between about 10 seconds and about 600 seconds.
9. A method for processing a substrate, comprising:
introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber;
reacting the processing gas to deposit a first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5; and
curing the first dielectric layer with ultra-violet curing radiation.
10. The method of claim 9, further comprising depositing a second dielectric layer adjacent the first dielectric layer, wherein the second dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less.
11. The method of claim 10, further comprising depositing a resist material on the second dielectric layer.
12. The method of claim 9, wherein the nitrogen containing compound comprises nitrogen gas, ammonia, a silazane, or combinations thereof, and the organosilicon compound comprises methylsilanes, phenylsilanes, or combinations thereof.
13. The method of claim 9, wherein the curing the first dielectric layer comprises applying ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm to the first dielectric layer for a period between about 10 seconds and about 600 seconds.
14. The method of claim 13, wherein the curing the first dielectric layer further comprises introducing a processing gas selected from the group of oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, a hydrocarbon gas, a fluorocarbon gas, a fluorinated hydrocarbon gas, or combinations thereof.
15. A method for processing a substrate, comprising:
depositing a nitrogen-doped dielectric layer on the substrate;
curing the nitrogen-doped dielectric layer with ultra-violet radiation;
depositing a dielectric layer comprising at least silicon and carbon on the nitrogen-doped dielectric layer;
depositing a resist on the dielectric layer comprising at least silicon and carbon.
16. The method of claim 15, wherein the dielectric layer comprising at least silicon and carbon comprises an oxygen-doped silicon carbide layer or a phenyl containing silicon carbide layer.
17. The method of claim 15, wherein the dielectric layer comprising at least silicon and carbon comprises silicon, oxygen, and carbon.
18. The method of claim 17, further comprising:
patterning and etching the resist layer to expose the dielectric layer comprising at least silicon and carbon; and then
etching the dielectric layer comprising silicon, oxygen, and carbon, to form at least a portion of a damascene definition.
19. The method of claim 18, further comprising depositing one or more conductive materials in the damascene definition to form a damascene structure.
20. The method of claim 16, further depositing a dielectric layer comprising silicon, oxygen, and carbon on the dielectric layer comprising at least silicon and carbon.
21. The method of claim 15, wherein the depositing the nitrogen-doped dielectric layer on the substrate, the treating the surface of the dielectric layer comprising silicon and carbon by exposing the nitrogen-doped dielectric layer to the ultra-violet curing technique, and the depositing the dielectric layer comprising at least silicon and carbon on the nitrogen-doped dielectric layer are performed in situ.
22. The method of claim 15, wherein the nitrogen-doped dielectric layer is deposited by a method comprising:
introducing a processing gas comprising a nitrogen containing compound and an organosilicon compound into a processing chamber; and
reacting the processing gas to deposit the first dielectric layer, wherein the first dielectric layer comprises silicon, carbon, and nitrogen, and has a dielectric constant less than 5.
23. The method of claim 22, wherein the nitrogen containing compound comprises ammonia, a silazane, a mixture of hydrogen and nitrogen gas, or combinations thereof and the organosilicon compound comprises methylsilanes, phenylsilanes, or combinations thereof, and the processing gas further comprises an inert gas selected from the group of argon, helium, and combinations thereof.
24. The method of claim 13, wherein the curing the nitrogen doped dielectric layer comprises applying ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm to the first dielectric layer for a period between about 10 seconds and about 600 seconds.
25. The method of claim 20, wherein the curing the nitrogen doped dielectric layer comprises introducing a processing selected from the group of oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, a hydrocarbon gas, a fluorocarbon gas, a fluorinated hydrocarbon gas, and combinations thereof.
26. An apparatus for processing a substrate comprising:
a tandem-process chamber; and
a source of ultraviolet radiation disposed on the tandem-processing chamber.
27. The apparatus of claim 26, wherein the source of ultraviolet radiation comprises an ultraviolet lamp, an ultraviolet laser, an ultraviolet electron beam, or an ultraviolet imaging system.
28. The apparatus of claim 26, wherein the source of ultraviolet radiation provides ultraviolet radiation between about 0.1 milliWatts/cm2 and about 1 watts/cm2 at between about 100 nm and about 400 nm.
29. The apparatus of claim 26, wherein the tandem-process chamber comprises two processing regions.
30. The apparatus of claim 26, wherein the tandem-process chamber is coupled to a transfer chamber, and the transfer chamber is coupled to a loadlock chamber and is coupled to a backend comprising a gas panel, a power distribution panel, and a RF power generator.
US11/123,265 2004-05-06 2005-05-05 Process and apparatus for post deposition treatment of low k dielectric materials Abandoned US20050250346A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/123,265 US20050250346A1 (en) 2004-05-06 2005-05-05 Process and apparatus for post deposition treatment of low k dielectric materials
US11/923,233 US7910897B2 (en) 2004-05-06 2007-10-24 Process and apparatus for post deposition treatment of low dielectric materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56937304P 2004-05-06 2004-05-06
US11/123,265 US20050250346A1 (en) 2004-05-06 2005-05-05 Process and apparatus for post deposition treatment of low k dielectric materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/923,233 Division US7910897B2 (en) 2004-05-06 2007-10-24 Process and apparatus for post deposition treatment of low dielectric materials

Publications (1)

Publication Number Publication Date
US20050250346A1 true US20050250346A1 (en) 2005-11-10

Family

ID=35239989

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/123,265 Abandoned US20050250346A1 (en) 2004-05-06 2005-05-05 Process and apparatus for post deposition treatment of low k dielectric materials
US11/923,233 Expired - Fee Related US7910897B2 (en) 2004-05-06 2007-10-24 Process and apparatus for post deposition treatment of low dielectric materials

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/923,233 Expired - Fee Related US7910897B2 (en) 2004-05-06 2007-10-24 Process and apparatus for post deposition treatment of low dielectric materials

Country Status (1)

Country Link
US (2) US20050250346A1 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US20070141855A1 (en) * 2003-03-07 2007-06-21 Applied Materials, Inc. Methods of modifying interlayer adhesion
US20070197034A1 (en) * 2006-02-02 2007-08-23 Elpida Memory Inc. Semiconductor device having a sac through-hole
US20070281497A1 (en) * 2006-06-01 2007-12-06 Applied Materials, Inc. Method to mitigate impact of uv and e-beam exposure on semiconductor device film properties by use of a bilayer film
US20080070421A1 (en) * 2006-09-20 2008-03-20 Ping Xu Bi-layer capping of low-k dielectric films
US20080093709A1 (en) * 2006-10-20 2008-04-24 Renesas Technology Corp. Manufacturing method of semiconductor device and semiconductor device
US20090029066A1 (en) * 2007-07-25 2009-01-29 Tokyo Electron Limited Film forming method for a semiconductor
US20090087969A1 (en) * 2007-09-28 2009-04-02 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090302433A1 (en) * 2005-12-20 2009-12-10 Tokyo Electron Limited Method for modifying high-k dielectric thin film and semiconductor device
US20100096564A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US20100149294A1 (en) * 2006-03-03 2010-06-17 Silverbrook Research Pty Ltd Inkjet printer with elongate nozzle array supplied through pulse damped conduits
US20100221671A1 (en) * 2006-03-03 2010-09-02 Silverbrook Research Pty Ltd Printhead integrated circuit attachment film
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20100277559A1 (en) * 2007-03-21 2010-11-04 Silverbrook Research Pty Ltd Printer with high flowrate ink filter
CN102290351A (en) * 2010-06-18 2011-12-21 富士通半导体股份有限公司 Semiconductor device manufacturing method
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20120196450A1 (en) * 2005-05-26 2012-08-02 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8500244B2 (en) 2006-03-03 2013-08-06 Zamtec Ltd Printhead support structure with cavities for pulse damping
US8753989B2 (en) 2005-05-26 2014-06-17 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
CN104425444A (en) * 2013-08-22 2015-03-18 台湾积体电路制造股份有限公司 Semiconductor Devices and Methods of Manufacture Thereof
US9953827B2 (en) 2015-09-23 2018-04-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device having dielectric layer and related system
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US20190181215A1 (en) * 2017-12-07 2019-06-13 Globalfoundries Inc. On-chip resistors with direct wiring connections

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2888663B1 (en) * 2005-07-13 2008-04-18 Soitec Silicon On Insulator METHOD OF REDUCING THE ROUGHNESS OF A THICK LAYER OF INSULATION
JP5230542B2 (en) * 2009-06-22 2013-07-10 パナソニック株式会社 Manufacturing method of semiconductor device
US10186454B2 (en) * 2017-06-15 2019-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having etch stop layer and method of forming the same
CN109896311B (en) * 2019-03-19 2021-01-15 浙江英特沃斯科技有限公司 Heat conduction polymer combined material is with conveyer that has stable structure

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906042A (en) * 1995-10-04 1999-05-25 Prolinx Labs Corporation Method and structure to interconnect traces of two conductive layers in a printed circuit board
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US20030102491A1 (en) * 2001-12-05 2003-06-05 Neng-Hui Yang Bilayer silicon carbide based barrier
US20030139035A1 (en) * 2001-12-14 2003-07-24 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US20040192058A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983039A (en) 1975-03-03 1976-09-28 Fusion Systems Corporation Non-symmetrical reflector for ultraviolet curing
US4135098A (en) 1976-11-05 1979-01-16 Union Carbide Corporation Method and apparatus for curing coating materials
US4411931A (en) 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
DE4010190A1 (en) 1990-03-30 1991-10-02 Asea Brown Boveri RADIATION DEVICE
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
DE4231367A1 (en) * 1992-09-18 1994-03-24 Heraeus Noblelight Gmbh Reactor device
GB2284469B (en) 1993-12-01 1997-12-03 Spectral Technology Limited Lamp assembly
JPH07268612A (en) 1994-03-29 1995-10-17 Sumitomo Electric Ind Ltd Formation of oxide thin film
US5440137A (en) 1994-09-06 1995-08-08 Fusion Systems Corporation Screw mechanism for radiation-curing lamp having an adjustable irradiation area
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US7070590B1 (en) * 1996-07-02 2006-07-04 Massachusetts Institute Of Technology Microchip drug delivery devices
GB2315850B (en) 1996-08-02 2000-10-04 Spectral Technology Limited Lamp assembly
US5885751A (en) 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6013330A (en) 1997-02-27 2000-01-11 Acushnet Company Process of forming a print
US6264802B1 (en) 1997-06-23 2001-07-24 Alexandr Semenovich Kamrukov Method and device for UV treatment of liquids, air and surfaces
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6201219B1 (en) 1998-02-25 2001-03-13 Micron Technology, Inc. Chamber and cleaning process therefor
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6178973B1 (en) 1998-07-28 2001-01-30 International Business Machines Corporation Method and apparatus for ozone generation and surface treatment
US6537461B1 (en) 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
SG82591A1 (en) 1998-12-17 2001-08-21 Eriston Technologies Pte Ltd Bumpless flip chip assembly with solder via
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US6734120B1 (en) 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6265830B1 (en) 1999-03-19 2001-07-24 Nordson Corporation Apparatus and method for supplying a regulated current to a magnetron filament
US6406836B1 (en) 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
JP4176236B2 (en) * 1999-06-07 2008-11-05 東京エレクトロン株式会社 Method and apparatus for measuring light quantity of ultraviolet lamp in processing apparatus
US6631726B1 (en) 1999-08-05 2003-10-14 Hitachi Electronics Engineering Co., Ltd. Apparatus and method for processing a substrate
US6259072B1 (en) 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6503693B1 (en) 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
GB2360084B (en) 2000-03-08 2004-04-21 Nordson Corp Lamp assembly
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
CN1224074C (en) 2000-04-07 2005-10-19 诺德森公司 Microwave excited ultraviolet lamp system with improved lamp cooling
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6319809B1 (en) 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6323601B1 (en) 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
US6380270B1 (en) 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6623133B1 (en) 2000-10-31 2003-09-23 Nordson Corporation Ultraviolet lamp retainer
US6559460B1 (en) 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6504379B1 (en) 2000-11-16 2003-01-07 Fluke Networks, Inc. Cable assembly
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
GB2407370B (en) 2001-02-27 2005-07-06 Nordson Corp Lamp assembly
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6732451B2 (en) 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6597003B2 (en) 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US6585908B2 (en) 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
US20030015223A1 (en) 2001-07-17 2003-01-23 American Air Liquide, Inc. Methods of cleaning containers using ozone compositions
JP3990881B2 (en) 2001-07-23 2007-10-17 株式会社日立製作所 Semiconductor manufacturing apparatus and cleaning method thereof
US20030020027A1 (en) 2001-07-25 2003-01-30 Nordson Corporation Apparatus for infrared reduction in ultraviolet radiation generators
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6593699B2 (en) 2001-11-07 2003-07-15 Axcelis Technologies, Inc. Method for molding a polymer surface that reduces particle generation and surface adhesion forces while maintaining a high heat transfer coefficient
US6605484B2 (en) 2001-11-30 2003-08-12 Axcelis Technologies, Inc. Process for optically erasing charge buildup during fabrication of an integrated circuit
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030111438A1 (en) 2001-12-18 2003-06-19 Mukai Kevin M. Process operation supplementation with oxygen
DE10241330A1 (en) 2002-02-20 2003-09-04 Geesthacht Gkss Forschung X-ray reflector for extreme ultraviolet lithography exposure system for semiconductor manufacture, comprises multilayer structure with alternating layers of lanthanum and boron compounds
GB2387449B (en) 2002-04-08 2006-06-07 Nordson Uv Ltd Lamp control system
US6664737B1 (en) 2002-06-21 2003-12-16 Axcelis Technologies, Inc. Dielectric barrier discharge apparatus and process for treating a substrate
US6657205B1 (en) 2002-07-17 2003-12-02 Vast Light Ltd. Turbine-boosted ultraviolet-radiation sterilizing fluid processor
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US6894299B2 (en) 2002-10-03 2005-05-17 Nordson Corporation Apparatus and method for treating products with ultraviolet light
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US6987269B2 (en) 2002-12-16 2006-01-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
US6952082B2 (en) 2003-01-31 2005-10-04 Nordson Corporation Microwave excited ultraviolet lamp system with single electrical interconnection
US6933683B2 (en) 2003-02-27 2005-08-23 Nordson Corporation Microwave powered lamphead having external shutter
US6893985B2 (en) 2003-03-31 2005-05-17 Intel Corporation UV-activated dielectric layer
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6905230B2 (en) 2003-08-18 2005-06-14 Nordson Corporation UV lamp retainer system
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
JP3972126B2 (en) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 Ultraviolet generation source, ultraviolet irradiation processing apparatus and semiconductor manufacturing apparatus
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7081638B1 (en) 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
US20060165904A1 (en) 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
KR101359562B1 (en) * 2005-07-08 2014-02-07 넥스젠 세미 홀딩 인코포레이티드 Apparatus and method for controlled particle beam manufacturing
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7589336B2 (en) 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20070295012A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5906042A (en) * 1995-10-04 1999-05-25 Prolinx Labs Corporation Method and structure to interconnect traces of two conductive layers in a printed circuit board
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6511909B1 (en) * 1998-02-11 2003-01-28 Applied Materials, Inc. Method of depositing a low K dielectric with organo silane
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US20030102491A1 (en) * 2001-12-05 2003-06-05 Neng-Hui Yang Bilayer silicon carbide based barrier
US20030139035A1 (en) * 2001-12-14 2003-07-24 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040192058A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7960294B2 (en) 2003-03-07 2011-06-14 Applied Materials, Inc. Method of modifying interlayer adhesion
US8569166B2 (en) 2003-03-07 2013-10-29 Applied Materials, Inc. Methods of modifying interlayer adhesion
US7563728B2 (en) 2003-03-07 2009-07-21 Applied Materials, Inc. Methods of modifying interlayer adhesion
US20070141855A1 (en) * 2003-03-07 2007-06-21 Applied Materials, Inc. Methods of modifying interlayer adhesion
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US20120196450A1 (en) * 2005-05-26 2012-08-02 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure
US8753989B2 (en) 2005-05-26 2014-06-17 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
KR101019799B1 (en) * 2005-12-20 2011-03-04 도쿄엘렉트론가부시키가이샤 Method for modifying highly dielectric thin film
US7867920B2 (en) * 2005-12-20 2011-01-11 Tokyo Electron Limited Method for modifying high-k dielectric thin film and semiconductor device
US20090302433A1 (en) * 2005-12-20 2009-12-10 Tokyo Electron Limited Method for modifying high-k dielectric thin film and semiconductor device
US20070197034A1 (en) * 2006-02-02 2007-08-23 Elpida Memory Inc. Semiconductor device having a sac through-hole
US20100149294A1 (en) * 2006-03-03 2010-06-17 Silverbrook Research Pty Ltd Inkjet printer with elongate nozzle array supplied through pulse damped conduits
US8500244B2 (en) 2006-03-03 2013-08-06 Zamtec Ltd Printhead support structure with cavities for pulse damping
US20100221671A1 (en) * 2006-03-03 2010-09-02 Silverbrook Research Pty Ltd Printhead integrated circuit attachment film
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US20070281497A1 (en) * 2006-06-01 2007-12-06 Applied Materials, Inc. Method to mitigate impact of uv and e-beam exposure on semiconductor device film properties by use of a bilayer film
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20100022100A1 (en) * 2006-09-20 2010-01-28 Applied Materials, Inc. Bi-layer capping of low-k dielectric films
US20080070421A1 (en) * 2006-09-20 2008-03-20 Ping Xu Bi-layer capping of low-k dielectric films
US7598183B2 (en) 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
JP2008103586A (en) * 2006-10-20 2008-05-01 Renesas Technology Corp Method of manufacturing semiconductor device and semiconductor device
US20080093709A1 (en) * 2006-10-20 2008-04-24 Renesas Technology Corp. Manufacturing method of semiconductor device and semiconductor device
US20100277559A1 (en) * 2007-03-21 2010-11-04 Silverbrook Research Pty Ltd Printer with high flowrate ink filter
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
US20090029066A1 (en) * 2007-07-25 2009-01-29 Tokyo Electron Limited Film forming method for a semiconductor
US20090087969A1 (en) * 2007-09-28 2009-04-02 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US7754588B2 (en) 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
WO2009045718A1 (en) * 2007-09-28 2009-04-09 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20100096564A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US8338809B2 (en) 2008-10-21 2012-12-25 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
CN102290351A (en) * 2010-06-18 2011-12-21 富士通半导体股份有限公司 Semiconductor device manufacturing method
US8716148B2 (en) * 2010-06-18 2014-05-06 Fujitsu Semiconductor Limited Semiconductor device manufacturing method
US20110312191A1 (en) * 2010-06-18 2011-12-22 Fujitsu Semiconductor Limited Semiconductor device manufacturing method
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
CN104425444A (en) * 2013-08-22 2015-03-18 台湾积体电路制造股份有限公司 Semiconductor Devices and Methods of Manufacture Thereof
US9153538B2 (en) * 2013-08-22 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9953827B2 (en) 2015-09-23 2018-04-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device having dielectric layer and related system
US20190181215A1 (en) * 2017-12-07 2019-06-13 Globalfoundries Inc. On-chip resistors with direct wiring connections
US10566411B2 (en) * 2017-12-07 2020-02-18 Globalfoundries Inc. On-chip resistors with direct wiring connections

Also Published As

Publication number Publication date
US7910897B2 (en) 2011-03-22
US20080042077A1 (en) 2008-02-21

Similar Documents

Publication Publication Date Title
US7910897B2 (en) Process and apparatus for post deposition treatment of low dielectric materials
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US7151053B2 (en) Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
US6790788B2 (en) Method of improving stability in low k barrier layers
US7459404B2 (en) Adhesion improvement for low k dielectrics
US7229911B2 (en) Adhesion improvement for low k dielectrics to conductive materials
US6913992B2 (en) Method of modifying interlayer adhesion
US7507677B2 (en) Removable amorphous carbon CMP stop
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
WO2004104698A2 (en) Dielectric materials to prevent photoresist poisoning

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SCHMITT, FRANCIMAR C.;REEL/FRAME:016540/0317

Effective date: 20050504

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION