US20050236109A1 - Plasma etching apparatus and plasma etching method - Google Patents

Plasma etching apparatus and plasma etching method Download PDF

Info

Publication number
US20050236109A1
US20050236109A1 US11/156,477 US15647705A US2005236109A1 US 20050236109 A1 US20050236109 A1 US 20050236109A1 US 15647705 A US15647705 A US 15647705A US 2005236109 A1 US2005236109 A1 US 2005236109A1
Authority
US
United States
Prior art keywords
temperature
plasma
plate
processing chamber
sample
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/156,477
Inventor
Toshio Masuda
Kazue Takahashi
Mitsuru Suehiro
Tetsunori Kaji
Saburo Kanai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP05747295A external-priority patent/JP3257328B2/en
Application filed by Individual filed Critical Individual
Priority to US11/156,477 priority Critical patent/US20050236109A1/en
Publication of US20050236109A1 publication Critical patent/US20050236109A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32504Means for preventing sputtering of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Definitions

  • the present invention relates to a plasma etching apparatus and etching method and, more particularly, to a plasma etching apparatus and etching method suitable for forming a fine pattern in the semiconductor manufacture process.
  • the plasma etching apparatus is widely used in the fine processing processes, for example, such as film deposition, etching, and ashing.
  • the process by plasma etching performs the predetermined process by making processing gas introduced into the vacuum chamber (reactor) plasmatic by the plasma generation means, performing the fine processing by making it react on the surface of a semiconductor wafer, and discharging volatile reaction products.
  • reaction products deposited inside the reactor are peeled off, dust may be caused, resulting in deterioration of the element characteristics and reduction of the yield.
  • a dry etching apparatus for controlling and holding the temperature of each unit inside the reactor at a high temperature within a range of 150° C. to 300° C. (desirably from 200° C. to 250° C.) which is higher than the temperature at the etching stage of 150° C. or more with the accuracy of less than ⁇ 5° C. is described.
  • the temperature of each unit of the inner surface of the reactor is increased and controlled at a high value by heating like this, the deposited amount of plasma polymeric products on the inner surface of the reactor reduces, and the deposited amount of plasma polymeric products on a semiconductor wafer increases, and the selection improves.
  • a parallel plate type plasma etching apparatus in which a heating means for increasing and keeping the temperature so that reaction products generated by the plasma etching will not be deposited is installed on at least one of the clamp ring (workpiece holding means) and focus ring (plasma centralization means) is described.
  • a heating means a resistance heating element is used. Deposition of reaction products can be prevented by heating, so that peeling of reaction products and deposition of particles on the surface of a workpiece can be reduced.
  • the etching characteristic becomes very sensitive to the temperature of the inner wall surface and a problem arises that the reproducibility and reliability of the process are apt to reduce.
  • the aforementioned interaction between the plasma and the inner wall becomes very sensitive to a change in temperature.
  • SiO 2 is used as a material of the inner wall surface
  • a thermodynamic relationship between the etching rate by F atoms of SiO 2 and the wall temperature is reported (D. L. Flamm, et al., J. Appl. Phys., 50, p. 6211 (1979)), and when this relationship is applied to a temperature zone of more than 150° C., the etching rate rapidly increases exponentially when the wall temperature is between 200° C. and 250° C. or more.
  • the temperature control requires high accuracy such as ⁇ 5° C. max.
  • the inner wall surface is exposed to high density plasma, so that it is not easy to control the wall surface temperature with high accuracy in such a high temperature zone.
  • a temperature detection means and a heating means such as a heater and lamp are used for temperature control, though the temperature control mechanism and means are largely scaled.
  • reaction products are not deposited on the inner wall surface, so that the wall surface is etched and consumed by plasma. Therefore, it is necessary to periodically exchange the parts of the inner wall surface and an increase in the cost of expendable supplies results. Heating requires large energy, thus the high temperature zone is not desirable also from a viewpoint of energy consumption.
  • the same problem is imposed also by heating the ring around a wafer and the electrode.
  • the heating mechanism such as the resistance heating element makes the equipment constitution complex.
  • the ring and inner wall surface are etched and consumed by plasma even if deposition of reaction products can be prevented, there is the possibility that the constitution material itself will become a new dust source.
  • the parts of the ring and inner wall surface are consumed, it is necessary to periodically exchange them and the running cost of the equipment increases.
  • One method for solving such a problem is to protect the inner wall surface of the chamber by a surface coating layer of a polymer.
  • a plasma etching apparatus for keeping the temperature of the workpiece (article to be processed) holder higher than that of the wall surface of the chamber and forming a surface coating layer on the inner wall surface of the chamber is described. By catching and storing contaminant particles in a polymer film, remaining and storing of contaminants in the chamber due to reaction products can be reduced.
  • the purpose in this case is not to protect the wall surface but to catch contaminant particles. It is just described that the temperature for forming a surface coating layer on the inner wall surface of the chamber is lower than that of a workpiece (article to be processed) by more than 5° C. and the temperature range and control accuracy are not taken into account.
  • the pressure range is a high pressure range such as several hundreds mtorr (several tens Pa).
  • the deposition temperature of a film changes the composition and quality of the film and affects the film peeling strength and occurrence of foreign substances. It is expected that changing of the deposited film temperature results in occurrence of cracking and peeling due to repetition of thermal expansion and shrink and causes foreign substances and the temperature control accuracy is an important factor.
  • the present invention is designed to eliminate the difficulties mentioned above and an object of the present invention is to provide a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and deposition of reaction products.
  • the inventors have given diligent study to the aforementioned problems and as a result of it, found that when the inner wall surface temperature in the reactor is controlled to a temperature sufficiently lower than that of a wafer and a constant temperature within a pressure range of several Pa max. in the reactor, a strong coating film is formed on the inner wall surface. As a result of more detailed analysis, the inventors have acknowledged that this coating film is polymerized much more when the temperature at film forming time is lower, and when the temperature at film forming time is controlled constant, a solid layer structure is formed, accordingly the film surface is not peeled off and damaged and dust is not caused.
  • the inner wall surface temperature in the reactor is “sufficiently lower than that of a wafer and constant” means that the temperature is controlled with the accuracy of less than ⁇ 10° C. within a range lower than that of a wafer by 5° C. or more, desirably within a range lower by 20° C. or more.
  • the temperature of a wafer during processing is almost within a range from 100° C. to 110° C., it means that the temperature range is 100° C. or lower, desirably 80° C. or lower.
  • the present invention is designed on the basis of the aforementioned acknowledge and provides a plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, which is characterized in that the processing gas includes at least one kind of gas having a composition for forming a polymerized film by plasma discharge, and the processing gas is made plasmatic by plasma discharge in the processing chamber, and at least one part of the inner wall surface (or the surface of an internal component part) in contact with plasma in the processing chamber is controlled to a constant temperature which is sufficiently lower than that of a sample, and a strong polymerized film is formed on the inner wall surface of the processing chamber.
  • Another characteristic of the present invention is that the temperature of the inner wall surface for forming the aforementioned polymerized film is controlled with the accuracy of less than ⁇ 10° C. within a range lower than that of the sample by 5° C. or more, desirably within a range lower by 20° C. or more.
  • the processing pressure in the processing chamber is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
  • the member constituting the inner wall surface of the processing chamber for forming the aforementioned polymerized film has a structure that it can be easily exchanged.
  • the apparatus includes a process of controlling the growth of the aforementioned polymerized film formed on the inner wall surface of the processing chamber.
  • Still another characteristic of the present invention is that in the plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, the component part (or the inner wall surface) in contact with plasma in the processing chamber is structured so that the bias power is applied to at least one part of the component part, and the heat capacity thereof is made sufficiently small, and the surface area thereof is made smaller.
  • the temperature of the component part in contact with plasma in the processing chamber is adjusted within a range from 100° C. to 250° C., desirably from 150° C. to 200° C. and furthermore, the processing pressure is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
  • Another characteristic of the present invention is that the component part of the inner wall is ring-shaped and the surface area of the part in contact with plasma is 20% of the total area of the inner wall of the processing chamber or less.
  • Another characteristic of the present invention is that the component part in contact with plasma in the processing chamber, in which the bias power is applied to at least one part thereof is ring-shaped, and the thickness thereof is 6 mm or less, and the inner diameter thereof is more than the diameter of a sample
  • Still another characteristic of the present invention is that the plasma etching apparatus is structured so that an infrared absorber is formed in the neighborhood of the side of the component part of the inner wall which is in contact with plasma and the temperature of the part is remotely controlled by the infrared radiation means.
  • Another characteristic of the present invention is that the temperature of the part whose temperature is controlled by the aforementioned infrared radiation is controlled with the accuracy of less than ⁇ 10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C.,
  • the plasma generation apparatus is a magnetic field UHF band electromagnetic wave radiation and discharge system.
  • a part of processing gas is polymerized by plasma discharge and a surface coating layer is formed by polymer on the part of the inner wall of the processing chamber which is in contact with plasma or the surface of the part.
  • the temperature of the inner wall surface is set in a temperature zone lower than that of a wafer, as compared with a case that the temperature of the inner wall surface is set in a high temperature zone of 200° C. or more, the interaction between plasma and the inner wall surface is weak and not sensitive to a change in temperature. As a result, the reproducibility and reliability of the process hardly reduce for a long period of time and the accuracy of temperature control may be, for example, less than ⁇ 10° C. and can be realized comparatively easily without using a complicated mechanism for temperature control.
  • the whole part can be controlled in a high temperature zone without using a complicated mechanism such as a heater and lamp, so that excessive deposition of reaction products is controlled and an occurrence of foreign substances caused by peeling of reaction products can be reduced.
  • the surface area of the part is made smaller, the effect on the process can be controlled even if the temperature and surface condition are changed.
  • the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect the process.
  • the temperature of the component part in contact with plasma in the processing chamber can be controlled more actively with high accuracy in a high temperature zone using infrared radiation and gas heat transfer, so that excessive deposition of reaction products is controlled, and an occurrence of foreign substances caused by peeling of reaction products can be reduced, and the effect on the process also can be controlled by controlling changes in the temperature and surface condition.
  • the temperature is controlled with the accuracy of less than ⁇ 10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., as compared with a case that the temperature is set within a high temperature zone of about 250° C. or more, the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect even a finer process.
  • FIG. 1 is a cross sectional schematic diagram of a plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 2 is a drawing showing the temperature control method of a sample holder ring which is an embodiment of the present invention.
  • FIG. 3 is a drawing showing the temperature control method of a ring which is an embodiment of the present invention.
  • FIG. 4 is a drawing showing the temperature control method of a ring by an infrared lamp which is an embodiment of the present invention.
  • FIG. 5 is a drawing showing the temperature control method of a ring by a refrigerant which is an embodiment of the present invention.
  • FIG. 6 is a cross sectional schematic diagram of a magnetic field RIE plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 7 is a cross sectional schematic diagram of a parallel plate type plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 8 is a cross sectional schematic diagram of an inductive coupling type plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 9 is a graph showing the relationship between a number of processed wafers and the temperature of the inner cylinder or jacket of the processing chamber.
  • FIG. 1 shows an embodiment that the present invention is applied to a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system and is a cross sectional schematic diagram of the said plasma etching apparatus.
  • a processing chamber 100 is a vacuum vessel which can realize the degree of vacuum of about 10 ⁇ 6 Torr and the apparatus has an antenna 110 for radiating electromagnetic waves as a plasma generation means in an upper part of the processing chamber and a lower electrode 130 for loading a sample W such as a wafer in a lower part of the processing chamber.
  • the antenna 110 and the lower electrode 130 are installed opposite to each other in parallel.
  • a magnetic field forming means 101 comprising electromagnetic coils 101 A and 101 B and a yoke 101 C is installed around the processing chamber 100 and a magnetic field having a predetermined distribution and intensity is formed.
  • a jacket or inner cylinder 103 for controlling the temperature of the inner surface of the side wall is held in the exchangeable state.
  • a heat exchanging medium is circulated and supplied into the jacket 103 from a heat exchanging medium supply means 104 so as to control the temperature.
  • the temperature of the jacket is controlled with the accuracy of less than ⁇ 10° C. within a range from 0° C. to 100° C., desirably from 20° C. to 80° C.
  • the processing chamber 100 is evacuated by an evacuation system 106 connected to a vacuum chamber 105 and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
  • the processing chamber 100 and the vacuum chamber 105 are set at the grounding potential.
  • the surface treatment such as plasma resistant anodized aluminum may be carried out on the surface thereof as a thermally conductive nonmagnetic metallic material including no heavy metal, for example, such as aluminum.
  • the antenna 110 radiating electromagnetic waves comprises a disc electricity conductor 111 , a dielectric 112 , and a dielectric ring 113 and is held by a housing 114 which is a part of the vacuum vessel.
  • a plate 115 is installed on the surface of the side of the disc electricity conductor 111 which is in contact with plasma and a ring 116 is further installed on the periphery thereof.
  • Processing gas for performing the processes of etching of samples and film deposition is supplied from a gas supply means 117 at a predetermined flow rate and mixture ratio, controlled to a predetermined distribution via many holes provided in the disc electricity conductor 111 and the plate 115 , and supplied to the processing chamber 100 .
  • An antenna power source 121 and an antenna high frequency power source 122 are connected to the disc electricity conductor 111 respectively via filter systems 123 and 124 of the matching circuit and connected to the ground via a filter 125 .
  • the antenna power source 121 supplies power at a UHF band frequency desirably within a range from 300 MHz to 900 MHz and electromagnetic waves in the UHF band are radiated from the antenna 110 .
  • the antenna high frequency power source 122 applies the bias power, for example, at a low frequency of about 100 kHz or a high frequency within a range from several MHz to about 10 MHZ to the disc electricity conductor 111 , thus controls the reaction on the surface of the plate 115 in contact with the disc electricity conductor 111 .
  • the plate 115 Since the plate 115 is opposite to a wafer, it affects the process most greatly. However, since the bias power is applied to the surface so as to prevent reaction products from deposition, the equipment process is stabilized. Furthermore, for example, when high-purity silicon or carbon is used as a material of the plate 115 in oxide film etching using CF series gas, the F radical or CFx radical reaction on the surface of the plate 115 is controlled and the radical composition ratio is adjusted.
  • the distance between the under surface of the plate 115 and the wafer W (hereinafter, it is called the gap) is within a range from 30 mm to 150 mm, desirably from 50 mm to 120 mm.
  • the disc electricity conductor 111 is kept at a predetermined temperature by a temperature control means not shown in the drawing, that is, by a heat exchanging medium circulating through it and the surface temperature of the plate 115 in contact with the disc electricity conductor 111 is controlled.
  • the ring 116 is heated by the bias power from the antenna high frequency power source 122 and the temperature thereof is controlled. It will be described later in detail.
  • the lower electrode 130 is installed opposite to the antenna 110 .
  • a bias power source 141 for supplying bias power within a range from 400 kHz to 13.56 MHz is connected to the lower electrode 130 via a filter system 142 of the matching circuit, controls the bias power to be supplied to the sample W, and is connected to the ground via a filter 143 .
  • the lower electrode 130 loads and holds the sample W such as a wafer on the top thereof, that is, on the sample loading surface by an electrostatic chucking device 131 .
  • an electrostatic chucking dielectric layer (hereinafter, abbreviated to an electrostatic chucking film) is formed.
  • the electrostatic chucking device 131 applies a DC voltage within a range from several hundreds V to several kv by an electrostatic chucking DC power source 144 and a filter 145 so as to generate coulomb force acting between the sample W and the electrostatic chucking device 131 via the electrostatic chucking film and adsorbs and holds the sample W on the lower electrode 130 .
  • an electrostatic chucking film for example, an dielectric of aluminum oxide or of a mixture of aluminum oxide and titanium oxide is used.
  • the sample W is controlled by a temperature control means not shown in the drawing so that the surface temperature thereof is set to a predetermined temperature so as to control the surface reaction thereof.
  • a temperature control means not shown in the drawing so that the surface temperature thereof is set to a predetermined temperature so as to control the surface reaction thereof.
  • an inert gas for example, He gas which is set at a predetermined flow rate and pressure is supplied to enhance the thermal conductivity between the electrostatic chucking device 131 and the sample W.
  • a sample holder ring 132 is installed outside the sample W on the top of the electrostatic chucking device 131 .
  • ceramics such as SiC, carbon, silicone, or quartz is used.
  • the sample holder ring 132 is insulated from the electrostatic chucking device 131 by an insulator 133 such as alumina. Furthermore, by leaking and adding a part of the bias power from the bias power source 141 to the sample holder ring 132 via the insulator 133 , it is possible to adjust the application of the bias power to the sample holder ring 132 and control the reaction on the surface thereof.
  • the F radical or CFx radical reaction on the surface of the sample holder ring 132 is adjusted by the scavenging action of silicone and particularly the uniformity of etching on the periphery of a wafer can be improved.
  • the sample holder ring 132 is heated by the bias power and cooled by heat transfer gas, thus the temperature thereof is controlled. It will be described later in detail.
  • the plasma etching apparatus in this embodiment is structured as mentioned above and a concrete process, for example, when a silicon oxide film is to be etched using this plasma etching apparatus will be explained hereunder by referring to FIG. 1 .
  • the wafer W which is an object to be processed is transferred from a sample transfer mechanism not shown in the drawing into the processing chamber and loaded and chucked on the lower electrode 130 .
  • the height of the lower electrode is adjusted as required so as to be set to a predetermined gap.
  • the inside of the processing chamber 100 is evacuated by the evacuation system 106 .
  • gases necessary to the etching process of the sample W for example, C 4 F 8 and Ar are supplied to the processing chamber 100 from the plate 115 of the antenna 110 by the gas supply means 117 at a predetermined flow rate and mixture ratio, for example, at an Ar flow rate of 300 sccm and a C 4 F 8 flow rate of 9 sccm.
  • the processing chamber 100 is evacuated by the evacuation system 106 and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure, for example, 1 Pa.
  • a magnetic field of a predetermined distribution and intensity is formed by the magnetic field forming means 101 .
  • Electromagnetic waves in the UHF band are radiated from the antenna 110 by the antenna power source 121 and plasma P is generated in the processing chamber 100 by the interaction with the magnetic field.
  • the apparatus dissociates processing gas by this plasma P so as to generate radical ions and further performs the process such as etching to the wafer W by controlling the antenna high frequency power source 122 and the bias power source 141 .
  • the apparatus stops the supply of the power and processing gas and terminates the etching.
  • the plasma etching apparatus in this embodiment is structured as mentioned above and each unit in the reactor, particularly the inner surface of the side wall 103 and the ring 116 , and temperature control of the sample holder ring 132 and deposition control of reaction products will be explained in detail hereunder.
  • the side wall 103 will be explained by referring to FIG. 1 .
  • the jacket 103 is held inside the side wall 102 of the processing chamber 100 and the temperature can be controlled by a heat exchanging medium.
  • the inventors have experimented with an object of oxide film etching at a pressure of 2 Pa using a mixed gas series of C 4 F 8 and Ar as a processing gas and as a result of it, we have found that when the inner wall surface temperature in the reactor is controlled to a constant temperature which is sufficiently lower than the temperature (about 100° C.) of a wafer with the accuracy of less than ⁇ 10° C. within a range from 25° C. to 80° C., a strong coating film is formed on the inner wall surface.
  • a pressure range of several tens mtorr max. (several Pa max.) like this, ions of high energy increase, so that it can be considered that the ion assist effect in film deposition is increased and a tight film is formed.
  • the condition of a deposited film is such that when the side wall temperature is low, a fine and strong film is formed and when the side wall temperature is high, a slightly rough film is formed.
  • the composition (element density ratio) of a film deposited at a side wall temperature of each of 25° C., 50° C., and 80° C. has been analyzed by the XPS (X-ray photoelectron spectroscopy) and the following results have been obtained.
  • Side wall temperature C(%) F(96) CF ratio 25° C. 45.6 51.1 0.89 50° C. 43.9 53.8 0.82 80° C. 40.6 58.2 0.70
  • the temperature of the side wall surface is controlled with the accuracy of less than ⁇ 10° C., so that it is forecasted that internal stress caused by a temperature change is not generated during deposition of a film and the film structure becomes fine. It is confirmed that a solid layer structure is formed.
  • This film is very fine and strong and even when a film is deposited tentatively up to a thickness of about 200 microns in the deposition acceleration test, peeling of the film in the tape peeling test or in the friction test are not observed. Furthermore, this film is highly resistant to plasma and it is acknowledged that peeling and damage of the film surface are not observed even by the processing of plasma and no dust is caused.
  • the temperature of the inner wall surface of the reactor is controlled to a constant temperature which is sufficiently lower than the temperature of a wafer as mentioned above, a strong deposited film free of occurrence of internal thermal stress can be formed on the inner wall surface of the reactor.
  • This film is highly resistant to plasma and peeling of reaction products and adhesion of particles onto the sample surface are reduced, so that it acts as a protection film for the inner wall of the reactor. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced and the reduction of running cost results. Furthermore, since the side wall is protected by the deposited film, there is no need to use ceramics such as SiC which is highly resistant to plasma and the cost of parts can be reduced.
  • the side wall temperature is particularly controlled within a range from normal temperature to about 50° C., the energy for heating the side wall can be reduced, so that it is effective in energy conservation.
  • a thermally conductive metal including no heavy metals, for example, aluminum may be used as a material of the side wall.
  • the surface Since aluminum is exposed in the initial state free of a deposited film, there is the possibility that the surface will be damaged and deteriorated by plasma. To prevent it, the surface may be coated with a highly polymerized material. Or it is also possible, for example, to anodize the aluminum surface and then fill fine holes made by the anodizing process with a highly polymerized material. Needless to say, the hole filling process can be applied to other than the aluminum anodizing process. When a polymer film exists on the interface between the aluminum surface and the deposited film like this, an effect is produced that the adherence of the aluminum surface and the deposited film is increased and the deposited film is hardly peeled off. A film may be excessively deposited depending on the process. If this occurs, it is possible to execute plasma cleaning in a short time after the wafer processing so as to control film deposition and keep the film thickness constant.
  • the sample holder ring 132 controls the reaction on the surface thereof by application of the bias power, thus can make the etching characteristic particularly on the periphery of a wafer uniform.
  • the sample holder ring 132 is heated by the bias power in this case, it is necessary to control the applied bias power and temperature so as to control the reaction and deposition of a film on the surface thereof.
  • This embodiment will be explained by referring to the cross sectional view (half on the right) of the lower electrode 130 shown in FIG. 2 .
  • the lower electrode 130 holds the sample W by the electrostatic chucking device 131 .
  • the electrostatic chucking device 131 is insulated from the ground 135 by the insulator 134 .
  • the sample holder ring 132 is installed opposite to the electrostatic chucking device 131 via the insulator 133 , thus structured so that a part of the bias power supplied from the bias power source 141 is leaked and added.
  • the bias power to be applied can be adjusted by the thickness and material of the insulator 133 . By use of such a bias power application structure, there is no need to install a wiring structure to the sample holder ring 132 inside the lower electrode 130 and connect another bias power source to the sample holder ring 132 .
  • the electrostatic chucking device 131 is kept at a predetermined temperature by circulation of a temperature control heat medium (not shown in the drawing). Between the sample W and the surface of the electrostatic chucking device 131 , a flow path 136 of heat transfer gas (for example, He gas, etc.) is formed and the heat conduction is kept satisfactory by introduction of heat transfer gas. In this embodiment, flow 136 A and 136 B of heat transfer gas are also formed between the sample holder ring 132 , the insulator 133 , and the electrostatic chucking device 131 . A part of heat transfer gas for wafer cooling is introduced and the heat conduction at the contact is kept satisfactory.
  • a temperature control heat medium not shown in the drawing.
  • the heat conduction between the sample holder ring 132 and the electrostatic chucking device 131 kept at a predetermined temperature is kept satisfactory and the temperature of the sample holder ring 132 is kept stable.
  • the temperature change due to application of the bias power to the sample holder ring 132 is controlled and the surface reaction and sample processing characteristic in the sample holder ring 132 can be stabilized.
  • deposition of reaction products can be prevented by heating by the bias power and ion assist, so that peeling of reaction products and adhesion of particles onto the sample surface are reduced.
  • the surface reaction and temperature and deposition of a film can be controlled by a simple structure by application of the leakage bias power and the balance between heating by the bias power and cooling by heat transfer gas and long term stabilization of the process and reduction of foreign substances can be realized.
  • the heat conduction is assured by heat transfer gas.
  • another heat conduction means for example, such as a thermally conductive sheet may be used.
  • the antenna high frequency power source 122 is connected to the disc electricity conductor 111 and the bias power at about 100 kHz or within a range from several MHz to about 10 MHz is applied.
  • the temperature of the disc electricity conductor 111 is kept at a predetermined value by a heat exchanging medium. Therefore, the plate 115 in contact with the disc electricity conductor 111 is applied with the bias power and the surface temperature thereof is also controlled. Since the plate 115 is opposite to a wafer, it affects the process most greatly. However, when the bias power is applied to this surface so as to prevent reaction products from deposition and further the surface reaction by the scavenging action is used using high-purity silicone as a material of the plate, the process can be stabilized.
  • the ring 116 on the periphery of the plate 115 is heated by the bias power by the antenna high frequency power source 122 in the same way as with the plate 115 and moreover the heat capacity of the ring 116 is made smaller, thus the responsibility to temperature change is enhanced. This will be explained by referring to FIG. 3 .
  • FIG. 3 shows an embodiment showing the temperature control method for the ring 116 .
  • the ring 116 is structured so that the shape thereof is made thinner, and a part thereof covers the plate 115 , and the thermal contact with the dielectric ring 113 and the plate 115 is minimized.
  • the antenna high frequency power is applied to the plate 115 in this case, ions are pulled into the surface of the ring 116 in the direction of the arrow shown in the drawing by the bias power to the plate 115 .
  • a heating mechanism such a heater and lamp is not used in this embodiment, so that there is an advantage that the mechanism will not be complicated.
  • the width w of the part of the ring 116 to which the bias power is applied is set to, for example, 10 mm or more so that the part can be efficiently heated by the bias power.
  • the thickness of the ring 116 is set to, for example, 6 mm or less, desirably 4 mm or less so as to be validly heated by the bias power.
  • the heat capacity of the ring 115 is made smaller. As a result, the whole ring can be heated almost within a range from 100° C. to 250° C., desirably from 150° C. to 200° C. As a result, the deposition of reaction products is controlled and the occurrence of foreign substances due to peeling of reaction products can be reduced.
  • the change in surface reaction is not sensitive to the change in temperature compared with that in a high temperature zone of about 250° C. or more, so that there is an advantage that the temperature change in component parts can be made smaller to such a level that will not substantially affect the process.
  • the thickness of the ring 116 can be decided by the antenna bias power frequency, the material of the ring 116 , and the balance of the deposition speed of reaction products to the ring 116 so as to control the film deposition and prevent the ring surface from sputtering and consuming by ions. As shown in the drawing, it is possible to make the parts other than the part to be applied with the bias power thinner and make the heat capacity of the whole ring smaller. When the heat capacity of the ring 116 is made smaller like this, the responsibility is satisfactory in a short time at the initial stage of the process and the temperature rises, so that the effect on the processing characteristic is small. It is desirable that the inner diameter d of the ring 116 is larger than the diameter of a sample.
  • the width s of the ring is almost within a range from 50 mm to 70 mm and the surface area thereof is sufficiently small for the whole inner wall surface of the reactor, for example, such as 20% or less.
  • the surface area of parts is made smaller like this, even if the temperature and surface condition are changed, the effect on the process can be controlled.
  • the ring 116 is positioned on the periphery compared with a wafer, the effect on the process is made more smaller.
  • FIG. 4 shows an embodiment of a temperature control mechanism by heating of a lamp.
  • the dielectric ring 113 A is structured so that a part thereof can apply the bias power by the same structure 116 A as that of the ring 116 and furthermore, on the side of the dielectric ring 113 A close to plasma, an infrared absorber 151 for absorbing infrared light and far infrared light, for example, an aluminum thin film is formed. Infrared light and far infrared light are radiated from an infrared radiation means 152 , pass through an infrared transmission window 153 and the dielectric ring 113 A, are absorbed by the infrared absorber 151 , and heat the ring 116 .
  • the infrared absorber 151 can be remotely heated by infrared light, so that when the infrared absorber 151 is installed on the side of the dielectric ring 113 A close to plasma, the temperature of the surface of the dielectric ring 113 A exposed to plasma can be controlled with higher accuracy.
  • the heating mechanism uses absorption of infrared light, so that there is an advantage that the responsibility is better compared with heating by a heating resistor.
  • the dielectric ring 113 A is heated also by the bias power by the bias power application unit 116 A, so that the responsibility to temperature is improved.
  • the infrared radiation means 152 is installed in a holder 154 .
  • a gap is provided between the holder 154 and the dielectric ring 113 A and heat transfer gas for temperature control is supplied to the gap via a gas supply means 155 .
  • Heat transfer gas is sealed by vacuum sealing means 156 A and 156 B.
  • the dielectric ring 113 A radiates heat by this gas heat transfer via the holder 154 . Therefore, for example, by heating by the bias power and lamp at start of the process and radiating heat by gas heat transfer during the process, the accuracy of temperature control is improved. As a result, the temperature of the dielectric ring 113 A can be controlled with the accuracy of about ⁇ 5 to 10° C. almost within a range from 100° C.
  • the film deposition is reduced at this temperature, so that the occurrence of foreign substances due to peeling of a film is controlled.
  • the surface condition of the dielectric ring 113 A is in the region greatly dependent on the temperature, so that the surface condition is not changed and a plasma process which is stable over a long period is realized.
  • the film deposition is reduced by heating the ring 116 in contact with plasma and the dielectric ring 113 A.
  • the ring in contact with plasma is controlled to a constant temperature which is lower than the temperature of a wafer in the same way as with the inner surface of the side wall explained in FIG. 1 and a stable deposited film can be formed.
  • FIG. 5 shows this embodiment and the dielectric ring 113 B is controlled almost within a range from 20° C. to 100° C. under temperature control by a refrigerant.
  • a refrigerant for temperature control is supplied to a refrigerant flow path 161 installed in the dielectric ring 113 B from a heat exchanging medium supply means 162 .
  • the refrigerant is sealed by a sealing means 163 .
  • the temperature of the dielectric ring 113 B is kept at a predetermined value by a temperature controller and temperature detector which are not shown in the drawing.
  • the temperature of the dielectric ring 113 B can be kept almost within a range from 20° C. to 100° C. during plasma processing. Therefore, a stable and strong film of reaction products is deposited on the surface of the dielectric ring 113 B, so that the surface of the dielectric ring 113 B will not be etched and consumed.
  • the film may be kept at a constant thickness by concurrently using plasma cleaning.
  • electromagnetic waves to be radiated may be, for example, microwaves at 2.45 GHz or waves in the VHF band almost within a range from several tens MHz to 300 MHz in addition to the UHF band.
  • the magnetic field is not always necessary and, discharge of nonmagnetic field microwaves, for example, is acceptable.
  • the aforementioned embodiments can be applied to, for example, a magnetron type plasma etching apparatus using the magnetic field, a plasma etching apparatus of a parallel plate type capacitively coupled system, or an inductive coupling type plasma etching apparatus.
  • FIG. 6 shows an example that the present invention is applied to an RIE apparatus (a magnetron RIE apparatus or magnetically enhanced RIE apparatus).
  • the processing chamber 100 as a vacuum vessel has the side wall 102 , the lower electrode 130 for loading the sample W such as a wafer, and an upper electrode 201 to be grounded opposite to it and also has the gas supply means 117 for introducing predetermined gas into the vacuum vessel, the evacuation system 106 for decompressing and evacuating the vacuum vessel, an electric field generation means 203 for generating an electric field between the lower electrode and the upper electrode, and a magnetic field generation means 202 for generating a magnetic field inside the vacuum vessel.
  • the magnetic field generation means 202 has a plurality of permanent magnets or coils which are arranged in a ring-shape on the periphery of the processing chamber 100 and forms a magnetic field almost parallel to the electrodes inside the processing chamber.
  • the magnetic field generation means 202 makes processing gas plasmatic by the electric field generated between the electrodes, generates plasma P, and processes the sample W. Furthermore, in the magnetron RIE, a magnetic field is formed almost perpendicularly to the electric field by the magnetic field generation means 202 , so that the collision frequency between electrons and molecules and atoms in plasma increases, and the plasma density increases, and a high etching characteristic is obtained.
  • the jacket 103 for controlling the temperature of the inner surface of the side wall is held by the side wall 102 in the exchangeable state, and a heat exchanging medium is circulated and supplied into the jacket 103 from the heat exchanging medium supply means 104 , and the temperature of the jacket is controlled with the accuracy of less than ⁇ 10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C.
  • the jacket 103 comprises, for example, anodized aluminum.
  • the inner wall surface of the reactor can be controlled to a constant temperature which is sufficiently lower than the temperature of a wafer, so that a strong deposited film can be formed on the inner surface of the side wall of the reactor.
  • This film is highly resistant to plasma and acts as a protection film for the inner wall of the reactor and peeling of reaction products and adhesion of particles onto the sample surface are reduced. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced, and the reduction of running cost results, and there is no need to use ceramics such as SiC which is highly resistant to plasma, and the cost of parts can be reduced.
  • FIG. 7 shows an example that the present invention is applied to a parallel plate type plasma etching apparatus.
  • the processing chamber 100 as a vacuum vessel has the side wall 102 , the lower electrode 130 for loading the sample W such as a wafer, an upper electrode 210 opposite to it, and an electric field generation means 221 for supplying power to the upper electrode 210 and generating an electric field between the electrodes.
  • Predetermined processing gas is supplied into the processing chamber 100 by the gas supply means 117 and the vacuum vessel is decompressed and evacuated by the vacuum system 106 . Processing gas is made plasmatic by the electric field generated between the electrodes, and plasma P is generated, and the sample W is processed.
  • the upper electrode 210 is held by a housing 214 with an electrode plate 211 insulated by insulators 212 and 213 .
  • a plate 215 is installed on the side of the electrode plate 211 in contact with plasma and a shield ring 216 is installed on the periphery thereof.
  • the shield ring 216 protects the insulators 212 and 213 from plasma, simultaneously increases the plasma density by sealing the plasma P in the processing chamber 100 in the state that it is positioned opposite to the sample holder ring 132 , and obtains a high etching characteristic.
  • the temperature of the inner surface of the side wall 102 is controlled by the jacket 103 with the accuracy of less than ⁇ 10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., so that a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced.
  • the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced.
  • the shield ring 216 is structured so that the shape thereof is thin, and a part of the shield ring 216 covers the plate 115 , and the thermal contact with other parts is minimized.
  • the shield ring 216 is heated by ions due to the self bias power, and the deposition of reaction products is controlled, and the occurrence of foreign substances is reduced.
  • FIG. 8 shows an example that the present invention is applied to an inductively coupled type plasma etching apparatus.
  • the processing chamber 100 as a vacuum vessel has the side wall 102 , the lower electrode 130 for loading the sample W such as a wafer, and a top plate 230 and is decompressed and evacuated by the vacuum system 106 .
  • On the top of the top plate 230 inductive discharge coils 231 are arranged and high frequency power is supplied from a high frequency power source 232 .
  • Processing gas is supplied from the gas supply means 117 and made plasmatic by inductive discharge by the inductive discharge coils 231 , and plasma P is generated, and the sample W is processed.
  • silicone is used as a material of the top elate so as to stabilize the process and the interaction between plasma and the wall is controlled by a means, for example, a Faraday shield or a magnetic field, thus even if the temperature of the side wall is made lower than the temperature of a wafer, a high etching characteristic can be obtained stably.
  • the temperature of the inner surface of the side wall 102 is controlled by the jacket 103 with the accuracy of less than ⁇ 10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C.
  • a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced.
  • the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced.
  • the processing object is semiconductor wafers and the etching process for them is described.
  • the present invention is not limited to it and for example, it can be applied also to a case that the processing object is a liquid crystal board and the process itself is not limited to etching but the present invention can be applied also to, for example, the sputtering or CVD process.
  • the temperature of the inner cylinder or jacket may be detected indirectly, if it cannot be detected directly.
  • the following effects can be obtained by attaching a temperature detector to the inner cylinder or jacket.
  • a heater may be used as the temperature control function for the outer cylinder or side wall.
  • a temperature controlled liquid to the outer cylinder or side wall, it is possible to widen the temperature control range from a cooled state below room temperature to a heated state, and accordingly controllability of the inner cylinder or jacket temperature can be improved in this way.
  • application of the present invention is not limited to the case where a process is stabilized by maintaining the temperature of the inner cylinder or jacket to a preset value.
  • the present invention can be also applied to, for example, a case where an initial process change for a lot of wafers is corrected by intentionally changing the temperature of the inner cylinder or jacket at the initial stage of the lot. That is, it is possible to stabilize a process by improving the temperature controllability for the inner cylinder or jacket during processing of the wafers of the lot as illustrated in FIG. 9 .
  • the apparatus Before starting operation of the apparatus, it is checked to determine whether or not the temperature of the inner cylinder or jacket can be controlled to a desired temperature.
  • the inside of the process chamber is evacuated to a preset pressure by action of the vacuum pump.
  • the heater is operated.
  • the inner cylinder or jacket is heated by heat generation of the heater.
  • the temperature of the heated inner cylinder or jacket is directly or indirectly detected and controlled to a desired temperature. By doing so, it can be confirmed that the temperature of the inner cylinder or jacket can be controlled to the desired temperature. If the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature, operation of the heater is stopped. Then, the cause of the trouble is checked and repaired.
  • the temperature of the inner cylinder or jacket is monitored continuously or when required.
  • the monitored temperature is compared with a preset desired temperature, and the temperature of the inner cylinder or jacket is controlled to the desired temperature based on the result of the comparison.
  • the temperature control of the inner cylinder or jacket is performed.
  • plural wafers are continuously processed one by one.
  • the temperature of the inner cylinder or jacket is monitored while processing one wafer among them until processing for the all plural wafers is completed to control the temperature to the desired temperature.
  • the processing characteristic of the wafer cannot be maintained stable and the wafer processing is stopped. Then, an effort is made to solve the problem, and the successive process for plural wafers is re-started.
  • a cleaning process is performed for the inside of the process chamber.
  • the process is performed by wiping the inside surface of the process chamber, such as the surface of the inner cylinder or jacket, and the surfaces of parts arranged inside the process chamber, such as the sample table, or by utilizing a cleaning gas plasma.
  • the process is performed before a wafer processing, in the intervals between processings, or after completion of a wafer processing.
  • a discharge running-in (seasoning) process is performed for the inside of the process chamber.
  • the seasoning process is performed before starting a wafer processing at the beginning of a day, or during a period after completion of a cleaning processing and before starting of a wafer processing. In this case, it may be checked during the seasoning process whether the temperature of the inner cylinder or jacket can be controlled or not.
  • the wafer processing conditions include the quality of film to be processed, the kind of processing gas to be used, the condition of discharge, the type of discharge and so on.
  • wafer processing conditions are input to the controller of the processing apparatus from a higher level controlling unit or an operator.
  • the controller has received an indication of the temperature of the inner cylinder or jacket corresponding to each of the wafer processing conditions.
  • the temperature of the inner cylinder or jacket corresponding to the input wafer processing condition is selected and set as a control temperature.
  • a detected and monitored temperature of the inner cylinder or jacket is input to the controller. The detected and monitored temperatures are compared with the control temperature, and the temperature of the inner cylinder or jacket is controlled to the control temperature based on the result of comparison.
  • the temperature of the inner cylinder or jacket may be controlled to a temperature corresponding to that set for the quality of each film, the kind of process gas, the condition of discharge and so on. By doing so, the characteristic of plasma processing can be finely stabilized over time.
  • the temperature of the inner cylinder or jacket may be varied along a desired temperature pattern in order to make the processing performance uniform.
  • a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and the wall surface condition can be provided.

Abstract

A plasma processing apparatus includes a vacuum processing chamber, a plasma generating unit having a first power source, a gas supply unit, a lower electrode having a sample table surface for holding a sample in the vacuum processing chamber, and a vacuum pumping unit. The apparatus further includes a plate disposed at a position opposed to the sample table surface, a disc electricity conductor disposed in contact with the plate, a second power source for applying an RF frequency bias power to the disc electricity conductor, and a unit for controlling a temperature of the plate to a predetermined value. The plate is made of silicon or carbon at high purity, and the disc electricity conductor and the plate have a plurality of holes for introducing processing gas from the gas supply unit into the vacuum processing chamber.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation application of Ser. No. 10/617,019, filed Jul. 11, 2003, which is a continuation application of Ser. No. 09/983,946, filed Oct. 26, 2001, which is a continuation application of Ser. No. 09/421,044, filed Oct. 20, 1999, which is a divisional application of Ser. No. 09/227,332, filed Jan. 8, 1999, now U.S. Pat. No. 6,171,438, which is a continuation-in-part application of Ser. No. 08/611,758, filed Mar. 8, 1996, now U.S. Pat. No. 5,874,012, entitled “Plasma Processing Apparatus and Plasma Processing Method”, by some of the inventors herein, the subject matter of the aforementioned applications being incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to a plasma etching apparatus and etching method and, more particularly, to a plasma etching apparatus and etching method suitable for forming a fine pattern in the semiconductor manufacture process.
  • In the semiconductor manufacture process, the plasma etching apparatus is widely used in the fine processing processes, for example, such as film deposition, etching, and ashing. The process by plasma etching performs the predetermined process by making processing gas introduced into the vacuum chamber (reactor) plasmatic by the plasma generation means, performing the fine processing by making it react on the surface of a semiconductor wafer, and discharging volatile reaction products.
  • In this plasma etching process, the temperatures of the inner wall of the reactor and wafer and the deposition status of reaction products on the inner wall greatly affect the process. If reaction products deposited inside the reactor are peeled off, dust may be caused, resulting in deterioration of the element characteristics and reduction of the yield.
  • Therefore, in the plasma etching apparatus, to keep the process stable and control generation of foreign substances, it is important to control the temperature in the reactor and deposition of reaction products on the surface.
  • For example, in Japanese Patent Application Laid-Open 8-144072, for the purpose of improving the selection ratio in the dry etching process of a silicon oxide film, a dry etching apparatus for controlling and holding the temperature of each unit inside the reactor at a high temperature within a range of 150° C. to 300° C. (desirably from 200° C. to 250° C.) which is higher than the temperature at the etching stage of 150° C. or more with the accuracy of less than ±5° C. is described. When the temperature of each unit of the inner surface of the reactor is increased and controlled at a high value by heating like this, the deposited amount of plasma polymeric products on the inner surface of the reactor reduces, and the deposited amount of plasma polymeric products on a semiconductor wafer increases, and the selection improves.
  • In Japanese Patent Application Laid-Open 5-275385, a parallel plate type plasma etching apparatus in which a heating means for increasing and keeping the temperature so that reaction products generated by the plasma etching will not be deposited is installed on at least one of the clamp ring (workpiece holding means) and focus ring (plasma centralization means) is described. As a heating means, a resistance heating element is used. Deposition of reaction products can be prevented by heating, so that peeling of reaction products and deposition of particles on the surface of a workpiece can be reduced.
  • As mentioned above, in the plasma etching apparatus, it is important to control the temperature of the surface of the inner wall of the chamber and deposition of reaction products on the surface of the inner wall.
  • However, when the temperature of the inner wall surface of the chamber, particularly the temperature of the side wall surface having a wide area is set to a high value between 200° C. and 250° C. or more, the etching characteristic becomes very sensitive to the temperature of the inner wall surface and a problem arises that the reproducibility and reliability of the process are apt to reduce.
  • For example, in S. C. McNevin, et al., J. vac. Sci. Technol. B 15(2) March/April 1997, p. 21, Chemical challenge of submicron oxide etching', it is indicated that when the side wall temperature changes from 200° C. to 170° C. in inductive coupling plasma, the oxide film etching rate increases more than 5%. As a reason, it is inferred that since the side wall temperature lowers, much more carbon is adsorbed into the wall, and deposition of carbon on a wafer reduces, and the oxide film etching rate increases. As mentioned above, since high density plasma, particularly, performs a strong interaction with the inner wall of the reactor in the high temperature zone, deposition of reaction products on the inner wall surface and composition change of the surface proceed rapidly due to a change in the temperature balance inside the reactor and appear as a change in the etching characteristic.
  • Furthermore, in the high temperature zone, the aforementioned interaction between the plasma and the inner wall becomes very sensitive to a change in temperature. For example, when SiO2 is used as a material of the inner wall surface, a thermodynamic relationship between the etching rate by F atoms of SiO2 and the wall temperature is reported (D. L. Flamm, et al., J. Appl. Phys., 50, p. 6211 (1979)), and when this relationship is applied to a temperature zone of more than 150° C., the etching rate rapidly increases exponentially when the wall temperature is between 200° C. and 250° C. or more.
  • Therefore, in such a high temperature zone, the temperature control requires high accuracy such as ±5° C. max. However, the inner wall surface is exposed to high density plasma, so that it is not easy to control the wall surface temperature with high accuracy in such a high temperature zone. To realize it, a temperature detection means and a heating means such as a heater and lamp are used for temperature control, though the temperature control mechanism and means are largely scaled. Furthermore, in such a high temperature zone, reaction products are not deposited on the inner wall surface, so that the wall surface is etched and consumed by plasma. Therefore, it is necessary to periodically exchange the parts of the inner wall surface and an increase in the cost of expendable supplies results. Heating requires large energy, thus the high temperature zone is not desirable also from a viewpoint of energy consumption.
  • The same problem is imposed also by heating the ring around a wafer and the electrode. When the ring is heated to increase the temperature thereof, deposition of reaction products can be prevented, though the heating mechanism such as the resistance heating element makes the equipment constitution complex. When the ring and inner wall surface are etched and consumed by plasma even if deposition of reaction products can be prevented, there is the possibility that the constitution material itself will become a new dust source. Furthermore, when the parts of the ring and inner wall surface are consumed, it is necessary to periodically exchange them and the running cost of the equipment increases.
  • One method for solving such a problem is to protect the inner wall surface of the chamber by a surface coating layer of a polymer. For example, in Japanese Patent Application Laid-Open 7-312363, a plasma etching apparatus for keeping the temperature of the workpiece (article to be processed) holder higher than that of the wall surface of the chamber and forming a surface coating layer on the inner wall surface of the chamber is described. By catching and storing contaminant particles in a polymer film, remaining and storing of contaminants in the chamber due to reaction products can be reduced.
  • However, the purpose in this case is not to protect the wall surface but to catch contaminant particles. It is just described that the temperature for forming a surface coating layer on the inner wall surface of the chamber is lower than that of a workpiece (article to be processed) by more than 5° C. and the temperature range and control accuracy are not taken into account. The pressure range is a high pressure range such as several hundreds mtorr (several tens Pa). However, it is inferred that the deposition temperature of a film changes the composition and quality of the film and affects the film peeling strength and occurrence of foreign substances. It is expected that changing of the deposited film temperature results in occurrence of cracking and peeling due to repetition of thermal expansion and shrink and causes foreign substances and the temperature control accuracy is an important factor. Within a pressure range of several tens mtorr max. (several Pa max.), it is considered that the film deposition condition varies due to high ion energy and a longer mean free distance of molecules, Furthermore, in the aforementioned prior art, it is necessary to remove the coating layer catching contaminants from the wall surface of the plasma etching chamber and it directly affects the throughput of the equipment and the cost of expendable supplies. However, this respect is not taken into account.
  • SUMMARY OF THE INVENTION
  • The present invention is designed to eliminate the difficulties mentioned above and an object of the present invention is to provide a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and deposition of reaction products.
  • The inventors have given diligent study to the aforementioned problems and as a result of it, found that when the inner wall surface temperature in the reactor is controlled to a temperature sufficiently lower than that of a wafer and a constant temperature within a pressure range of several Pa max. in the reactor, a strong coating film is formed on the inner wall surface. As a result of more detailed analysis, the inventors have acknowledged that this coating film is polymerized much more when the temperature at film forming time is lower, and when the temperature at film forming time is controlled constant, a solid layer structure is formed, accordingly the film surface is not peeled off and damaged and dust is not caused.
  • In the above description, that the inner wall surface temperature in the reactor is “sufficiently lower than that of a wafer and constant” means that the temperature is controlled with the accuracy of less than ±10° C. within a range lower than that of a wafer by 5° C. or more, desirably within a range lower by 20° C. or more. When the temperature of a wafer during processing is almost within a range from 100° C. to 110° C., it means that the temperature range is 100° C. or lower, desirably 80° C. or lower.
  • On the other hand, in the reactor, there is a part or a component part where the control in the aforementioned low temperature zone is difficult. The inventors have given study also to such a part and as a result of it, found a method for controlling the temperature and deposition of reaction products on the surface without using a complicated heating mechanism such as a heating resistor.
  • The present invention is designed on the basis of the aforementioned acknowledge and provides a plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, which is characterized in that the processing gas includes at least one kind of gas having a composition for forming a polymerized film by plasma discharge, and the processing gas is made plasmatic by plasma discharge in the processing chamber, and at least one part of the inner wall surface (or the surface of an internal component part) in contact with plasma in the processing chamber is controlled to a constant temperature which is sufficiently lower than that of a sample, and a strong polymerized film is formed on the inner wall surface of the processing chamber.
  • Another characteristic of the present invention is that the temperature of the inner wall surface for forming the aforementioned polymerized film is controlled with the accuracy of less than ±10° C. within a range lower than that of the sample by 5° C. or more, desirably within a range lower by 20° C. or more.
  • Another characteristic of the present invention is that the processing pressure in the processing chamber is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
  • Another characteristic of the present invention is that the member constituting the inner wall surface of the processing chamber for forming the aforementioned polymerized film has a structure that it can be easily exchanged.
  • Another characteristic of the present invention is that the apparatus includes a process of controlling the growth of the aforementioned polymerized film formed on the inner wall surface of the processing chamber.
  • Still another characteristic of the present invention is that in the plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, the component part (or the inner wall surface) in contact with plasma in the processing chamber is structured so that the bias power is applied to at least one part of the component part, and the heat capacity thereof is made sufficiently small, and the surface area thereof is made smaller.
  • Another characteristic of the present invention is that the temperature of the component part in contact with plasma in the processing chamber is adjusted within a range from 100° C. to 250° C., desirably from 150° C. to 200° C. and furthermore, the processing pressure is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
  • Another characteristic of the present invention is that the component part of the inner wall is ring-shaped and the surface area of the part in contact with plasma is 20% of the total area of the inner wall of the processing chamber or less.
  • Another characteristic of the present invention is that the component part in contact with plasma in the processing chamber, in which the bias power is applied to at least one part thereof is ring-shaped, and the thickness thereof is 6 mm or less, and the inner diameter thereof is more than the diameter of a sample
  • Still another characteristic of the present invention is that the plasma etching apparatus is structured so that an infrared absorber is formed in the neighborhood of the side of the component part of the inner wall which is in contact with plasma and the temperature of the part is remotely controlled by the infrared radiation means.
  • Another characteristic of the present invention is that the temperature of the part whose temperature is controlled by the aforementioned infrared radiation is controlled with the accuracy of less than ±10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C.,
  • Still another characteristic of the present invention is that in the plasma etching apparatus, the plasma generation apparatus is a magnetic field UHF band electromagnetic wave radiation and discharge system.
  • According to the present invention, a part of processing gas is polymerized by plasma discharge and a surface coating layer is formed by polymer on the part of the inner wall of the processing chamber which is in contact with plasma or the surface of the part. By controlling the temperature of the inner wall surface of the reactor to a constant temperature sufficiently lower than that of a wafer, the polymerization of the coating layer proceeds and a solid layer structure can be formed. Therefore, the inner wall surface will not be etched and consumed by plasma, so that the frequency of part exchange of the inner wall surface can be reduced and the running cost can be decreased. Even if the coating layer is exposed to plasma, peeling and damage are not caused to the surface thereof because the film composition is dense, so that dust will not be caused.
  • Since the temperature of the inner wall surface is set in a temperature zone lower than that of a wafer, as compared with a case that the temperature of the inner wall surface is set in a high temperature zone of 200° C. or more, the interaction between plasma and the inner wall surface is weak and not sensitive to a change in temperature. As a result, the reproducibility and reliability of the process hardly reduce for a long period of time and the accuracy of temperature control may be, for example, less than ±10° C. and can be realized comparatively easily without using a complicated mechanism for temperature control.
  • When a polymerized film exceeding a predetermined value is formed on the inner wall surface, it is necessary to remove this film. When the equipment is exposed to the air, and the component part of the inner wall surface of the processing chamber on which the polymerized film is formed is exchanged, and the equipment is reoperated, and the film is removed by wet cleaning on an ex-situ basis after removal from the chamber instead of plasma cleaning, and the inner wall surface is reproduced, satisfactory results can be produced such that the non-operation time of the equipment is reduced, and the throughput is prevented from reduction, and the cost of expendable supplies can be reduced by reproduction and repetitive use of parts. When a process of controlling the growth of the polymerized film is added to the process, the time up to opening and cleaning of the equipment can be prolonged.
  • On the other hand, according to still another characteristic of the present invention, with respect to a part or component part for which the temperature control in a temperature zone sufficiently lower than that of a wafer is difficult, when a structure that the bias power is applied to at least one part thereof is installed in the reactor and the heat capacity of the whole part is made sufficiently small, the whole part can be controlled in a high temperature zone without using a complicated mechanism such as a heater and lamp, so that excessive deposition of reaction products is controlled and an occurrence of foreign substances caused by peeling of reaction products can be reduced. When the surface area of the part is made smaller, the effect on the process can be controlled even if the temperature and surface condition are changed. Furthermore, when the magnitude of bias power to be applied to the component part is adjusted and the temperature is set within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., as compared with a case that the temperature is set within a high temperature zone of about 250° C. or more, the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect the process.
  • According to still another characteristic of the present invention, the temperature of the component part in contact with plasma in the processing chamber can be controlled more actively with high accuracy in a high temperature zone using infrared radiation and gas heat transfer, so that excessive deposition of reaction products is controlled, and an occurrence of foreign substances caused by peeling of reaction products can be reduced, and the effect on the process also can be controlled by controlling changes in the temperature and surface condition. Furthermore, when the temperature is controlled with the accuracy of less than ±10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., as compared with a case that the temperature is set within a high temperature zone of about 250° C. or more, the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect even a finer process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross sectional schematic diagram of a plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 2 is a drawing showing the temperature control method of a sample holder ring which is an embodiment of the present invention.
  • FIG. 3 is a drawing showing the temperature control method of a ring which is an embodiment of the present invention.
  • FIG. 4 is a drawing showing the temperature control method of a ring by an infrared lamp which is an embodiment of the present invention.
  • FIG. 5 is a drawing showing the temperature control method of a ring by a refrigerant which is an embodiment of the present invention.
  • FIG. 6 is a cross sectional schematic diagram of a magnetic field RIE plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 7 is a cross sectional schematic diagram of a parallel plate type plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 8 is a cross sectional schematic diagram of an inductive coupling type plasma etching apparatus which is an embodiment of the present invention.
  • FIG. 9 is a graph showing the relationship between a number of processed wafers and the temperature of the inner cylinder or jacket of the processing chamber.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The embodiments of the present invention will be explained hereunder with reference to the accompanying drawings.
  • FIG. 1 shows an embodiment that the present invention is applied to a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system and is a cross sectional schematic diagram of the said plasma etching apparatus.
  • In FIG. 1, a processing chamber 100 is a vacuum vessel which can realize the degree of vacuum of about 10−6 Torr and the apparatus has an antenna 110 for radiating electromagnetic waves as a plasma generation means in an upper part of the processing chamber and a lower electrode 130 for loading a sample W such as a wafer in a lower part of the processing chamber. The antenna 110 and the lower electrode 130 are installed opposite to each other in parallel. A magnetic field forming means 101 comprising electromagnetic coils 101A and 101B and a yoke 101C is installed around the processing chamber 100 and a magnetic field having a predetermined distribution and intensity is formed. By the interaction of electromagnetic waves radiated from the antenna 110 and the magnetic field formed by the magnetic field forming means 101, processing gas introduced into the processing chamber is made plasmatic, and plasma P is generated, and the sample W is processed.
  • On a side wall or outer cylinder 102 of the processing chamber 100, a jacket or inner cylinder 103 for controlling the temperature of the inner surface of the side wall is held in the exchangeable state. A heat exchanging medium is circulated and supplied into the jacket 103 from a heat exchanging medium supply means 104 so as to control the temperature. The temperature of the jacket is controlled with the accuracy of less than ±10° C. within a range from 0° C. to 100° C., desirably from 20° C. to 80° C. On the other hand, the processing chamber 100 is evacuated by an evacuation system 106 connected to a vacuum chamber 105 and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa. The processing chamber 100 and the vacuum chamber 105 are set at the grounding potential. With respect to the side wall 102 of the processing chamber 100 and the jacket 103, the surface treatment such as plasma resistant anodized aluminum may be carried out on the surface thereof as a thermally conductive nonmagnetic metallic material including no heavy metal, for example, such as aluminum.
  • The antenna 110 radiating electromagnetic waves comprises a disc electricity conductor 111, a dielectric 112, and a dielectric ring 113 and is held by a housing 114 which is a part of the vacuum vessel. A plate 115 is installed on the surface of the side of the disc electricity conductor 111 which is in contact with plasma and a ring 116 is further installed on the periphery thereof. Processing gas for performing the processes of etching of samples and film deposition is supplied from a gas supply means 117 at a predetermined flow rate and mixture ratio, controlled to a predetermined distribution via many holes provided in the disc electricity conductor 111 and the plate 115, and supplied to the processing chamber 100.
  • An antenna power source 121 and an antenna high frequency power source 122 are connected to the disc electricity conductor 111 respectively via filter systems 123 and 124 of the matching circuit and connected to the ground via a filter 125. The antenna power source 121 supplies power at a UHF band frequency desirably within a range from 300 MHz to 900 MHz and electromagnetic waves in the UHF band are radiated from the antenna 110. On the other hand, the antenna high frequency power source 122 applies the bias power, for example, at a low frequency of about 100 kHz or a high frequency within a range from several MHz to about 10 MHZ to the disc electricity conductor 111, thus controls the reaction on the surface of the plate 115 in contact with the disc electricity conductor 111. Since the plate 115 is opposite to a wafer, it affects the process most greatly. However, since the bias power is applied to the surface so as to prevent reaction products from deposition, the equipment process is stabilized. Furthermore, for example, when high-purity silicon or carbon is used as a material of the plate 115 in oxide film etching using CF series gas, the F radical or CFx radical reaction on the surface of the plate 115 is controlled and the radical composition ratio is adjusted. The distance between the under surface of the plate 115 and the wafer W (hereinafter, it is called the gap) is within a range from 30 mm to 150 mm, desirably from 50 mm to 120 mm.
  • The disc electricity conductor 111 is kept at a predetermined temperature by a temperature control means not shown in the drawing, that is, by a heat exchanging medium circulating through it and the surface temperature of the plate 115 in contact with the disc electricity conductor 111 is controlled. The ring 116 is heated by the bias power from the antenna high frequency power source 122 and the temperature thereof is controlled. It will be described later in detail.
  • At the lower part of the processing chamber 100, the lower electrode 130 is installed opposite to the antenna 110. A bias power source 141 for supplying bias power within a range from 400 kHz to 13.56 MHz is connected to the lower electrode 130 via a filter system 142 of the matching circuit, controls the bias power to be supplied to the sample W, and is connected to the ground via a filter 143.
  • The lower electrode 130 loads and holds the sample W such as a wafer on the top thereof, that is, on the sample loading surface by an electrostatic chucking device 131. On the top of the electrostatic chucking device 131, an electrostatic chucking dielectric layer (hereinafter, abbreviated to an electrostatic chucking film) is formed. The electrostatic chucking device 131 applies a DC voltage within a range from several hundreds V to several kv by an electrostatic chucking DC power source 144 and a filter 145 so as to generate coulomb force acting between the sample W and the electrostatic chucking device 131 via the electrostatic chucking film and adsorbs and holds the sample W on the lower electrode 130. As an electrostatic chucking film, for example, an dielectric of aluminum oxide or of a mixture of aluminum oxide and titanium oxide is used.
  • Furthermore, the sample W is controlled by a temperature control means not shown in the drawing so that the surface temperature thereof is set to a predetermined temperature so as to control the surface reaction thereof. For that purpose, to the lower electrode 130, an inert gas, for example, He gas which is set at a predetermined flow rate and pressure is supplied to enhance the thermal conductivity between the electrostatic chucking device 131 and the sample W. By doing this, the temperature of a wafer is controlled within a range from 100° C. to 110° C. at its maximum.
  • A sample holder ring 132 is installed outside the sample W on the top of the electrostatic chucking device 131. As a material of the sample holder ring 132, ceramics such as SiC, carbon, silicone, or quartz is used. The sample holder ring 132 is insulated from the electrostatic chucking device 131 by an insulator 133 such as alumina. Furthermore, by leaking and adding a part of the bias power from the bias power source 141 to the sample holder ring 132 via the insulator 133, it is possible to adjust the application of the bias power to the sample holder ring 132 and control the reaction on the surface thereof. For example, when high-purity silicone is used as a material of the sample holder ring 132 in oxide film etching using CF series gas, the F radical or CFx radical reaction on the surface of the sample holder ring 132 is adjusted by the scavenging action of silicone and particularly the uniformity of etching on the periphery of a wafer can be improved. The sample holder ring 132 is heated by the bias power and cooled by heat transfer gas, thus the temperature thereof is controlled. It will be described later in detail.
  • The plasma etching apparatus in this embodiment is structured as mentioned above and a concrete process, for example, when a silicon oxide film is to be etched using this plasma etching apparatus will be explained hereunder by referring to FIG. 1.
  • Firstly, the wafer W which is an object to be processed is transferred from a sample transfer mechanism not shown in the drawing into the processing chamber and loaded and chucked on the lower electrode 130. The height of the lower electrode is adjusted as required so as to be set to a predetermined gap. Next, the inside of the processing chamber 100 is evacuated by the evacuation system 106. On the other hand, gases necessary to the etching process of the sample W, for example, C4F8 and Ar are supplied to the processing chamber 100 from the plate 115 of the antenna 110 by the gas supply means 117 at a predetermined flow rate and mixture ratio, for example, at an Ar flow rate of 300 sccm and a C4F8 flow rate of 9 sccm. At the same time, the processing chamber 100 is evacuated by the evacuation system 106 and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure, for example, 1 Pa. On the other hand, a magnetic field of a predetermined distribution and intensity is formed by the magnetic field forming means 101. Electromagnetic waves in the UHF band are radiated from the antenna 110 by the antenna power source 121 and plasma P is generated in the processing chamber 100 by the interaction with the magnetic field. The apparatus dissociates processing gas by this plasma P so as to generate radical ions and further performs the process such as etching to the wafer W by controlling the antenna high frequency power source 122 and the bias power source 141. When the etching process is finished, the apparatus stops the supply of the power and processing gas and terminates the etching.
  • The plasma etching apparatus in this embodiment is structured as mentioned above and each unit in the reactor, particularly the inner surface of the side wall 103 and the ring 116, and temperature control of the sample holder ring 132 and deposition control of reaction products will be explained in detail hereunder.
  • Firstly, the side wall 103 will be explained by referring to FIG. 1. As already explained, the jacket 103 is held inside the side wall 102 of the processing chamber 100 and the temperature can be controlled by a heat exchanging medium.
  • The inventors have experimented with an object of oxide film etching at a pressure of 2 Pa using a mixed gas series of C4F8 and Ar as a processing gas and as a result of it, we have found that when the inner wall surface temperature in the reactor is controlled to a constant temperature which is sufficiently lower than the temperature (about 100° C.) of a wafer with the accuracy of less than ±10° C. within a range from 25° C. to 80° C., a strong coating film is formed on the inner wall surface. Within a pressure range of several tens mtorr max. (several Pa max.) like this, ions of high energy increase, so that it can be considered that the ion assist effect in film deposition is increased and a tight film is formed. The condition of a deposited film is such that when the side wall temperature is low, a fine and strong film is formed and when the side wall temperature is high, a slightly rough film is formed. To make this change of film characteristic quantitatively clear, the composition (element density ratio) of a film deposited at a side wall temperature of each of 25° C., 50° C., and 80° C. has been analyzed by the XPS (X-ray photoelectron spectroscopy) and the following results have been obtained.
    Side wall temperature C(%) F(96) CF ratio
    25° C. 45.6 51.1 0.89
    50° C. 43.9 53.8 0.82
    80° C. 40.6 58.2 0.70
  • The results show that as the side wall temperature lowers, the film characteristic becomes richer with carbon. Although not shown above, the analysis of the C1s peak shows that as the side wall temperature lowers, the bonding of carbon proceeds and the polymerization also proceeds. It is inferred that this is macroscopically observed as a fine and strong film.
  • During this experiment, the temperature of the side wall surface is controlled with the accuracy of less than ±10° C., so that it is forecasted that internal stress caused by a temperature change is not generated during deposition of a film and the film structure becomes fine. It is confirmed that a solid layer structure is formed. This film is very fine and strong and even when a film is deposited tentatively up to a thickness of about 200 microns in the deposition acceleration test, peeling of the film in the tape peeling test or in the friction test are not observed. Furthermore, this film is highly resistant to plasma and it is acknowledged that peeling and damage of the film surface are not observed even by the processing of plasma and no dust is caused.
  • When the temperature of the inner wall surface of the reactor is controlled to a constant temperature which is sufficiently lower than the temperature of a wafer as mentioned above, a strong deposited film free of occurrence of internal thermal stress can be formed on the inner wall surface of the reactor. This film is highly resistant to plasma and peeling of reaction products and adhesion of particles onto the sample surface are reduced, so that it acts as a protection film for the inner wall of the reactor. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced and the reduction of running cost results. Furthermore, since the side wall is protected by the deposited film, there is no need to use ceramics such as SiC which is highly resistant to plasma and the cost of parts can be reduced. If the side wall temperature is particularly controlled within a range from normal temperature to about 50° C., the energy for heating the side wall can be reduced, so that it is effective in energy conservation. As a material of the side wall, a thermally conductive metal including no heavy metals, for example, aluminum may be used.
  • Since aluminum is exposed in the initial state free of a deposited film, there is the possibility that the surface will be damaged and deteriorated by plasma. To prevent it, the surface may be coated with a highly polymerized material. Or it is also possible, for example, to anodize the aluminum surface and then fill fine holes made by the anodizing process with a highly polymerized material. Needless to say, the hole filling process can be applied to other than the aluminum anodizing process. When a polymer film exists on the interface between the aluminum surface and the deposited film like this, an effect is produced that the adherence of the aluminum surface and the deposited film is increased and the deposited film is hardly peeled off. A film may be excessively deposited depending on the process. If this occurs, it is possible to execute plasma cleaning in a short time after the wafer processing so as to control film deposition and keep the film thickness constant.
  • Next, the sample holder ring will be explained. As already explained in the embodiment shown in FIG. 1, the sample holder ring 132 controls the reaction on the surface thereof by application of the bias power, thus can make the etching characteristic particularly on the periphery of a wafer uniform. Although the sample holder ring 132 is heated by the bias power in this case, it is necessary to control the applied bias power and temperature so as to control the reaction and deposition of a film on the surface thereof. Moreover, it is desirable to be capable of controlling the applied bias power and temperature without incorporating a complicated mechanism into the lower electrode incorporated in the electrostatic chucking device 131. This can be realized by control of the leakage bias power and the balance between heating by the bias power and cooling by heat transfer gas. This embodiment will be explained by referring to the cross sectional view (half on the right) of the lower electrode 130 shown in FIG. 2.
  • The lower electrode 130 holds the sample W by the electrostatic chucking device 131. The electrostatic chucking device 131 is insulated from the ground 135 by the insulator 134. In this embodiment, the sample holder ring 132 is installed opposite to the electrostatic chucking device 131 via the insulator 133, thus structured so that a part of the bias power supplied from the bias power source 141 is leaked and added. The bias power to be applied can be adjusted by the thickness and material of the insulator 133. By use of such a bias power application structure, there is no need to install a wiring structure to the sample holder ring 132 inside the lower electrode 130 and connect another bias power source to the sample holder ring 132.
  • The electrostatic chucking device 131 is kept at a predetermined temperature by circulation of a temperature control heat medium (not shown in the drawing). Between the sample W and the surface of the electrostatic chucking device 131, a flow path 136 of heat transfer gas (for example, He gas, etc.) is formed and the heat conduction is kept satisfactory by introduction of heat transfer gas. In this embodiment, flow 136A and 136B of heat transfer gas are also formed between the sample holder ring 132, the insulator 133, and the electrostatic chucking device 131. A part of heat transfer gas for wafer cooling is introduced and the heat conduction at the contact is kept satisfactory. As a result, the heat conduction between the sample holder ring 132 and the electrostatic chucking device 131 kept at a predetermined temperature is kept satisfactory and the temperature of the sample holder ring 132 is kept stable. As a result, the temperature change due to application of the bias power to the sample holder ring 132 is controlled and the surface reaction and sample processing characteristic in the sample holder ring 132 can be stabilized. At the same time, deposition of reaction products can be prevented by heating by the bias power and ion assist, so that peeling of reaction products and adhesion of particles onto the sample surface are reduced.
  • As mentioned above, in the sample holder ring, the surface reaction and temperature and deposition of a film can be controlled by a simple structure by application of the leakage bias power and the balance between heating by the bias power and cooling by heat transfer gas and long term stabilization of the process and reduction of foreign substances can be realized.
  • In this embodiment, the heat conduction is assured by heat transfer gas. However, another heat conduction means, for example, such as a thermally conductive sheet may be used.
  • Next, the antenna 110 will be explained. As already described in the embodiment shown in FIG. 1, the antenna high frequency power source 122 is connected to the disc electricity conductor 111 and the bias power at about 100 kHz or within a range from several MHz to about 10 MHz is applied. The temperature of the disc electricity conductor 111 is kept at a predetermined value by a heat exchanging medium. Therefore, the plate 115 in contact with the disc electricity conductor 111 is applied with the bias power and the surface temperature thereof is also controlled. Since the plate 115 is opposite to a wafer, it affects the process most greatly. However, when the bias power is applied to this surface so as to prevent reaction products from deposition and further the surface reaction by the scavenging action is used using high-purity silicone as a material of the plate, the process can be stabilized.
  • On the other hand, the ring 116 on the periphery of the plate 115 is heated by the bias power by the antenna high frequency power source 122 in the same way as with the plate 115 and moreover the heat capacity of the ring 116 is made smaller, thus the responsibility to temperature change is enhanced. This will be explained by referring to FIG. 3.
  • FIG. 3 shows an embodiment showing the temperature control method for the ring 116. In this embodiment, the ring 116 is structured so that the shape thereof is made thinner, and a part thereof covers the plate 115, and the thermal contact with the dielectric ring 113 and the plate 115 is minimized. When the antenna high frequency power is applied to the plate 115 in this case, ions are pulled into the surface of the ring 116 in the direction of the arrow shown in the drawing by the bias power to the plate 115. A heating mechanism such a heater and lamp is not used in this embodiment, so that there is an advantage that the mechanism will not be complicated.
  • The width w of the part of the ring 116 to which the bias power is applied is set to, for example, 10 mm or more so that the part can be efficiently heated by the bias power. The thickness of the ring 116 is set to, for example, 6 mm or less, desirably 4 mm or less so as to be validly heated by the bias power. When the shape is made thinner like this, the heat capacity of the ring 115 is made smaller. As a result, the whole ring can be heated almost within a range from 100° C. to 250° C., desirably from 150° C. to 200° C. As a result, the deposition of reaction products is controlled and the occurrence of foreign substances due to peeling of reaction products can be reduced. Within this temperature range, the change in surface reaction is not sensitive to the change in temperature compared with that in a high temperature zone of about 250° C. or more, so that there is an advantage that the temperature change in component parts can be made smaller to such a level that will not substantially affect the process.
  • The thickness of the ring 116 can be decided by the antenna bias power frequency, the material of the ring 116, and the balance of the deposition speed of reaction products to the ring 116 so as to control the film deposition and prevent the ring surface from sputtering and consuming by ions. As shown in the drawing, it is possible to make the parts other than the part to be applied with the bias power thinner and make the heat capacity of the whole ring smaller. When the heat capacity of the ring 116 is made smaller like this, the responsibility is satisfactory in a short time at the initial stage of the process and the temperature rises, so that the effect on the processing characteristic is small. It is desirable that the inner diameter d of the ring 116 is larger than the diameter of a sample. Since the inner diameter of the reactor is about 1.5 times of the diameter of a sample, when the diameter of a sample is 300 mm, the width s of the ring is almost within a range from 50 mm to 70 mm and the surface area thereof is sufficiently small for the whole inner wall surface of the reactor, for example, such as 20% or less. When the surface area of parts is made smaller like this, even if the temperature and surface condition are changed, the effect on the process can be controlled. Moreover, since the ring 116 is positioned on the periphery compared with a wafer, the effect on the process is made more smaller.
  • In the aforementioned embodiment, since passive heating by plasma is used, a certain degree of temperature change is unavoidable. This change may affect the etching characteristic due to fine division of the process though the effect is not actualized in the current process and if this occurs, a positive temperature control mechanism by a lamp and heater is required. FIG. 4 shows an embodiment of a temperature control mechanism by heating of a lamp.
  • In this embodiment, the dielectric ring 113A is structured so that a part thereof can apply the bias power by the same structure 116A as that of the ring 116 and furthermore, on the side of the dielectric ring 113A close to plasma, an infrared absorber 151 for absorbing infrared light and far infrared light, for example, an aluminum thin film is formed. Infrared light and far infrared light are radiated from an infrared radiation means 152, pass through an infrared transmission window 153 and the dielectric ring 113A, are absorbed by the infrared absorber 151, and heat the ring 116. The infrared absorber 151 can be remotely heated by infrared light, so that when the infrared absorber 151 is installed on the side of the dielectric ring 113A close to plasma, the temperature of the surface of the dielectric ring 113A exposed to plasma can be controlled with higher accuracy. The heating mechanism uses absorption of infrared light, so that there is an advantage that the responsibility is better compared with heating by a heating resistor. Furthermore, the dielectric ring 113A is heated also by the bias power by the bias power application unit 116A, so that the responsibility to temperature is improved.
  • On the other hand, the infrared radiation means 152 is installed in a holder 154. A gap is provided between the holder 154 and the dielectric ring 113A and heat transfer gas for temperature control is supplied to the gap via a gas supply means 155. Heat transfer gas is sealed by vacuum sealing means 156A and 156B. The dielectric ring 113A radiates heat by this gas heat transfer via the holder 154. Therefore, for example, by heating by the bias power and lamp at start of the process and radiating heat by gas heat transfer during the process, the accuracy of temperature control is improved. As a result, the temperature of the dielectric ring 113A can be controlled with the accuracy of about ±5 to 10° C. almost within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., The film deposition is reduced at this temperature, so that the occurrence of foreign substances due to peeling of a film is controlled. The surface condition of the dielectric ring 113A is in the region greatly dependent on the temperature, so that the surface condition is not changed and a plasma process which is stable over a long period is realized.
  • In the embodiments shown in FIGS. 3 and 4, the film deposition is reduced by heating the ring 116 in contact with plasma and the dielectric ring 113A. However, the ring in contact with plasma is controlled to a constant temperature which is lower than the temperature of a wafer in the same way as with the inner surface of the side wall explained in FIG. 1 and a stable deposited film can be formed. FIG. 5 shows this embodiment and the dielectric ring 113B is controlled almost within a range from 20° C. to 100° C. under temperature control by a refrigerant.
  • In this embodiment, a refrigerant for temperature control is supplied to a refrigerant flow path 161 installed in the dielectric ring 113B from a heat exchanging medium supply means 162. The refrigerant is sealed by a sealing means 163. The temperature of the dielectric ring 113B is kept at a predetermined value by a temperature controller and temperature detector which are not shown in the drawing. By use of this constitution, the temperature of the dielectric ring 113B can be kept almost within a range from 20° C. to 100° C. during plasma processing. Therefore, a stable and strong film of reaction products is deposited on the surface of the dielectric ring 113B, so that the surface of the dielectric ring 113B will not be etched and consumed. When a film is excessively deposited depending on the process, the film may be kept at a constant thickness by concurrently using plasma cleaning.
  • Each of the aforementioned embodiments uses a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system. However, electromagnetic waves to be radiated may be, for example, microwaves at 2.45 GHz or waves in the VHF band almost within a range from several tens MHz to 300 MHz in addition to the UHF band. The magnetic field is not always necessary and, discharge of nonmagnetic field microwaves, for example, is acceptable.
  • Furthermore, in addition to the above, the aforementioned embodiments can be applied to, for example, a magnetron type plasma etching apparatus using the magnetic field, a plasma etching apparatus of a parallel plate type capacitively coupled system, or an inductive coupling type plasma etching apparatus.
  • FIG. 6 shows an example that the present invention is applied to an RIE apparatus (a magnetron RIE apparatus or magnetically enhanced RIE apparatus). The processing chamber 100 as a vacuum vessel has the side wall 102, the lower electrode 130 for loading the sample W such as a wafer, and an upper electrode 201 to be grounded opposite to it and also has the gas supply means 117 for introducing predetermined gas into the vacuum vessel, the evacuation system 106 for decompressing and evacuating the vacuum vessel, an electric field generation means 203 for generating an electric field between the lower electrode and the upper electrode, and a magnetic field generation means 202 for generating a magnetic field inside the vacuum vessel. The magnetic field generation means 202 has a plurality of permanent magnets or coils which are arranged in a ring-shape on the periphery of the processing chamber 100 and forms a magnetic field almost parallel to the electrodes inside the processing chamber. The magnetic field generation means 202 makes processing gas plasmatic by the electric field generated between the electrodes, generates plasma P, and processes the sample W. Furthermore, in the magnetron RIE, a magnetic field is formed almost perpendicularly to the electric field by the magnetic field generation means 202, so that the collision frequency between electrons and molecules and atoms in plasma increases, and the plasma density increases, and a high etching characteristic is obtained.
  • In this embodiment, in the same way as with the embodiment described in FIG. 1, the jacket 103 for controlling the temperature of the inner surface of the side wall is held by the side wall 102 in the exchangeable state, and a heat exchanging medium is circulated and supplied into the jacket 103 from the heat exchanging medium supply means 104, and the temperature of the jacket is controlled with the accuracy of less than ±10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., The jacket 103 comprises, for example, anodized aluminum.
  • By use of this constitution, the inner wall surface of the reactor can be controlled to a constant temperature which is sufficiently lower than the temperature of a wafer, so that a strong deposited film can be formed on the inner surface of the side wall of the reactor. This film is highly resistant to plasma and acts as a protection film for the inner wall of the reactor and peeling of reaction products and adhesion of particles onto the sample surface are reduced. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced, and the reduction of running cost results, and there is no need to use ceramics such as SiC which is highly resistant to plasma, and the cost of parts can be reduced.
  • In this embodiment, in the same way as with the embodiment described in FIGS. 1 and 2, it is structured so that a part of the bias power supplied from the electric field generation means 203 is leaked to the sample holder ring 132 and furthermore, by cooling by gas heat transfer, the surface reaction and sample processing characteristic in the sample holder ring 132 can be stabilized. At the same time, deposition of reaction products can be prevented by heating by the bias power and ion assist, so that peeling of reaction products and adhesion of particles onto the sample surface are reduced.
  • FIG. 7 shows an example that the present invention is applied to a parallel plate type plasma etching apparatus. The processing chamber 100 as a vacuum vessel has the side wall 102, the lower electrode 130 for loading the sample W such as a wafer, an upper electrode 210 opposite to it, and an electric field generation means 221 for supplying power to the upper electrode 210 and generating an electric field between the electrodes. Predetermined processing gas is supplied into the processing chamber 100 by the gas supply means 117 and the vacuum vessel is decompressed and evacuated by the vacuum system 106. Processing gas is made plasmatic by the electric field generated between the electrodes, and plasma P is generated, and the sample W is processed. The upper electrode 210 is held by a housing 214 with an electrode plate 211 insulated by insulators 212 and 213. A plate 215 is installed on the side of the electrode plate 211 in contact with plasma and a shield ring 216 is installed on the periphery thereof. The shield ring 216 protects the insulators 212 and 213 from plasma, simultaneously increases the plasma density by sealing the plasma P in the processing chamber 100 in the state that it is positioned opposite to the sample holder ring 132, and obtains a high etching characteristic.
  • In this embodiment, in the same way as with the embodiment described in FIG. 1, the temperature of the inner surface of the side wall 102 is controlled by the jacket 103 with the accuracy of less than ±10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., so that a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced. Also with respect to the sample holder ring 132, the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced. Furthermore, in the same way as with the embodiment shown in FIG. 3, the shield ring 216 is structured so that the shape thereof is thin, and a part of the shield ring 216 covers the plate 115, and the thermal contact with other parts is minimized. As a result, when power is applied to the plate 115, the shield ring 216 is heated by ions due to the self bias power, and the deposition of reaction products is controlled, and the occurrence of foreign substances is reduced.
  • FIG. 8 shows an example that the present invention is applied to an inductively coupled type plasma etching apparatus. The processing chamber 100 as a vacuum vessel has the side wall 102, the lower electrode 130 for loading the sample W such as a wafer, and a top plate 230 and is decompressed and evacuated by the vacuum system 106. On the top of the top plate 230, inductive discharge coils 231 are arranged and high frequency power is supplied from a high frequency power source 232. Processing gas is supplied from the gas supply means 117 and made plasmatic by inductive discharge by the inductive discharge coils 231, and plasma P is generated, and the sample W is processed. In the inductive coupling type plasma etching apparatus, silicone is used as a material of the top elate so as to stabilize the process and the interaction between plasma and the wall is controlled by a means, for example, a Faraday shield or a magnetic field, thus even if the temperature of the side wall is made lower than the temperature of a wafer, a high etching characteristic can be obtained stably.
  • In this embodiment, in the same way as with the embodiment described in FIG. 1, the temperature of the inner surface of the side wall 102 is controlled by the jacket 103 with the accuracy of less than ±10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C. As a result, a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced. Also with respect to the sample holder ring 132, the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced.
  • In the aforementioned embodiments, the processing object is semiconductor wafers and the etching process for them is described. However, the present invention is not limited to it and for example, it can be applied also to a case that the processing object is a liquid crystal board and the process itself is not limited to etching but the present invention can be applied also to, for example, the sputtering or CVD process.
  • In the aforedescribed embodiments, the temperature of the inner cylinder or jacket may be detected indirectly, if it cannot be detected directly. However, the following effects can be obtained by attaching a temperature detector to the inner cylinder or jacket.
  • (1) By finely adjusting the temperature of the outer cylinder or side wall in order to control the temperature of the inner cylinder or jacket more accurately, controllability of the inner cylinder or jacket temperature can be improved.
  • (2) By monitoring the temperature of the inner cylinder or jacket, it is possible to output an alarm signal, such as to indicate the need for stopping plasma processing or to quit plasma processing when the temperature of the inner cylinder or jacket exceeds a preset range.
  • In the embodiments, a heater may be used as the temperature control function for the outer cylinder or side wall. However, by recirculating a temperature controlled liquid to the outer cylinder or side wall, it is possible to widen the temperature control range from a cooled state below room temperature to a heated state, and accordingly controllability of the inner cylinder or jacket temperature can be improved in this way.
  • Further, application of the present invention is not limited to the case where a process is stabilized by maintaining the temperature of the inner cylinder or jacket to a preset value. The present invention can be also applied to, for example, a case where an initial process change for a lot of wafers is corrected by intentionally changing the temperature of the inner cylinder or jacket at the initial stage of the lot. That is, it is possible to stabilize a process by improving the temperature controllability for the inner cylinder or jacket during processing of the wafers of the lot as illustrated in FIG. 9.
  • The apparatuses described in the aforedescribed embodiments are used as follows.
  • Before starting operation of the apparatus, it is checked to determine whether or not the temperature of the inner cylinder or jacket can be controlled to a desired temperature.
  • Firstly, the inside of the process chamber is evacuated to a preset pressure by action of the vacuum pump. Then, the heater is operated. The inner cylinder or jacket is heated by heat generation of the heater. The temperature of the heated inner cylinder or jacket is directly or indirectly detected and controlled to a desired temperature. By doing so, it can be confirmed that the temperature of the inner cylinder or jacket can be controlled to the desired temperature. If the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature, operation of the heater is stopped. Then, the cause of the trouble is checked and repaired.
  • During processing, the temperature of the inner cylinder or jacket is monitored continuously or when required. The monitored temperature is compared with a preset desired temperature, and the temperature of the inner cylinder or jacket is controlled to the desired temperature based on the result of the comparison. The temperature control of the inner cylinder or jacket is performed.
  • In general, plural wafers are continuously processed one by one. In such a case, the temperature of the inner cylinder or jacket is monitored while processing one wafer among them until processing for the all plural wafers is completed to control the temperature to the desired temperature. For example, when trouble occurs in the temperature monitoring of the inner cylinder or jacket or when the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature, it is judged that the processing characteristic of the wafer cannot be maintained stable and the wafer processing is stopped. Then, an effort is made to solve the problem, and the successive process for plural wafers is re-started.
  • The fact that trouble occurs in the temperature monitoring of the inner cylinder or jacket or that the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature is indicated to an operator by output of some kind of alarm through the controller. In response to the alarm, the operator solves the trouble and re-starts the wafer processing. By monitoring the temperature control of the inner cylinder or jacket, the history of the processing up until the stopping of the wafer processing can be checked, and consequently the search of the cause and the repairing method can be performed properly and fast.
  • A cleaning process is performed for the inside of the process chamber. The process is performed by wiping the inside surface of the process chamber, such as the surface of the inner cylinder or jacket, and the surfaces of parts arranged inside the process chamber, such as the sample table, or by utilizing a cleaning gas plasma. The process is performed before a wafer processing, in the intervals between processings, or after completion of a wafer processing.
  • In a case of performing a cleaning process by wiping, it is checked whether the temperature of the inner cylinder or jacket can be controlled during a period after completion of the cleaning processing and before the starting of a wafer processing. On the other hand, in a case of performing a cleaning process by utilizing a plasma, it is checked whether the temperature of the inner cylinder or jacket can be controlled during the cleaning processing or during a period after the cleaning process and before starting of a wafer processing.
  • Further, a discharge running-in (seasoning) process is performed for the inside of the process chamber. The seasoning process is performed before starting a wafer processing at the beginning of a day, or during a period after completion of a cleaning processing and before starting of a wafer processing. In this case, it may be checked during the seasoning process whether the temperature of the inner cylinder or jacket can be controlled or not.
  • In order to stabilize the characteristic of plasma processing over time, it is necessary to control the temperature of the inner cylinder or jacket to a temperature corresponding to a wafer processing condition. Here, the wafer processing conditions include the quality of film to be processed, the kind of processing gas to be used, the condition of discharge, the type of discharge and so on.
  • Therefore, wafer processing conditions are input to the controller of the processing apparatus from a higher level controlling unit or an operator. The controller has received an indication of the temperature of the inner cylinder or jacket corresponding to each of the wafer processing conditions. In the controller, the temperature of the inner cylinder or jacket corresponding to the input wafer processing condition is selected and set as a control temperature. On the other hand, a detected and monitored temperature of the inner cylinder or jacket is input to the controller. The detected and monitored temperatures are compared with the control temperature, and the temperature of the inner cylinder or jacket is controlled to the control temperature based on the result of comparison.
  • Further, in a case where the wafer is, for example, of a multi-layer film structure, the temperature of the inner cylinder or jacket may be controlled to a temperature corresponding to that set for the quality of each film, the kind of process gas, the condition of discharge and so on. By doing so, the characteristic of plasma processing can be finely stabilized over time.
  • In a case where a wafer processing performance is varied during one lot processing after a running-in discharge (seasoning) process, the temperature of the inner cylinder or jacket may be varied along a desired temperature pattern in order to make the processing performance uniform.
  • According to the present invention, a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and the wall surface condition can be provided.

Claims (4)

1. A plasma processing apparatus having a vacuum processing chamber, a plasma generating unit having a first power source, a gas supply unit for supplying a processing gas to the vacuum processing chamber, a lower electrode having a sample table surface for holding a sample to be processed in the vacuum processing chamber, and a vacuum pumping unit for depressurizing the vacuum processing chamber, wherein the apparatus further comprises:
a plate disposed at a position opposed to the sample table surface and facing a plasma in the vacuum processing chamber;
a disc electricity conductor disposed in contact with a side of the plate which is opposite to another side of the plate which faces the plasma;
a second power source for applying an RF frequency bias power to the disc electricity conductor; and
a unit for controlling a temperature of the plate to a predetermined value;
wherein the plate is made of one of silicon and carbon at high purity; and
wherein the disc electricity conductor and the plate have a plurality of holes for introducing the processing gas supplied from the gas supply unit into the vacuum processing chamber, respectively.
2. A plasma processing apparatus according to claim 1, wherein the distance between a lower surface at the another side of the plate which faces the plasma and the sample on the sample table surface is from 30 mm to 150 mm.
3. A plasma processing apparatus according to claim 1, wherein the unit for the controlling the temperature of the plate to the predetermined value has a heat transfer medium that circulates within the disc electricity conductor.
4. A plate for use in a plasma processing apparatus having a vacuum processing chamber, a plasma generating unit having a disc electricity conductor applied with a first power source, a gas supply unit for supplying a processing gas to the vacuum processing chamber, an electrode having a sample table surface for holding a sample to be processed in the vacuum processing chamber, and a vacuum pumping unit depressurizing the vacuum processing chamber;
wherein the plate is made of one of silicon and carbon at high purity;
wherein the plate is disposed at a position opposed to the sample table surface and facing a plasma in the vacuum processing chamber, with the disc electricity conductor being disposed in contact with a side of the plate which is opposite to another side of the plate which faces the plasma;
wherein the plate has a plurality of holes for introducing the processing gas supplied from the gas supply unit into the vacuum processing chamber;
wherein the plate is applied with an RF frequency bias power by way of the disc electricity conductor from a second power source; and
wherein the plate has a unit for controlling a temperature of the plate to a predetermined value.
US11/156,477 1995-03-16 2005-06-21 Plasma etching apparatus and plasma etching method Abandoned US20050236109A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/156,477 US20050236109A1 (en) 1995-03-16 2005-06-21 Plasma etching apparatus and plasma etching method

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP05747295A JP3257328B2 (en) 1995-03-16 1995-03-16 Plasma processing apparatus and plasma processing method
JP7-57472 1995-03-16
US08/611,758 US5874012A (en) 1995-03-16 1996-03-08 Plasma processing apparatus and plasma processing method
US09/227,332 US6171438B1 (en) 1995-03-16 1999-01-08 Plasma processing apparatus and plasma processing method
US09/421,044 US20020119670A1 (en) 1995-03-16 1999-10-20 Plasma etching apparatus and plasma etching method
US09/983,946 US6815365B2 (en) 1995-03-16 2001-10-26 Plasma etching apparatus and plasma etching method
US10/617,019 US20040009617A1 (en) 1995-03-16 2003-07-11 Plasma etching apparatus and plasma etching method
US11/156,477 US20050236109A1 (en) 1995-03-16 2005-06-21 Plasma etching apparatus and plasma etching method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/617,019 Continuation-In-Part US20040009617A1 (en) 1995-03-16 2003-07-11 Plasma etching apparatus and plasma etching method

Publications (1)

Publication Number Publication Date
US20050236109A1 true US20050236109A1 (en) 2005-10-27

Family

ID=35135266

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/156,477 Abandoned US20050236109A1 (en) 1995-03-16 2005-06-21 Plasma etching apparatus and plasma etching method

Country Status (1)

Country Link
US (1) US20050236109A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040178180A1 (en) * 1996-01-03 2004-09-16 Tetsunori Kaji Plasma processing apparatus
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US20100083902A1 (en) * 2008-10-02 2010-04-08 Samsung Electronics Co., Ltd. Plasma generating device
US20100307686A1 (en) * 2009-06-05 2010-12-09 Tokyo Electron Limited Substrate processing apparatus
US20110156590A1 (en) * 2008-09-03 2011-06-30 Akitoshi Okino Plasma Temperature Control Apparatus and Plasma Temperature Control Method
US20110234019A1 (en) * 2007-08-17 2011-09-29 Tmms Co., Ltd. Method and device for transporting, distributing and managing electrical energy by remote longitudinal coupling in near field between electric dipoles
US20150371813A1 (en) * 2014-06-24 2015-12-24 Ebara Corporation Surface processing apparatus
US20190103256A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4539068A (en) * 1979-09-20 1985-09-03 Fujitsu Limited Vapor phase growth method
US4901667A (en) * 1985-08-09 1990-02-20 Hitachi, Ltd. Surface treatment apparatus
US5283414A (en) * 1990-03-07 1994-02-01 Siegfried Straemke Plasma treatment apparatus
US5290381A (en) * 1990-11-28 1994-03-01 Tokyo Electron Limited Plasma etching apparatus
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5362361A (en) * 1991-04-27 1994-11-08 Sony Corporation Dry etching method
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5445709A (en) * 1992-11-19 1995-08-29 Hitachi, Ltd. Anisotropic etching method and apparatus
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5591493A (en) * 1994-06-30 1997-01-07 Texas Instruments Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5651826A (en) * 1995-05-30 1997-07-29 Anelva Corporation Plasma processing apparatus
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5874012A (en) * 1995-03-16 1999-02-23 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6991701B2 (en) * 1994-04-20 2006-01-31 Tokyo Electron Limited Plasma treatment method and apparatus

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4539068A (en) * 1979-09-20 1985-09-03 Fujitsu Limited Vapor phase growth method
US4901667A (en) * 1985-08-09 1990-02-20 Hitachi, Ltd. Surface treatment apparatus
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5283414A (en) * 1990-03-07 1994-02-01 Siegfried Straemke Plasma treatment apparatus
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5290381A (en) * 1990-11-28 1994-03-01 Tokyo Electron Limited Plasma etching apparatus
US5362361A (en) * 1991-04-27 1994-11-08 Sony Corporation Dry etching method
US5445709A (en) * 1992-11-19 1995-08-29 Hitachi, Ltd. Anisotropic etching method and apparatus
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US6991701B2 (en) * 1994-04-20 2006-01-31 Tokyo Electron Limited Plasma treatment method and apparatus
US5591493A (en) * 1994-06-30 1997-01-07 Texas Instruments Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5874012A (en) * 1995-03-16 1999-02-23 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6171438B1 (en) * 1995-03-16 2001-01-09 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6815365B2 (en) * 1995-03-16 2004-11-09 Hitachi, Ltd. Plasma etching apparatus and plasma etching method
US5651826A (en) * 1995-05-30 1997-07-29 Anelva Corporation Plasma processing apparatus
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050082006A1 (en) * 1996-01-03 2005-04-21 Tetsunori Kaji Plasma processing apparatus
US20040178180A1 (en) * 1996-01-03 2004-09-16 Tetsunori Kaji Plasma processing apparatus
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8822345B2 (en) 2004-04-30 2014-09-02 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8847432B2 (en) * 2007-08-17 2014-09-30 Murata Manufacturing Co., Ltd. Method and device for transporting, distributing and managing electrical energy by remote longitudinal coupling in near field between electric dipoles
US20110234019A1 (en) * 2007-08-17 2011-09-29 Tmms Co., Ltd. Method and device for transporting, distributing and managing electrical energy by remote longitudinal coupling in near field between electric dipoles
US8866389B2 (en) * 2008-09-03 2014-10-21 Akitoshi Okino Plasma temperature control apparatus and plasma temperature control method
US20110156590A1 (en) * 2008-09-03 2011-06-30 Akitoshi Okino Plasma Temperature Control Apparatus and Plasma Temperature Control Method
US20100083902A1 (en) * 2008-10-02 2010-04-08 Samsung Electronics Co., Ltd. Plasma generating device
US20100307686A1 (en) * 2009-06-05 2010-12-09 Tokyo Electron Limited Substrate processing apparatus
US20150371813A1 (en) * 2014-06-24 2015-12-24 Ebara Corporation Surface processing apparatus
US9852878B2 (en) * 2014-06-24 2017-12-26 Ebara Corporation Surface processing apparatus
US20190103256A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US11710622B2 (en) 2017-09-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls

Similar Documents

Publication Publication Date Title
US6815365B2 (en) Plasma etching apparatus and plasma etching method
US6506686B2 (en) Plasma processing apparatus and plasma processing method
US20050236109A1 (en) Plasma etching apparatus and plasma etching method
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
US8124539B2 (en) Plasma processing apparatus, focus ring, and susceptor
US20040173314A1 (en) Plasma processing apparatus and method
KR100520421B1 (en) Apparatus for treating substrate by plasma and method thereof
JP3712898B2 (en) Plasma etching equipment
EP0749148B1 (en) Plasma processing apparatus
JP4073235B2 (en) Plate for plasma processing equipment
JP3993493B2 (en) Plasma etching equipment
JP2000150487A (en) Plasma treatment method
JP3368743B2 (en) Plasma processing apparatus and plasma processing method
JP2956640B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION