US20050194100A1 - Reduced friction lift pin - Google Patents

Reduced friction lift pin Download PDF

Info

Publication number
US20050194100A1
US20050194100A1 US11/120,456 US12045605A US2005194100A1 US 20050194100 A1 US20050194100 A1 US 20050194100A1 US 12045605 A US12045605 A US 12045605A US 2005194100 A1 US2005194100 A1 US 2005194100A1
Authority
US
United States
Prior art keywords
lift
pin shaft
substrate support
shoulder section
pin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/120,456
Inventor
David Or
Keith Koai
Hiroyuki Takahama
Takahiro Ito
Koji Ota
Hiroshi Sato
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/120,456 priority Critical patent/US20050194100A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OR, DAVID T., SATO, HIROSHI, ITO, TAKAHIRO, KOAI, KEITH K., OTA, KOJI, TAKAHAA, HIROYUKI
Publication of US20050194100A1 publication Critical patent/US20050194100A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally

Definitions

  • the present invention relates generally to an apparatus for semiconductor processing. More specifically, the invention relates to a lift pin utilized for spacing substrates from a substrate support.
  • Integrated circuits have evolved into complex devices that include millions of transistors, capacitors and resistors on a single chip.
  • the evolution of chip design results in faster circuitry and greater circuit density.
  • chip manufactures have demanded semiconductor process tooling having increased wafer throughput, greater product yield, and more robust processing equipment.
  • tooling is being developed to minimize particle contamination and increase the service life of tool components.
  • the lift pins utilized to space a substrate from a substrate support, typically in a processing chamber.
  • the lift pins generally reside in guide holes disposed through the substrate support.
  • the upper ends of the lift pins are typically flared to prevent the pins from passing through the guide holes.
  • the lower ends of the lift pins extend below the substrate support and are actuated by a lift plate that contacts the pins at their lower ends.
  • the lift plate is movable in a vertical direction between upper and lower positions. In the upper position, the lift plate moves the lift pins through the guide holes formed through the substrate support to extend the flared ends of the lift pins above the substrate support, thereby lifting the substrate into a spaced apart relation relative to the substrate support to facilitate substrate transfer.
  • An improved lift pin design for spacing a substrate from a substrate support is provided.
  • the lift pin features at least one larger diameter shoulder section that forms a relief region between the pin and a guide hole disposed through a substrate support.
  • the shoulder section minimizes contact between the substrate support and lift pin guide hole, thereby reducing pin scratching, particle generation, component wear, and increasing the useful life of the pin.
  • a flat-bottom tip is provided to promote self-standing of the lift pin, reducing pin tilting or leaning of the lift pin within the guide hole.
  • FIG. 1 is a sectional view of a metal deposition chamber
  • FIG. 2 is a sectional view of a lift pin assembly
  • FIGS. 3-6 depict elevations of various alternative embodiments of a lift pin according to the present invention.
  • the present invention generally provides an apparatus for processing a semiconductor substrate.
  • the invention is illustratively utilized in a processing system, such as a Txz® CVD processing system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • a processing system such as a Txz® CVD processing system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • the invention may be incorporated into other chamber configurations such as physical vapor deposition chambers, etch chambers, ion implant chambers, and other semiconductor processing chambers.
  • FIG. 1 depicts a cross sectional view of a processing system 100 .
  • the system 100 generally comprises a chamber body 102 coupled to a gas source 104 .
  • the chamber body 102 is typically a unitary, machined structure fabricated from a rigid block of material such as aluminum.
  • a showerhead 106 Within the chamber body 102 is a showerhead 106 and a substrate support assembly 108 .
  • the showerhead 106 is coupled to the upper surface or lid of the chamber body 102 and provides a uniform flow of gas from the gas source 104 that is dispersed over a substrate 101 positioned on a substrate support assembly 108 .
  • the substrate support assembly 108 generally comprises a substrate support 110 and a stem 112 .
  • the stem 112 positions the substrate support 110 within the chamber body 102 .
  • the substrate 101 is placed upon the substrate support 110 during processing.
  • the substrate support 110 may be a susceptor, a heater, an electrostatic chuck or a vacuum chuck.
  • the substrate support 110 is fabricated from ceramic, aluminum, stainless steel or combinations thereof.
  • the substrate support 110 has a plurality of guide holes 118 disposed therethrough, each hole 118 accommodating a lift pin 120 of a lift pin assembly 114 .
  • the lift pin assembly 114 interacts with the substrate support 110 to position the substrate 101 relative to the substrate support 110 .
  • the lift pin assembly 114 typically includes the lift pins 120 , a lift plate 124 and an actuator 116 .
  • the elevation of the lift plate 124 is controlled by the actuator 116 .
  • the actuator 116 may be a pneumatic cylinder, hydraulic cylinder, lead screw, solenoid, stepper motor or other motion device that is typically positioned outside of the processing chamber 102 and adapted to move the lift plate 124 .
  • the lift plate 124 contacts the lower ends of the lift pins 120 to move the lift pins 120 through the substrate support 110 .
  • the upper ends of the lift pins 120 move away from the substrate support 110 and lift the substrate 101 into a spaced-apart relation relative to the substrate support 110 .
  • FIG. 2 is a sectional view of the lift pin assembly 114 .
  • the plurality of lift pins 120 are disposed axially through the lift pin guide holes 118 formed through the substrate support 110 .
  • the guide holes 118 may be integrally formed in the substrate support 110 , or may alternatively be defined by an inner passage of a guide bushing 202 disposed in the substrate support 110 as depicted in FIG. 2 .
  • the guide bushing 202 is typically comprised of ceramic, stainless steel, aluminum or other suitable material.
  • the lift pins 120 are typically comprised of ceramic, stainless steel, aluminum, or other suitable material.
  • a cylindrical bearing surface 204 of the lift pin 120 may additionally be treated to reduce friction and surface wear.
  • the cylindrical bearing surface 204 of the lift pin 120 may be hard chromium plated or electropolished to reduce friction and make the bearing surface 204 harder, smoother, and more resistant to scratching and corrosion.
  • a first end 206 of the lift pin 120 is flared to prevent the pin from falling through the guide hole 118 disposed through the substrate support 110 .
  • the guide hole 118 is typically countersinked to allow the first end 206 to be positioned substantially flush with or slightly recessed from the substrate support 110 when the pin 120 is in a normal position (i.e., retracted relative to the substrate support 110 ).
  • a second end 208 of the lift pin 120 extends beyond the underside of the substrate support 110 and is adapted be urged by the lift plate 124 to extend the first end 206 of the lift pin 120 above the substrate support 110 .
  • the second end 208 may be rounded, flat or have another shape.
  • the second end 208 is flat (i.e., oriented perpendicular to the center line of the lift pin 120 ).
  • the flat second end 208 stands the lift pin 120 on the lift plate 124 , thereby maintaining the lift pins 120 substantially parallel to a central axis of the lift pins guide holes 118 , advantageously reducing binding and contact between the pin and a lower edge 210 of the guide holes 118 .
  • the self-standing lift pin 120 is easily centered within the lift pin guide hole 118 , reducing the likelihood that the lift pin 120 will tilt or lean in the guide hole 118 , thereby becoming jammed or scratched.
  • the lift pin 120 is designed to slide smoothly through the guide hole 118 and features a shaft 230 having a larger diameter shoulder 232 .
  • the shoulder 232 includes tapered ends 238 A, 238 B that transition the shoulder 232 and the other portions of the shaft 230 .
  • the shoulder 232 bounds at least one relief region 236 formed between the shaft 230 and the lift pin guide hole 118 .
  • FIGS. 3-6 depict sectional views of several alternate embodiments according to the present invention.
  • FIG. 3 depicts a lift pin 320 having a flared end 326 and a large radius (rounded) end 328 .
  • the rounded end 328 may have a full radius.
  • a shaft 332 extends from the rounded end 328 and is separated from a larger diameter shoulder 330 by a tapered transition 334 .
  • the larger diameter shoulder 330 is the only part of the lift pin 320 that contacts the lift pin guide hole 118 , while a relief region 336 is formed between the guide hole 118 of the guide bushing 202 (shown in phantom) and the shaft 332 .
  • the length of the larger diameter shoulder 330 is configured so that only the larger diameter shoulder 330 contacts the guide hole 118 during movement of the lift pin 320 .
  • FIG. 4 depicts a lift pin 420 that features a flared end 426 , a large diameter section (shoulder) 430 , a shaft 432 , and a tapered transition 434 that are similar to those of the lift pin 320 .
  • the lift pin 420 additionally features a flat end 428 that promotes self-standing and centering of the pin 420 within a guide hole (not shown).
  • FIG. 5 is an embodiment of a lift pin 520 having a larger diameter shoulder 530 separating two narrower portions of a shaft 532 .
  • the shoulder 530 transitions to the shaft 532 at two tapered ends 534 A, 534 B.
  • the shaft 532 is typically fabricated from aluminum, ceramic, stainless steel or other suitable material.
  • the shaft 532 additionally includes a flared end 526 and a second end 528 .
  • the second end 528 is typically flat to promote self-standing of the lift pins 520 on lift plate 124 and centering of the lift pin 520 within the lift pin guide hole 118 (shown in phantom). Alternatively, the second end 528 of the lift pin 520 may be rounded.
  • the shoulder 530 is the only part of the lift pin 520 that contacts the lift pin guide hole 118 , while defining relief regions 504 A, 504 B between the guide hole 118 and shaft 532 .
  • the shoulder 530 is typically fabricated from a different material than the shaft 532 .
  • the shoulder 530 may be fabricated from stainless steel while the shaft 532 may be fabricated from another material, such as ceramic or aluminum.
  • the shoulder 530 may also be hard chromium plated or electropolished to improve the service life and performance of the lift pin 520 .
  • the shoulder 530 may be fabricated from ceramic or aluminum, and coupled to a shaft 532 fabricated from a different material.
  • FIG. 6 depicts another alternate embodiment of a lift pin 600 according to the present invention.
  • a lift pin 600 comprises a shaft 632 and two outwardly extending shoulders 630 A, 630 B spaced-apart along the length of the shaft 632 .
  • the lift pin 600 has a flared first end 626 and a second end 628 .
  • the second end 628 may have large radius, be rounded or flat.
  • the shaft 632 is typically fabricated from aluminum, ceramic, stainless steel or other suitable material.
  • the shoulders 630 A, 630 B contact a lift pin guide hole 118 (shown in phantom), while relief regions 602 are formed between the guide hole 118 and the shaft 632 to minimize contact therebetween.
  • the bearing surfaces 622 of the shoulders 630 A, 630 B are typically rounded to provide essentially point contact of the lift pin 600 with the guide hole 118 .
  • the shoulders 630 A, 630 B may be fabricated from a single block of material with the shaft 632 , or as independent elements coupled together, similar to the lift pin described above with reference to FIG. 5 .
  • the shoulders 630 A, 630 B are electropolished stainless rings disposed on a ceramic shaft 632 .
  • the shoulders 630 A, 630 B may alternatively be fabricated from ceramic or aluminum.
  • an improved lift pin is provided that moves smoothly through a substrate support while minimizing particle generation typically associated with the lift pin and substrate support contact.
  • the lift pin of the present invention also reduces scratching, binding and galling of the lift pins, thereby improving the quality and useful life of the pins so designed.

Abstract

A substrate support is provided that features a lift pin having at least one larger diameter shoulder section that forms a relief region between the lift pin and a guide hole disposed through a substrate support. The shoulder section minimizes contact between the substrate support and lift pin guide hole, thereby reducing pin scratching, particle generation, component wear, and increasing the useful life of the pin. In another embodiment, a flat-bottom tip is provided to promote self-standing of the lift pin, reducing pin tilting or leaning of the lift pin within the guide hole.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 10/241,005, filed Sep. 10, 2002, which issued May 3, 2005 as U.S. Pat. No. 6,887,317.
  • FIELD OF THE INVENTION
  • The present invention relates generally to an apparatus for semiconductor processing. More specifically, the invention relates to a lift pin utilized for spacing substrates from a substrate support.
  • BACKGROUND OF THE INVENTION
  • Integrated circuits have evolved into complex devices that include millions of transistors, capacitors and resistors on a single chip. The evolution of chip design results in faster circuitry and greater circuit density. As the demand for integrated circuits continues to rise, chip manufactures have demanded semiconductor process tooling having increased wafer throughput, greater product yield, and more robust processing equipment. To meet demands, tooling is being developed to minimize particle contamination and increase the service life of tool components.
  • One source of particle generation is the lift pins utilized to space a substrate from a substrate support, typically in a processing chamber. The lift pins generally reside in guide holes disposed through the substrate support. The upper ends of the lift pins are typically flared to prevent the pins from passing through the guide holes. The lower ends of the lift pins extend below the substrate support and are actuated by a lift plate that contacts the pins at their lower ends. The lift plate is movable in a vertical direction between upper and lower positions. In the upper position, the lift plate moves the lift pins through the guide holes formed through the substrate support to extend the flared ends of the lift pins above the substrate support, thereby lifting the substrate into a spaced apart relation relative to the substrate support to facilitate substrate transfer.
  • One problem that has been observed in the prior art is particle generation attributed to contact between the lift pins and the guide holes as the lift pins move through the substrate support. Specifically, contact of the sides of the lift pin with the bottom edge of the guide hole may cause deep scratches over the entire length of the lift pin. Additionally, as the scratched pin repeatedly passes through the guide holes of the substrate support, the lift pin becomes more susceptible to binding and galling, resulting in shortened lift pin service life.
  • Therefore, there is a need in the art for an improved lift pin.
  • SUMMARY OF THE INVENTION
  • An improved lift pin design for spacing a substrate from a substrate support is provided. The lift pin features at least one larger diameter shoulder section that forms a relief region between the pin and a guide hole disposed through a substrate support. The shoulder section minimizes contact between the substrate support and lift pin guide hole, thereby reducing pin scratching, particle generation, component wear, and increasing the useful life of the pin. In another embodiment, a flat-bottom tip is provided to promote self-standing of the lift pin, reducing pin tilting or leaning of the lift pin within the guide hole.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a sectional view of a metal deposition chamber;
  • FIG. 2 is a sectional view of a lift pin assembly; and
  • FIGS. 3-6 depict elevations of various alternative embodiments of a lift pin according to the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention generally provides an apparatus for processing a semiconductor substrate. The invention is illustratively utilized in a processing system, such as a Txz® CVD processing system, available from Applied Materials, Inc., of Santa Clara, Calif. However, it should be understood that the invention may be incorporated into other chamber configurations such as physical vapor deposition chambers, etch chambers, ion implant chambers, and other semiconductor processing chambers.
  • FIG. 1 depicts a cross sectional view of a processing system 100. The system 100 generally comprises a chamber body 102 coupled to a gas source 104. The chamber body 102 is typically a unitary, machined structure fabricated from a rigid block of material such as aluminum. Within the chamber body 102 is a showerhead 106 and a substrate support assembly 108. The showerhead 106 is coupled to the upper surface or lid of the chamber body 102 and provides a uniform flow of gas from the gas source 104 that is dispersed over a substrate 101 positioned on a substrate support assembly 108.
  • The substrate support assembly 108 generally comprises a substrate support 110 and a stem 112. The stem 112 positions the substrate support 110 within the chamber body 102. The substrate 101 is placed upon the substrate support 110 during processing. The substrate support 110 may be a susceptor, a heater, an electrostatic chuck or a vacuum chuck. Typically, the substrate support 110 is fabricated from ceramic, aluminum, stainless steel or combinations thereof. The substrate support 110 has a plurality of guide holes 118 disposed therethrough, each hole 118 accommodating a lift pin 120 of a lift pin assembly 114.
  • The lift pin assembly 114 interacts with the substrate support 110 to position the substrate 101 relative to the substrate support 110. The lift pin assembly 114 typically includes the lift pins 120, a lift plate 124 and an actuator 116. The elevation of the lift plate 124 is controlled by the actuator 116. The actuator 116 may be a pneumatic cylinder, hydraulic cylinder, lead screw, solenoid, stepper motor or other motion device that is typically positioned outside of the processing chamber 102 and adapted to move the lift plate 124. As the lift plate 124 is moved towards the substrate support 110, the lift plate 124 contacts the lower ends of the lift pins 120 to move the lift pins 120 through the substrate support 110. The upper ends of the lift pins 120 move away from the substrate support 110 and lift the substrate 101 into a spaced-apart relation relative to the substrate support 110.
  • FIG. 2 is a sectional view of the lift pin assembly 114. The plurality of lift pins 120 are disposed axially through the lift pin guide holes 118 formed through the substrate support 110. The guide holes 118 may be integrally formed in the substrate support 110, or may alternatively be defined by an inner passage of a guide bushing 202 disposed in the substrate support 110 as depicted in FIG. 2. The guide bushing 202 is typically comprised of ceramic, stainless steel, aluminum or other suitable material.
  • The lift pins 120 are typically comprised of ceramic, stainless steel, aluminum, or other suitable material. A cylindrical bearing surface 204 of the lift pin 120 may additionally be treated to reduce friction and surface wear. For example, the cylindrical bearing surface 204 of the lift pin 120 may be hard chromium plated or electropolished to reduce friction and make the bearing surface 204 harder, smoother, and more resistant to scratching and corrosion.
  • A first end 206 of the lift pin 120 is flared to prevent the pin from falling through the guide hole 118 disposed through the substrate support 110. The guide hole 118 is typically countersinked to allow the first end 206 to be positioned substantially flush with or slightly recessed from the substrate support 110 when the pin 120 is in a normal position (i.e., retracted relative to the substrate support 110).
  • A second end 208 of the lift pin 120 extends beyond the underside of the substrate support 110 and is adapted be urged by the lift plate 124 to extend the first end 206 of the lift pin 120 above the substrate support 110. The second end 208 may be rounded, flat or have another shape. In one embodiment, the second end 208 is flat (i.e., oriented perpendicular to the center line of the lift pin 120). The flat second end 208 stands the lift pin 120 on the lift plate 124, thereby maintaining the lift pins 120 substantially parallel to a central axis of the lift pins guide holes 118, advantageously reducing binding and contact between the pin and a lower edge 210 of the guide holes 118. Moreover, the self-standing lift pin 120 is easily centered within the lift pin guide hole 118, reducing the likelihood that the lift pin 120 will tilt or lean in the guide hole 118, thereby becoming jammed or scratched.
  • The lift pin 120 is designed to slide smoothly through the guide hole 118 and features a shaft 230 having a larger diameter shoulder 232. The shoulder 232 includes tapered ends 238A, 238B that transition the shoulder 232 and the other portions of the shaft 230. The shoulder 232 bounds at least one relief region 236 formed between the shaft 230 and the lift pin guide hole 118. As the lift pins 120 move through the guide holes 118, only two points (indicted by reference numerals 234) of the bearing surface 204 formed by the shoulder 232 are in contact with the guide hole 118, the probability of the lift pin 120 being scratched or jamming as a result of contact with the bottom edge 210 of the lift pin guide hole 118 is minimized.
  • FIGS. 3-6 depict sectional views of several alternate embodiments according to the present invention. FIG. 3 depicts a lift pin 320 having a flared end 326 and a large radius (rounded) end 328. The rounded end 328 may have a full radius. A shaft 332 extends from the rounded end 328 and is separated from a larger diameter shoulder 330 by a tapered transition 334. The larger diameter shoulder 330 is the only part of the lift pin 320 that contacts the lift pin guide hole 118, while a relief region 336 is formed between the guide hole 118 of the guide bushing 202 (shown in phantom) and the shaft 332. The length of the larger diameter shoulder 330 is configured so that only the larger diameter shoulder 330 contacts the guide hole 118 during movement of the lift pin 320.
  • FIG. 4 depicts a lift pin 420 that features a flared end 426, a large diameter section (shoulder) 430, a shaft 432, and a tapered transition 434 that are similar to those of the lift pin 320. The lift pin 420 additionally features a flat end 428 that promotes self-standing and centering of the pin 420 within a guide hole (not shown).
  • FIG. 5 is an embodiment of a lift pin 520 having a larger diameter shoulder 530 separating two narrower portions of a shaft 532. The shoulder 530 transitions to the shaft 532 at two tapered ends 534A, 534B. The shaft 532 is typically fabricated from aluminum, ceramic, stainless steel or other suitable material. The shaft 532 additionally includes a flared end 526 and a second end 528. The second end 528 is typically flat to promote self-standing of the lift pins 520 on lift plate 124 and centering of the lift pin 520 within the lift pin guide hole 118 (shown in phantom). Alternatively, the second end 528 of the lift pin 520 may be rounded.
  • The shoulder 530 is the only part of the lift pin 520 that contacts the lift pin guide hole 118, while defining relief regions 504A, 504B between the guide hole 118 and shaft 532. The shoulder 530 is typically fabricated from a different material than the shaft 532. In one embodiment, the shoulder 530 may be fabricated from stainless steel while the shaft 532 may be fabricated from another material, such as ceramic or aluminum. The shoulder 530 may also be hard chromium plated or electropolished to improve the service life and performance of the lift pin 520. Alternatively, the shoulder 530 may be fabricated from ceramic or aluminum, and coupled to a shaft 532 fabricated from a different material.
  • FIG. 6 depicts another alternate embodiment of a lift pin 600 according to the present invention. A lift pin 600 comprises a shaft 632 and two outwardly extending shoulders 630A, 630B spaced-apart along the length of the shaft 632. The lift pin 600 has a flared first end 626 and a second end 628. The second end 628 may have large radius, be rounded or flat. The shaft 632 is typically fabricated from aluminum, ceramic, stainless steel or other suitable material.
  • The shoulders 630A, 630B contact a lift pin guide hole 118 (shown in phantom), while relief regions 602 are formed between the guide hole 118 and the shaft 632 to minimize contact therebetween. The bearing surfaces 622 of the shoulders 630A, 630B are typically rounded to provide essentially point contact of the lift pin 600 with the guide hole 118. The shoulders 630A, 630B may be fabricated from a single block of material with the shaft 632, or as independent elements coupled together, similar to the lift pin described above with reference to FIG. 5. In one embodiment, the shoulders 630A, 630B are electropolished stainless rings disposed on a ceramic shaft 632. The shoulders 630A, 630B may alternatively be fabricated from ceramic or aluminum.
  • Therefore, an improved lift pin is provided that moves smoothly through a substrate support while minimizing particle generation typically associated with the lift pin and substrate support contact. The lift pin of the present invention also reduces scratching, binding and galling of the lift pins, thereby improving the quality and useful life of the pins so designed.
  • Although various embodiments which incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims (20)

1. An apparatus for selectively spacing a substrate from a substrate support, comprising:
a substrate support having a lift pin guide hole disposed through the substrate support;
a lift pin shaft disposed within the lift pin guide hole, the lift pin shaft having at least a first shoulder section circumscribing a portion of the lift pin shaft and disposed movably within the lift pin guide hole; and
a lift plate disposed proximate an end of the lift pin.
2. The apparatus of claim 1 further comprising:
a lift pin guide disposed through a portion of the substrate support and having the lift pin guide hole formed therein.
3. The apparatus of claim 1, wherein at a gap is defined between the lift pin guide hole and the lift pin, the gap bounded on at least one side by the first shoulder section.
4. The apparatus of claim 1 further comprising:
a second shoulder section disposed on the pin shaft in a spaced-apart relation to the first should section.
5. The apparatus of claim 4, wherein the first shoulder section and the second shoulder section each comprise a rounded surface providing a bearing surface against the lift pin guide hole.
6. The apparatus of claim 1, wherein the pin shaft further comprises:
a flat end; and
a flared head disposed opposite the flat end.
7. The apparatus of claim 1, wherein the first shoulder section is comprised of a material different than the pin shaft.
8. The apparatus of claim 1, wherein the first shoulder section is stainless steel and the pin shaft is ceramic.
9. The apparatus of claim 1, wherein the first shoulder section is at least one of hard chromium plated or electropolished.
10. The apparatus of claim 1, wherein the pin shaft comprises one or more sections of lesser diameter than the first shoulder section.
11. The apparatus of claim 1, wherein the first shoulder section includes tapered ends that transition the first shoulder section and the pin shaft.
12. An apparatus for selectively spacing a substrate from a substrate support, comprising:
a pin shaft having a first diameter section and a second diameter section;
a flared end adapted to support the substrate thereon and coupled to the first diameter section of the pin shaft;
a first shoulder section connected concentrically to the pin shaft between the first diameter section and the second diameter section, the first shoulder section having a diameter greater than the first diameter section and the second diameter section of the pin shaft; and
a substrate support having a hole formed therethrough, the hole having the first shoulder section of the pin shaft movably disposed therein.
13. The apparatus of claim 12, wherein an end of the first shoulder section disposed away from the flared end is at a distance from the flared end that is less than a length of the hole through the substrate support in which the pin shaft is disposed.
14. The apparatus of claim 12, wherein the pin shaft further comprises a flat end opposite the flared head.
15. The apparatus of claim 12, wherein the first shoulder section is comprised of a material different than the pin shaft.
16. The apparatus of claim 15, wherein the shoulder section is stainless steel and the pin shaft is ceramic.
17. The apparatus of claim 12 further comprising:
a second shoulder section disposed on the pin shaft in a spaced-apart relation to the first should section.
18. A method for spacing a substrate from a substrate support, comprising:
elevating a lift plate to urge a plurality of lift pins in an upwards direction; and
moving the lift pins through a substrate support while maintaining at least a first contact point of each of the lift pins in contact with the substrate support while the lift pins move.
19. The method of claim 18, wherein the step of moving further comprises:
maintaining a second contact point of each of the lift pins in contact with the substrate support while the lift pins move.
20. The method of claim 18, wherein the step of elevating the lift plate to further comprises:
contacting the lift plate to a flat end of each of the lift pins to orientate each lift pin perpendicular to a plane of the lift plate.
US11/120,456 2002-09-10 2005-05-03 Reduced friction lift pin Abandoned US20050194100A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/120,456 US20050194100A1 (en) 2002-09-10 2005-05-03 Reduced friction lift pin

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/241,005 US6887317B2 (en) 2002-09-10 2002-09-10 Reduced friction lift pin
US11/120,456 US20050194100A1 (en) 2002-09-10 2005-05-03 Reduced friction lift pin

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/241,005 Continuation US6887317B2 (en) 2002-09-10 2002-09-10 Reduced friction lift pin

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US29/256,744 Continuation USD568914S1 (en) 2002-09-10 2006-03-24 Substrate support lift pin

Publications (1)

Publication Number Publication Date
US20050194100A1 true US20050194100A1 (en) 2005-09-08

Family

ID=31991075

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/241,005 Expired - Lifetime US6887317B2 (en) 2002-09-10 2002-09-10 Reduced friction lift pin
US11/120,456 Abandoned US20050194100A1 (en) 2002-09-10 2005-05-03 Reduced friction lift pin

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/241,005 Expired - Lifetime US6887317B2 (en) 2002-09-10 2002-09-10 Reduced friction lift pin

Country Status (1)

Country Link
US (2) US6887317B2 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040107911A1 (en) * 2002-12-02 2004-06-10 Hur Gwang Ho Substrate support member for use in FPD manufacturing apparatus
US20070089672A1 (en) * 2005-09-30 2007-04-26 Akinori Shimamura Substrate placing mechanism
US20090155025A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Lift pin for substrate processing
US20090314211A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
KR200461690Y1 (en) 2007-02-01 2012-07-30 어플라이드 머티어리얼스, 인코포레이티드 Mask etch plasma reactor with cathode lift pin assembly
WO2013078465A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency rf range
US20140202635A1 (en) * 2013-01-22 2014-07-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
WO2022072435A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7004639B2 (en) * 2002-07-16 2006-02-28 Norland Products, Inc. Interferometric microscope fixture and method of use
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
CN1729554B (en) * 2002-12-20 2014-05-07 马特森技术有限公司 Methods and systems for supporting a workpiece and for heat-treating the workpiece
JP4354243B2 (en) * 2003-04-21 2009-10-28 東京エレクトロン株式会社 Elevating mechanism and processing apparatus for workpiece
JP4312001B2 (en) * 2003-07-28 2009-08-12 リアライズ・アドバンストテクノロジ株式会社 Substrate support device and substrate removal method
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20060016398A1 (en) * 2004-05-28 2006-01-26 Laurent Dubost Supporting and lifting device for substrates in vacuum
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
KR101089096B1 (en) * 2004-12-28 2011-12-06 엘지디스플레이 주식회사 Chuck For Exposure Machine
KR101235623B1 (en) * 2005-07-22 2013-02-21 엘아이지에이디피 주식회사 Lift Pin Assembly and Plasma Processingg Apparatus
JP5025109B2 (en) * 2005-08-26 2012-09-12 東京エレクトロン株式会社 Substrate mounting mechanism, substrate processing apparatus, and method of manufacturing substrate mounting mechanism
CN100440476C (en) * 2005-09-30 2008-12-03 东京毅力科创株式会社 Substrate placing mechanism and substrate processing device
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
JP5967859B2 (en) 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド System and method for supporting a workpiece during heat treatment
KR101332294B1 (en) * 2006-12-27 2013-11-22 주성엔지니어링(주) Substrate support pin assembly
JP4693805B2 (en) * 2007-03-16 2011-06-01 株式会社東芝 Semiconductor device manufacturing apparatus and manufacturing method
JP4809478B2 (en) * 2007-06-19 2011-11-09 株式会社アルバック Substrate transfer method
US7824146B2 (en) * 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP5036614B2 (en) * 2008-04-08 2012-09-26 東京応化工業株式会社 Substrate stage
CN102089873A (en) 2008-05-16 2011-06-08 加拿大马特森技术有限公司 Workpiece breakage prevention method and apparatus
US8681472B2 (en) * 2008-06-20 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Platen ground pin for connecting substrate to ground
US9953849B2 (en) 2008-06-20 2018-04-24 Varian Semiconductor Equipment Associates, Inc. Platen for reducing particle contamination on a substrate and a method thereof
WO2011017226A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Compound lift pin tip with temperature compensated attachment feature
AT11604U1 (en) * 2009-08-20 2011-01-15 Aichholzer Johann Ing CARRIER FOR WAFER
WO2011159390A1 (en) 2010-06-07 2011-12-22 Cascade Microtech, Inc. High voltage chuck for a probe station
WO2013058970A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Substrate support bushing
US10857655B2 (en) * 2013-03-13 2020-12-08 Applied Materials, Inc. Substrate support plate with improved lift pin sealing
US10195704B2 (en) * 2013-03-15 2019-02-05 Infineon Technologies Ag Lift pin for substrate processing
US10163676B2 (en) * 2013-06-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and system for preventing backside peeling defects on semiconductor wafers
CN103454810B (en) * 2013-09-09 2016-06-08 深圳市华星光电技术有限公司 Apparatus for baking for liquid crystal orienting film
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US9978632B2 (en) * 2014-06-13 2018-05-22 Applied Materials, Inc. Direct lift process apparatus
US10192770B2 (en) * 2014-10-03 2019-01-29 Applied Materials, Inc. Spring-loaded pins for susceptor assembly and processing methods using same
CN105256283B (en) * 2015-10-30 2017-08-11 京东方科技集团股份有限公司 A kind of substrate fixes carrier, the fixedly separated method of substrate and substrate evaporation coating method
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US20190287835A1 (en) * 2018-02-01 2019-09-19 Yield Engineering Systems, Inc. Interchangeable Edge Rings For Stabilizing Wafer Placement And System Using Same
US11121010B2 (en) * 2018-02-15 2021-09-14 Tokyo Electron Limited Plasma processing apparatus
US20190382891A1 (en) * 2018-06-18 2019-12-19 Applied Materials, Inc. Method and solution for resolving cgt mura issue
CN109487240A (en) * 2018-12-11 2019-03-19 武汉华星光电半导体显示技术有限公司 For the roller bush sub-assembly in chemical vapor deposition chamber
KR20210086748A (en) * 2019-12-30 2021-07-09 세메스 주식회사 Method for lifting substrate and apparatus for treating substrate
TW202137326A (en) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 Substrate support, plasma processing system, and method of placing annular member
US20220351951A1 (en) * 2021-04-29 2022-11-03 Applied Materials, Inc. Substrate support apparatus, methods, and systems having elevated surfaces for heat transfer
USD1009817S1 (en) * 2021-09-28 2024-01-02 Applied Materials, Inc. Shadow ring lift pin

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4289522A (en) * 1980-07-03 1981-09-15 Corning Glass Works Support member for an optical waveguide preform
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5848670A (en) * 1996-12-04 1998-12-15 Applied Materials, Inc. Lift pin guidance apparatus
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5900062A (en) * 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
US20020011204A1 (en) * 2000-02-28 2002-01-31 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
US20020121312A1 (en) * 2001-03-01 2002-09-05 Dmitry Lubomirsky Lift pin alignment and operation methods and apparatus
USD469007S1 (en) * 2002-06-07 2003-01-21 Shiu-Mei Chen Screw
US6511368B1 (en) * 1999-10-27 2003-01-28 Strasbaugh Spherical drive assembly for chemical mechanical planarization
US20030075387A1 (en) * 2001-10-22 2003-04-24 Chung-Chiang Wang Wafer loading device
US20030205329A1 (en) * 2000-02-28 2003-11-06 Rudolf Gujer Semiconductor wafer support lift-pin assembly
US20040045509A1 (en) * 2002-09-10 2004-03-11 Or David T. Reduced friction lift pin
US20040219006A1 (en) * 2003-05-01 2004-11-04 Applied Materials, Inc. Lift pin assembly for substrate processing
USD502644S1 (en) * 1998-06-25 2005-03-08 Southco, Inc. Captive screw
USD504313S1 (en) * 2002-02-20 2005-04-26 Airbus Deutschland Gmbh Lockbolt
USD506922S1 (en) * 2003-08-07 2005-07-05 Larry Simon Screw
US20070131173A1 (en) * 1995-09-01 2007-06-14 Asm America, Inc. Wafer support system
US20070157466A1 (en) * 2005-12-27 2007-07-12 Nhk Spring Co., Ltd. Substrate supporting apparatus and manufacturing method therefor

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07176472A (en) * 1993-12-20 1995-07-14 Dainippon Screen Mfg Co Ltd Substrate heater

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4289522A (en) * 1980-07-03 1981-09-15 Corning Glass Works Support member for an optical waveguide preform
US20070131173A1 (en) * 1995-09-01 2007-06-14 Asm America, Inc. Wafer support system
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5900062A (en) * 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5848670A (en) * 1996-12-04 1998-12-15 Applied Materials, Inc. Lift pin guidance apparatus
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
USD502644S1 (en) * 1998-06-25 2005-03-08 Southco, Inc. Captive screw
US6511368B1 (en) * 1999-10-27 2003-01-28 Strasbaugh Spherical drive assembly for chemical mechanical planarization
US20020011204A1 (en) * 2000-02-28 2002-01-31 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
US20030205329A1 (en) * 2000-02-28 2003-11-06 Rudolf Gujer Semiconductor wafer support lift-pin assembly
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
US20020121312A1 (en) * 2001-03-01 2002-09-05 Dmitry Lubomirsky Lift pin alignment and operation methods and apparatus
US20030075387A1 (en) * 2001-10-22 2003-04-24 Chung-Chiang Wang Wafer loading device
USD504313S1 (en) * 2002-02-20 2005-04-26 Airbus Deutschland Gmbh Lockbolt
USD469007S1 (en) * 2002-06-07 2003-01-21 Shiu-Mei Chen Screw
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US20040045509A1 (en) * 2002-09-10 2004-03-11 Or David T. Reduced friction lift pin
US20040219006A1 (en) * 2003-05-01 2004-11-04 Applied Materials, Inc. Lift pin assembly for substrate processing
US7204888B2 (en) * 2003-05-01 2007-04-17 Applied Materials, Inc. Lift pin assembly for substrate processing
USD506922S1 (en) * 2003-08-07 2005-07-05 Larry Simon Screw
US20070157466A1 (en) * 2005-12-27 2007-07-12 Nhk Spring Co., Ltd. Substrate supporting apparatus and manufacturing method therefor

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040107911A1 (en) * 2002-12-02 2004-06-10 Hur Gwang Ho Substrate support member for use in FPD manufacturing apparatus
US20070089672A1 (en) * 2005-09-30 2007-04-26 Akinori Shimamura Substrate placing mechanism
KR200461690Y1 (en) 2007-02-01 2012-07-30 어플라이드 머티어리얼스, 인코포레이티드 Mask etch plasma reactor with cathode lift pin assembly
US20090155025A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Lift pin for substrate processing
US8256754B2 (en) 2007-12-12 2012-09-04 Applied Materials, Inc. Lift pin for substrate processing
US20090314211A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9735020B2 (en) 2010-02-26 2017-08-15 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10622195B2 (en) 2011-11-22 2020-04-14 Lam Research Corporation Multi zone gas injection upper electrode system
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US11127571B2 (en) 2011-11-22 2021-09-21 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078465A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency rf range
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10340174B2 (en) * 2013-01-22 2019-07-02 Tokyo Electron Limited Mounting table and plasma processing apparatus
US20140202635A1 (en) * 2013-01-22 2014-07-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
TWI601234B (en) * 2013-01-22 2017-10-01 Tokyo Electron Ltd Platen and plasma processing equipment
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
WO2022072435A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin

Also Published As

Publication number Publication date
US6887317B2 (en) 2005-05-03
US20040045509A1 (en) 2004-03-11

Similar Documents

Publication Publication Date Title
US6887317B2 (en) Reduced friction lift pin
US11887879B2 (en) In-situ apparatus for semiconductor process module
US20090314211A1 (en) Big foot lift pin
CN210167343U (en) Removable and removable process kit
KR101174816B1 (en) Focus Ring of Plasma Processing Apparatus and Plasma Processing Apparatus Having the Same
US6241825B1 (en) Compliant wafer chuck
US10490436B2 (en) Enhanced lift pin design to eliminate local thickness non-uniformity in teos oxide films
TWI435408B (en) Lift pin for substrate processing
JP7290739B2 (en) Replaceable end effector contact pads, end effectors and maintenance methods
US20230178416A1 (en) Floating pin for substrate transfer
KR100639572B1 (en) Electrostatic chuck having double flat zones
KR102650933B1 (en) Enhanced lift pin design to eliminate local thickness non-uniformity in teos oxide films
CN115602574A (en) Transfer assembly and apparatus for processing substrate having the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OR, DAVID T.;KOAI, KEITH K.;TAKAHAA, HIROYUKI;AND OTHERS;REEL/FRAME:016534/0573;SIGNING DATES FROM 20021021 TO 20021104

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION