US20050136682A1 - Method for plasma etching using periodic modulation of gas chemistry - Google Patents

Method for plasma etching using periodic modulation of gas chemistry Download PDF

Info

Publication number
US20050136682A1
US20050136682A1 US11/055,878 US5587805A US2005136682A1 US 20050136682 A1 US20050136682 A1 US 20050136682A1 US 5587805 A US5587805 A US 5587805A US 2005136682 A1 US2005136682 A1 US 2005136682A1
Authority
US
United States
Prior art keywords
gas
etching
etch
phase
gas chemistry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/055,878
Inventor
Eric Hudson
James Tietz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/055,878 priority Critical patent/US20050136682A1/en
Publication of US20050136682A1 publication Critical patent/US20050136682A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Definitions

  • the invention relates to a method of obtaining a structure on a semiconductor wafer by etching through structures defined by a mask, such as a photoresist mask, hard mask, or a stacked mask, using a plasma.
  • a mask such as a photoresist mask, hard mask, or a stacked mask
  • a plasma etcher In semiconductor plasma etching applications, a plasma etcher is usually used to transfer a mask pattern into a circuit and line pattern of a desired thin film and/or filmstack (conductors or dielectric insulators) on a wafer. This is achieved by etching away the films (and filmstacks) underneath the photoresist materials in the opened areas of the mask pattern. This etching reaction may be initiated by the chemically active species and electrically charged particles (ions) generated by exciting an electric discharge in a reactant mixture contained in a vacuum enclosure also referred to as a reactor or process chamber.
  • a vacuum enclosure also referred to as a reactor or process chamber.
  • the ions may be also accelerated towards the wafer materials through an electric field created between the gas mixture and the wafer materials, generating a directional removal of the etching materials along the direction of the ion trajectory in a manner referred to as anisotropic etching.
  • anisotropic etching At the finish of the etching sequence, the masking materials are removed by stripping them away, leaving in its place a replica of the lateral pattern of the original intended mask patterns.
  • This etching method is illustrated in FIG.'S 1 A-C. In this method, a plasma etching process is used to transfer directly the photoresist mask pattern 104 into that of the underlying oxide dielectric thin film 108 , as shown in FIG. 1A .
  • the etching generates a contact hole 112 and erodes and damages the photoresist 104 , as shown in FIG. 1B .
  • the photoresist is then removed leaving the contact hole 112 in the oxide 108 , as shown in FIG. 1C .
  • the mask materials are usually eroded and/or damaged in exchange for the pattern transfer. Consequently, some of the damage and erosion also may be transferred to the underlying layers leaving such undesirable pattern distortions such as striation, CD enlargement, faceting, etc.
  • An objective of the etching methodology may include reducing the mask erosion to enhance the fidelity of the pattern transfer from the mask patterns.
  • a passivation gas in the reactive etching mixture.
  • This passivation gas can be chosen in such a way that its presence selectively reduces the etching damage and erosion of the masking materials relative to the removal rate of the thin film materials to be etched.
  • the passivation gas can be chosen in such a way that, an etching retardation coating is generated on the surface of the masking materials acting as a barrier to slow down the etching reaction.
  • the passivation gas is chosen in a way that it additionally beneficially forms an etching retardation coating on vertical surfaces of the film structures to be etched, such that etching reaction cannot advance in the absence of the ion bombardment.
  • etching can therefore advance only in the vertical direction, with little to no etching in the lateral direction, creating an anisotropic etching profile.
  • the presence of a passivation gas in the etching mixture is very important for the advantage of better etching mask protection and highly anisotropic etching profile by the use of relatively high energy directional ion bombardment.
  • the reactive gas mixture contain etching gases and polymer formers, with the latter acting the role of a passivation gas.
  • the etching gases release highly reactive species by the excitation of an electrical discharge, which in turn etches the thin film materials to be etched as well as the masking materials by the mechanism of a spontaneous reaction.
  • spontaneous reactions the etching reaction advances in both the vertical as well as the lateral surfaces, creating isotropic etching profiles.
  • the co-presence of a polymer former through generation of a polymer deposit on the surface of the etching structures and masking materials, can be used to create simultaneously high etching selectivity to masking materials and etching anisotropy, in conjunction with the ion bombardment.
  • the reactive gas mixture contain polymer former gases and an etching enabler gas.
  • the role of the etching enabler gas is to enable the etching enabler gas to release highly reactive species by reacting with the polymer former gases in the presence of an electrical discharge.
  • a retardation coating on the etching materials as well as the masking materials can also be formed by chemical reaction of a properly chosen passivation gas directly with the surfaces of these materials.
  • a common disadvantage of the above mentioned methods is that the optimum conditions for different aspects of the etching requirement usually do not coincide and by mixing the gases some of the unique properties of each precursor gases may be lost due to inter-reactions.
  • the etching condition optimization almost always involve complex trade-offs into a single etching condition that may not be the optimum should the different etching chemistries be separate.
  • the etching process is isotropic during the duration when the etching is proceeding, since there is no retardation layer to prevent the lateral etching from occurring. Additionally, without the passivation gas in the etching mixture, it may be difficult to obtain sufficient etching selectivity to the masking materials if the desire is there to use higher ion energies. Many etching applications can benefit from high ion bombardment energy to obtain high aspect ratio structures in very small dimension structures, for example. It is also believed that such processes have undesirable striation and faceting.
  • FIG.'S 2 A-F Additional proposed methods include a stacked masking scheme to improve the overall etching resistance of the masking materials. This is illustrated in FIG.'S 2 A-F.
  • FIG. 2A an oxide layer 204 is provided.
  • FIG. 2B shows a hardmask layer 208 placed over the oxide layer.
  • a photoresist mask 212 is placed over the hardmask layer 208 , as shown in FIG. 2C .
  • the photoresist mask 212 is used to pattern the hardmask layer 208 to create a patterned hardmask layer 214 , and the photoresist layer 212 may be removed, as shown in FIG. 2D .
  • a contact hole 216 is etched in the oxide layer 204 , using the patterned hardmask layer 214 as a mask as shown in FIG. 2E .
  • the hardmask is then removed leaving the contact 216 in the oxide layer 204 , as shown in FIG. 2F .
  • the advantages of this method are that, by having a more inert hardmask from which to transfer patterns (circuits and lines) to the underlying films, the etch performance is much enhanced and the requirement on the etching and photolithography is also much reduced.
  • the disadvantages of this method are that, by introducing new process steps and new tool sets into the process flow, it is of higher cost and lower overall throughput.
  • the extra process complexity also introduces difficulties by itself. For example, the Si hardmask used for dielectric contact etch applications is not as easily stripped as the photoresist mask.
  • a method for etching a feature in a layer through an etch mask over a substrate is provided.
  • a gas-modulated cyclic process is performed for more than three cycles.
  • Each cycle comprises performing a protective layer forming phase using first gas chemistry with a deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.0055 to 7 seconds for each cycle.
  • the protective layer forming phase comprises providing the deposition gas and forming a plasma from the deposition gas.
  • Each cycle further comprises performing an etching phase for etching the feature through the etch mask using a second gas chemistry using a reactive etching gas chemistry, where the first gas chemistry is different than the second gas chemistry, wherein the etching phase is performed in about 0.005 to 14 seconds for each cycle.
  • Each etching phase comprises providing a reactive etching gas and forming a plasma from the reactive etching gas.
  • an apparatus for etching a feature in a layer through an etch mask over a substrate is provided.
  • a process chamber, within which the substrate may be placed is provided.
  • a first gas chemistry source for providing first gas chemistry of a deposition gas chemistry is provided.
  • a second gas chemistry source for providing a second gas chemistry of a reactive etching gas chemistry is provided.
  • a controller controllably connected to the first gas chemistry source and the second gas chemistry source, where the controller comprises computer readable media for performing a gas-modulated cyclic process for more than three cycles is provided.
  • the computer readable media comprises computer instructions for performing a protective layer forming phase using the first gas chemistry with the deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.0055 to 7 seconds for each cycle, comprising, computer instructions for providing the deposition gas and computer instructions for forming a plasma from the deposition gas.
  • the computer readable media further comprises computer instructions for performing an etching phase for etching the feature through the etch mask using the second gas chemistry using a reactive etching gas chemistry, where the first gas chemistry is different than the second gas chemistry, where the etching phase is performed in about 0.005 to 14 seconds for each cycle, comprising computer instructions for providing the reactive etching gas and computer instructions for forming a plasma from the reactive etching gas.
  • a method for etching a feature in a layer through an etch mask over a substrate is provided.
  • a gas-modulated cyclic process is performed for more than three cycles.
  • Each cycle comprises performing a first etching phase, wherein the first etching phase is performed in about 0.0055 to 14 seconds for each cycle.
  • the first etching phase comprises providing a first etch gas and forming a plasma from the first etch gas.
  • Each cycle further comprises performing a second etching phase, wherein the second etching phase is performed in about 0.005 to 14 seconds for each cycle.
  • Each second etching phase comprises providing a second etch gas that is different than the first etch gas and forming a plasma from the second etch gas.
  • FIG.'S 1 A-C are schematic views of the formation of a contact hole feature through a prior art process.
  • FIG.'S 2 A-F are schematic views of the formation of a contact hole feature through another prior art process.
  • FIG. 3 is a flow chart of an embodiment of the invention.
  • FIG.'S 4 A-F are schematic views of the formation of a contact hole using the inventive process.
  • FIG. 5 is a schematic view of a system that may be used in practicing the invention.
  • FIG. 6 is a scanning electron micrograph of a top view of results of etching a dense array of contacts using an example of the invention.
  • FIG. 7 is a scanning electron micrograph of a profile view of results of etching a dense array of contacts using an example of the invention.
  • FIG.'S 8 A-E schematically illustrate the build up of material on a surface in an inventive regime of fast cycling using submonolayers.
  • FIG.'S. 9 A-D schematically illustrate the build up of material on a surface in the regime of slower cycling.
  • FIG.'S 10 A and 10 B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • protective layers such as sidewall passivation layers that are on the order of 10 nm thick or greater and then etching, while using the protective layers as a passivation layer, may cause striations and faceting. Without being bound by theory, it is believed that layers of such thickness are not sufficiently conformal to provide desired protection against striation. It is believed that the thin protective layers provided by the invention significantly reduce striation. Such a thin protective layer may also reduce faceting. It is also believed that this reduces CD enlargement, providing CD control or control of CD bias, where CD bias is defined as CD change during etch.
  • the invention is a new etching method in which an in-situ gas-modulated cyclic etch process alternates between a protective layer formation phase and an etch phase to enhance the overall etch performance without unduly sacrificing simplicity and cost-effectiveness.
  • the modulation specifically includes cyclic variation of the composition and/or flow ratios of the process feed gases, and may also include synchronized variations in the RF power, gas pressure, and temperatures.
  • the cyclic process is characterized by a total cycle time and by the cycle time ratio, which is the ratio between time for the protective layer formation phase and the time for the etch phase.
  • this approach is modified such that the process step responsible for protecting the mask and sidewalls is introduced as one phase of a gas-modulated cyclic process, in alternation with a compatible etch phase.
  • the protective layer formation process may be chosen in such a way that a thin film of material is formed on the surfaces of the mask and/or the sidewalls of the film being etched to prevent etch erosion, faceting, and striation.
  • This thin coating may be of a material that is compatible with later stripping process for ease of final removal but more etch resistant than the mask materials.
  • a carbon-rich thin film containing very low to no amount of other elements, may be used to coat a photoresist mask so that protected mask features are not easily eroded by the subsequent etching process. In other words, it may change the surface composition of the mask pattern such that the mask behaves like a pseudo hardmask, having certain beneficial etching characteristics of an amorphous carbon hardmask.
  • the layer forming process may also be used in such a way that the formation of the thin coating on the mask pattern largely compensates for and/or repairs the mask patterns damaged/eroded by the prior etch process.
  • the relative inertness of the coating to the subsequent etching reaction is beneficial so as to not to alter the fine balance obtained in the etching step.
  • the thin coating may be produced using process conditions which provide a smooth conformal coverage of sidewalls, preventing the initiation of striations due to rough and/or corrugated sidewall polymer coatings.
  • the etching gas mixture may contain etchant species and a passivation species so as to not lose the benefits associated with a passivation gas in the etching chemistry.
  • the ratio of the etching to passivation components, along with a plurality of other processing conditions, is finely balanced to achieve optimum processing results, such as photoresist selectivity, etching anisotropy and etching rate etc.
  • the electrical discharge power may be kept high and the energy of the charged particles is also kept high to obtain high etch rate and good etching anisotropy in small dimensional structures.
  • the protective layer formation and etching cycle is repeated a large number of times until the completion of the etching task.
  • FIG. 3 is a flow chart of an embodiment of the invention.
  • a mask is provided on a layer to be etched (step 304 ).
  • the mask may be a photoresist mask, a hard mask, or a stacked mask.
  • FIG.'S 4 A-F are schematic illustrations of the process.
  • FIG. 4A shows a photoresist mask 404 , which has been provided on an oxide layer 408 to be etched, which is on a substrate.
  • the substrate is placed in a process chamber (step 306 ).
  • FIG. 5 is a schematic view of a process chamber 500 that may be used in the preferred embodiment of the invention.
  • the plasma processing chamber 500 comprises confinement rings 502 , an upper electrode 504 , a lower electrode 508 , a gas source 510 , and an exhaust pump 520 .
  • the gas source 510 comprises a protective layer gas source 512 , an etchant gas source 514 , and an additional gas source 516 .
  • the substrate wafer 580 over which the oxide layer is deposited, is positioned upon the lower electrode 508 .
  • the lower electrode 508 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate wafer 580 .
  • a suitable substrate chucking mechanism e.g., electrostatic, mechanical clamping, or the like
  • the reactor top 528 incorporates the upper electrode 504 disposed immediately opposite the lower electrode 508 .
  • the upper electrode 504 , lower electrode 508 , and confinement rings 502 define the confined plasma volume 540 .
  • Gas is supplied to the confined plasma volume by gas source 510 through a gas inlet 543 and is exhausted from the confined plasma volume through the confinement rings 502 and an exhaust port by the exhaust pump 520 .
  • the exhaust pump 520 forms a gas outlet for the plasma processing chamber.
  • An RF source 548 is electrically connected to the lower electrode 508 .
  • Chamber walls 552 define a plasma enclosure in which the confinement rings 502 , the upper electrode 504 , and the lower electrode 508 are disposed.
  • the RF source 548 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrodes are possible.
  • a controller 535 is controllably connected to the RF source 548 , the exhaust pump 520 , a first control valve 537 connected to the deposition gas source 512 , a second control valve 539 connected to the etch gas source 514 , and a third control valve 541 connected to the additional gas source 516 .
  • a showerhead may be connected to the gas inlet 543 .
  • the gas inlet 543 may be a single inlet for each gas source or a different inlet for each gas source or a plurality of inlets for each gas source or other possible combinations.
  • the structure is then prepared for a modulated etch (step 308 ).
  • Such preparation may comprise steps such as opening a BARC layer.
  • a gas-modulated cyclic etch process is then carried out (step 312 ).
  • the process chamber 500 modulates between at least two phases.
  • One phase is a step optimized to form a protective layer (step 316 ).
  • the other phase is a step optimized for etching (step 326 ).
  • the alternation between these phases is achieved by synchronized modulation of gas flow rates, and possibly RF power, surface temperature, and gas pressure.
  • a total cycle time is not greater than about 21 seconds. More preferably, a total cycle time is performed in 0.01 to 10 seconds. Most preferably, a total cycle time is performed in 0.5 to 5 seconds.
  • the cycle time ratio (protection: etch) is between 0.01 and 20. More preferably, the cycle time ratio (protection: etch) is between 0.05 and 5. Most preferably, the cycle time ratio (protection: etch) is between 0.2 and 1.
  • the gas modulation is carried out for between about 3 to 50,000 cycles. More preferably, the gas modulation is carried out for about 20 to 1000 cycles. Most preferably, the gas modulation is carried out at least about 100 cycles.
  • a protective layer is deposited on side walls of the etched features and possibly on top of the etch mask.
  • the deposition may be asymmetric so that the amount of deposition is formed preferentially more on the masking material than on the sidewalls. This may be aided by the line-of-sight of the location to the deposition source as well as by the selective nature of the chosen deposition process.
  • the deposition chemistry may be chosen in such a way that a coating is formed preferentially on the masking materials due to differences in the chemical reactivity of the materials. As can be seen in FIG.
  • a thicker protective layer 412 is formed on the top of the photoresist mask 404 than on the exposed oxide surface at the bottom of the photoresist mask and on the sidewalls of the photoresist mask.
  • the deposition is done in-situ in an etch chamber using a plasma enhanced chemical vapor deposition (CVD) process, which deposits a thin protective layer on the sidewall of the photoresist.
  • the deposition process may apply some ion bombardment energy to allow for selectivity of such deposition. In such a process the thickness of the sidewalls may be about two thirds the thickness of the layer on top of the mask.
  • the processing conditions may be changed as the etch front progresses through the material being etched to vary the thickness and spatial distribution of the protective layer. For example, it may be desirable to form a thicker coating on the sidewall of the film being etched as the etching proceeds deeper in order to protect the sidewalls from further distortion by the subsequent etching. A variation of cyclic processing conditions as the etch proceeds may provide for this. Since the layer forming and etching are separate phases of the cycle, the process conditions for the layer forming phase may be optimized for this result without interfering with the etch phase. Alternatively the total cycle time and/or cycle time ratio may be adjusted as the etch proceeds to provide this variation, without any change to the process parameters for the individual phases. In another preferred embodiment, the protective layer may be only deposited on the sidewalls.
  • the fluorine-to-carbon ratio of the deposition gas is not greater than 2:1.
  • deposition chemistries that may be used for plasma enhanced CVD may be, but are not limited to, CH 3 F, CH 2 F 2 , C 2 H 5 F, C 3 H 7 F, C 2 H 3 F, CH 4 , C 2 H 4 , C 2 H 6 , C 2 H 2 , C 3 H 8 , and SiH 4 , Si(CH 3 ) 4 , Si(C 2 H 5 ) 4 . It is preferred that these chemicals are halogen free or have a halogen to carbon ratio of no greater than 2:1.
  • the carbon based chemistry forms a thin etch resistant amorphous carbon layer.
  • the silane SiH 4 would be used to form an amorphous silicon layer (or polycrystalline silicon layer) over the photoresist.
  • the protective layer may have been modified with the presence of some F and H components. The presence of other elements, such as F, may be used to yield selective activity on different material surfaces such that deposition occurs preferentially on one but not the other materials, such as on the photoresist mask materials but not on SiO 2 layer, under appropriate ion bombardment. Other methods, such as sputtering, may be used to form the protective layer.
  • synchronized control of the etch system parameters may be implemented as follows.
  • the controller 535 may cause the first valve 537 to allow a deposition gas from the deposition gas source 512 into the process chamber 500 , while causing the second valve 539 to prevent etching gas from the etchant gas source 514 from entering the process chamber.
  • the controller 535 may also control the power supplied by the RF source 548 and the exhaust pump 520 in synchronization with the valve controls.
  • the controller may also be used to control the gas pressure in the wafer area, wafer backside He cooling pressure, the bias on the substrate, and various temperatures in synchronization with the valve controls.
  • Table I is a table of some of the parameters that may be used in a protective layer formation phase of a cyclic process in the preferred embodiment of the invention. TABLE I More Preferred Most Preferred Preferred Range Range Range Bias Voltage >50 volts >100 volts >300 volts Bias Energy >50 eV >100 eV >300 eV The bias may be provided by placing a constant voltage between an upper electrode above the substrate and a lower electrode below the substrate. In the preferred embodiment, an electrical negativity can be formed on the substrate holding the wafer materials (thereby applying a bias to the wafer) by applying a radio frequency (RF) voltage supplied by an RF power generator.
  • RF radio frequency
  • the protective layer formation phase 316 is an independent phase in the cyclic etch process 312 which may include different combinations of deposition gases as required for different etching applications of different materials, where the deposition may provide a protective coating around the etching features including the masking features.
  • the time of the cycle devoted to this phase is about 0.005 to 7 seconds. More preferably, the time of the cycle devoted to this phase is about 0.05 to 5 seconds. Most preferably, the time of the cycle devoted to this phase is about 0.25 to 2.5 seconds.
  • a layer with a thickness of less than 100 ⁇ is formed on the top and/or sidewalls over the duration of a single protective layer formation phase.
  • a layer between about 0.1 and 50 ⁇ is formed on the top and/or sidewalls over the duration of a single protective layer formation phase. Most preferably, a layer between about 1 and 10 ⁇ is formed on the top and/or sidewalls over the duration of a single protective layer formation phase. In the case of less than about 10 ⁇ layer thickness, the coverage may more accurately be described as a fraction of a monolayer in one embodiment, the protective layer forms a single monolayer over the duration of a single protective layer formation phase. In another embodiment, the protective layer forms a sub-monolayer, which is a layer that does not completely cover the surface with a single atomic or molecular layer but instead may provide a certain percentage (i.e. 75%) of surface coverage, over the duration of a single protective layer formation phase.
  • the etch phase 320 is an independent phase in the cyclic etch process 312 which is performed to advance the etch front 460 , producing the etch feature 416 (step 320 ), as shown in FIG. 4C .
  • Etching applications may include, but are not limited to, a dielectric contact etch, including high aspect ratio contact (HARC), damascene etch, dielectric trench etch (shallow or deep), self-aligned contact etch, gate mask open etch, via dielectric etch, dual-damascene via etch, dual damascene trench etch, conductor gate etch, conductor deep trench etch, conductor shallow trench isolation etch, and hardmask opening.
  • HEC high aspect ratio contact
  • damascene etch dielectric trench etch (shallow or deep)
  • self-aligned contact etch gate mask open etch
  • via dielectric etch dual-damascene via etch
  • dual damascene trench etch dual damascene trench etch
  • conductor gate etch conductor deep trench
  • the etch phase uses a high ion energy to provide a directional etch.
  • the etch phase may remove some or all of the protective layer 412 , as shown, over the duration of a single etch phase. All of the protective layer on some of the surfaces may be removed over the duration of a single etch phase.
  • the protective layer forming the sidewall on the photoresist 404 and at the bottom of the feature has been removed. Other parts of the protective layer may only be partially removed. In this example, only part of the protective layer 412 on the top surface of the photoresist 404 has been removed. In other embodiments, other parts of the protective layer may be partially etched way or completely etched away.
  • the etch phase removes some of the layer to be etched, and advances the etch front 460 .
  • the controller 535 may cause the second valve 539 to allow etching gas from the etchant gas source 514 into the process chamber 500 , while causing the first valve 537 to prevent deposition gas from the deposition gas source 512 from entering the process chamber.
  • the controller 535 may also control the power supplied by the RF source 548 and the exhaust pump 520 in synchronization with the valve controls.
  • the controller may also be used to control the gas pressure in the wafer area, wafer backside He cooling pressure, the bias on the substrate, and various temperatures in synchronization with the valve controls.
  • the cycle continues by returning to the protective layer formation phase described above, and by repeating the alternation between phases of the cycle for as long as the cyclic etch process is required.
  • the controller 535 may cause the third valve 541 to allow common gases from the common gas source 516 to enter the process chamber during both phases of the cycles, if there is a common gas or mixture of gases which is desired in both the protective layer formation phase and the etch phase of the cycle.
  • a polymer former gas may be provided during the etch phase.
  • the polymer former gases may be, for example, hydrocarbons, fluorocarbons, and hydrofluorocarbons, such as C 4 F 6 , C 4 F 8 , CH 3 F, CH 2 F 2 , CH 4 , C 3 F 6 , C 3 F 8 , and CHF 3 . These polymer former gases would form a polymer layer that is continuously deposited and etched during the etch phase.
  • Table II is a table of some of the parameters that may be used in an etch phase of a cyclic process in the preferred embodiment of the invention. TABLE II More Preferred Most Preferred Preferred Range Range Range Bias Voltage >200 volts >300 volts >400 volts Bias Energy >200 eV >300 eV >400 eV
  • the time of the cycle devoted to this phase is about 0.005 to 14 seconds. More preferably, the time of the cycle devoted to this phase is about 0.05 to 7 seconds. Most preferably, the time of the cycle devoted to this phase is about 0.25 to 2.5 seconds.
  • the depth of the etch increases by less than 500 ⁇ over the duration of a single etch phase. More preferably, the depth of the etch increases by between about 5 and 250 ⁇ over the duration of a single etch phase. Most preferably, the depth of the etch increases by between about 10 and 50 ⁇ over the duration of a single etch phase.
  • this change may more accurately be described as a fraction of a monolayer of material removed during a single etch phase.
  • the amount of material removed over the duration of a single etch phase is about one monolayer. In another embodiment, the amount of material removed over the duration of a single etch phase is a less than one monolayer.
  • the depth of the feature in the figures may not be according to scale.
  • the depth of the etch may be shown much greater than the actual etch depth, since the small etching changes per cycle may be difficult to illustrate.
  • the cyclic process is repeated over many cycles.
  • An additional protective layer 418 is deposited on the photoresist mask, as shown in FIG. 4D .
  • the remaining part of the old protective layer becomes part of the new protective layer 418 .
  • the feature is then further etched through the photoresist mask (step 312 ), providing a deeper contact hole 416 , as shown in FIG. 4E .
  • this gas-modulated cycle or loop of providing alternating deposition and etching phases is repeated more than 3 times. More preferably, this cycle is repeated more than 20 times. Most preferably, this cycle is repeated at least 100 times.
  • the gas-modulated cyclic process (step 312 ) is complete.
  • the etching phase may completely etch away the protective layer, as shown in FIG. 4E .
  • a subsequent processing step after the cyclic etch process may also be used to remove the protective layer and/or complete the etch of the oxide layer 408 .
  • Further process steps, such as stripping the photoresist mask may be performed to yield the oxide layer 408 with a contact hole 416 , as shown in FIG. 4F .
  • the photoresist mask may be stripped in the process chamber 500 or after removal from the process chamber 500 . Further process steps may also be required to remove a film at the bottom of the contact hole.
  • the gas-modulated cyclic process may be terminated before the oxide etch is completed, allowing the incorporation of a conventional etch step to complete the etch. This may be desirable as a means of controlling selectivity to the stop layer underlying the oxide layer.
  • Examples of materials for the photoresist mask may include, but are not limited to the newer generation of photoresists, such as, deep UV photoresist, 193 nm photoresist, 157 nm photoresist, EUV photoresist, e-beam photoresist, and x-ray photoresist.
  • the older generation of photoresist polymer materials are designed to contained unsaturated C—C bonds, such as the C—C double bond and phenolic groups to provide the required high etching resistance, namely, chemical inertness to the etching gas mixture.
  • the high ion bombardment energies at which the invention may improve etching resistance of the photo resist may be 50-2,000 eV. More preferably the ion bombardment energy may be 200-1,500 eV. Most preferably, the ion bombardment energy is 500-1,000 eV.
  • cyclic processing provides a different processing regime, because the properties of extremely thin films, deposited and etched in short timescales, are different from the properties of thicker films.
  • an extremely thin protective layer is deposited, such as a sidewall film or film on the top photoresist surface. This film and the oxide film are subsequently etched in very small amounts during the next phase of the cycle.
  • the thin protective layer thickness may be in the monolayer range (i.e. sub-monolayers, monolayers, or layers of a few atoms or molecules).
  • the production of thin protective layers in the monolayer range is dependent on the deposition rate times the deposition time.
  • Various deposition rate and deposition time combinations may be used to provide a thin protective layer in the monolayer range.
  • the same monolayer range may be achieved by increasing the deposition rate and decreasing the cycle time or by decreasing the deposition rate and increasing the cycle time. This flexibility provides additional control variables.
  • the film may adopt chemical and physical properties which are distinct from bulk properties of the protective film.
  • the concept of a thin film may no longer be applicable and it may be more accurate to consider the mixture of chemical species present in the surface and near-surface region of the material.
  • Such species may be present as loosely bound physisorbed species, as more tightly bound chemisorbed species, or as parts of larger structures, e.g. polymer molecules, glasses, or bulk crystals.
  • These surface and near-surface species will include protective species deposited during the protective layer phase of cyclic processing, but may also include species deposited or evolved during the etch phase of the cyclic process, as well as other species from the original substrate or arising from chemical reactions between the various species.
  • the unique properties in the approximate monolayer regime may result from the interaction of these different surface and near-surface species with each other and with the substrate material. These interactions would be suppressed in the case of a thicker protective film, which would cover the substrate with several monolayers or more in each protective layer phase, and therefore expose only the surface of the protective material by the time the next etch phase begins.
  • the gas-modulated cyclic processing approach is able to provide a regime of near-monolayer and sub-monolayer coverage (monolayer range) that is accessible in the short cycle time regime.
  • the regime of bulk protective layers with thicknesses of many monolayers, alternating with sustained etch conditions, may also be accessed.
  • a continuum of behavior may be accessed, to allow the balancing of desirable and undesirable results characteristic to the two extremes of the approach. Therefore, the inventive gas-modulated cyclic processing provides the flexibility to provide all of these regimes in this continuum. The total cycle time therefore becomes an additional process control variable.
  • FIG.'S 8 A-E schematically illustrate the build up of material on a surface in the regime of fast cycling using submonolayers.
  • each phase of the cyclic process is adding species to the surface sites, but different species are produced in the different phases. This is indicated by the alternation between black and white circles above the surface for the different phases. These circles represent depositor molecules in the gas phase and on the surface.
  • FIG. 8A an initial sidewall surface 804 is shown with surface sites 806 unoccupied.
  • FIG. 8B shows the effect of the first phase of the cyclic process, where a first species 808 of depositor molecules produced by the plasma conditions of the first phase are deposited on surface sites 806 of the surface 804 .
  • FIG. 8C shows the effect of the second phase, where a second species 812 depositor molecules produced by the plasma conditions of the second phase, which are different from the first species 808 of depositor molecules of the first phase due to the modulation of gas chemistry and possibly other process parameters. Less than one monolayer is added to the surface coverage in this application of the second phase.
  • FIG. 8D shows the effect of the next application of the first phase of the cyclic process. In this application, monolayer coverage is completed and a second layer begins to form.
  • FIG. 8E shows the result after several cycles, which is a mixed film with each layer composed of the different species 808 , 812 produced in the first and second phases.
  • FIG.'S. 9 A-D schematically illustrate the build up of material on a surface in the regime of slower cycling. This is achieved by the same conditions of the example in FIG.'S 8 A-E, with only the total cycle time increased by roughly a factor of ten.
  • FIG. 9A an initial surface 904 is shown with surface sites 906 unoccupied.
  • FIG. 9B shows the effect of the first phase of the cyclic process, where a first species 908 of depositor molecules produced by the plasma conditions of the first phase are deposited on surface sites 906 of the sidewall surface 904 . In this case, several monolayers of surface coverage are added during the first application of the first phase.
  • FIG. 9A-D schematically illustrate the build up of material on a surface in the regime of slower cycling. This is achieved by the same conditions of the example in FIG.'S 8 A-E, with only the total cycle time increased by roughly a factor of ten.
  • FIG. 9A an initial surface 904 is shown with surface sites 906 unoccupied.
  • FIG. 9B
  • FIG. 9C shows the effect of the second phase of the cyclic process, where a second species 912 of depositor molecules produced by plasma conditions of the second phase are deposited on the layer formed by the first species 908 of depositor molecules. Several monolayers of surface coverage are added during the first application of the second phase.
  • FIG. 9D shows the result after one-and-one-half cycle, where is an alternating stack of two different films, with multilayer film composed of layers of the first species 908 and the second species 912 , each produced during a single phase of the cyclic process.
  • the layer to be etched may be a dielectric layer (such as silicon oxide), a conductive layer (such as metal and silicon or other type of semiconductors), a hardmask layer (such as silicon nitride and silicon oxynitride), or a barrier layer (such as silicon nitride or silicon carbide).
  • a dielectric layer such as silicon oxide
  • a conductive layer such as metal and silicon or other type of semiconductors
  • a hardmask layer such as silicon nitride and silicon oxynitride
  • a barrier layer such as silicon nitride or silicon carbide
  • halogens such as chlorine, fluorine, or bromine
  • the layer to be etched is a dielectric material such as silicon oxide, doped silicate glass, or a low-k dielectric film such as organosilicate glass or SiLK.
  • the gas-modulated cyclic processing step may be carried out by using the same carrier gas flow for both forming the protective layer and etching, while reactants for forming the protective layer and reactants for etching are alternately provided.
  • the RF power, temperature, and/or pressure may be pulsed in synchronization with the gas flows to provide optimal conditions for each phase in the gas-modulated cyclic process.
  • the entire gas mixture of carrier gases and reactants are alternated.
  • the RF power, temperature, and/or pressure may be pulsed in synchronization with the gas flows to provide optimal conditions for each phase in the cyclic process.
  • the same gases may be used for both phases, but the relative flow ratios are changed for each phase. Therefore, to provide a different gas chemistry between two different phases of the gas-modulated cyclic process, one could use two entirely different gases, or use the same carrier gas and different active gases, or use the same gases with different relative flows.
  • the etchant gas from the etching gas source is not provided to the plasma processing chamber during the protective layer formation phase. This may be done by not providing a component of the etching gas or deposition gas.
  • oxygen or an oxygen containing gas is a key etching component to an etching gas.
  • C 4 F 6 is also used in the etchant gas, etching cannot be accomplished by C 4 F 6 without oxygen in this example. Therefore, by not providing oxygen or an oxygen containing gas during the protective layer formation phase is a method of not providing the etching gas during the protective layer formation phase, even if C 4 F 6 is provided during the formation of the protective layer.
  • the formation of the protective layer process is a non-etching or negligently etching at most (comprising less than 10% of the layer to be etched) for forming the protective coating.
  • a deposition process may be, but is not limited to, plasma enhanced CVD deposition or sputtering, since CVD and sputtering are not used for etching. If the deposition gas is the same as the polymer former in the etch phase, then the deposition gas may be provided during the etch phase. In addition, bias power during the etch phase may be higher to provide the directional etching.
  • etching phase Providing a separate deposition phase and the presence of the polymer former to provide polymerization during the etch phase allows the use of higher energy etching ions for higher etching rate and better anisotropic etching.
  • passivation gases in an etch phase mixture, it is possible to use higher ion energies without unacceptable erosion and damage of the etching mask.
  • anisotropic etching can be achieved during the duration of the etching phase.
  • mask protection can be optimized. This approach avoids inter-reactions of etching and retardation gases in the discharge.
  • a deposition chemical mixture may be chosen that forms a harder and more durable coating than produced by an etching mixture.
  • the deposition chemistry conditions such as pressure and concentration, may be tailored to optimize the properties of the protective layer formation such as the composition and thickness.
  • the controller in such cases would synchronize the modulated gas flows so that one gas is depleted before another gas is added.
  • the processing conditions such as temperature, power, pressure, ion energy, and processing gases, may be independently controlled varied to provide optimal conditions for each phase.
  • Argon or other inert gases may be used as carrier gases during both the etching and protective layer formation.
  • An example of another inert gas would be neon.
  • the chamber wall areas which may contact the plasma (a mixture of chemicals and charged particles sustained by the electrical discharge), are made to be as small as possible and to be maintained at elevated temperatures.
  • the object of this is to avoid the so-called chamber “memory” effect, by which the chemical elements contained in the coating of the chamber wall areas formed in one processing step can be released to interfere with the subsequent steps. By minimizing the total deposition on the chamber wall areas, this effect can be reduced, avoiding an interaction between the two different phases which may degrade the performance.
  • the gas travel time from the precursor source to the processing chamber is made to be very short.
  • the gas flow stability time denoting the time to establish a constant desired flow and the time to establish complete absence of the said gas at the processing chamber, is made to be very short so that the transition from one stable gas mixture composition to the next can be made to be very fast. The object of this is to avoid inter-mixing of chemicals between two different phases, which may degrade the performance.
  • the electrical system and the control network controlling the conversion of the electrical power into an electrical discharge reacts very fast with respect to the changes of the discharge conditions and power requirements. Furthermore, it may desirable to be able to quickly change and stabilize other external conditions of the processing chamber, such as the pressure of the gas mixture and the temperature of the wafer substrate. Allowing such process conditions to be changed quickly allows for a shorter total cycle time and allows the process conditions to be varied significantly between phases to optimize each phases individually. Therefore, it may also be desirable to have a computerized system that is able to control and synchronize the rapid modulation of the processing conditions. The computer system is used to send commands for the required periodic changes and to synchronize these commands using pre-determined time delays for the various devices providing the plurality of condition changes in the processing chamber.
  • a gas-modulated cyclic process may have six phases, such as three deposition phases and three etching phases in a single cycle.
  • the addition of additional phases may be limited by the increased complexity of each cycle.
  • Another embodiment may eliminate the use of a polymer providing gas during the etch phase.
  • Another embodiment of the invention may provide two etch phases, instead of a deposition phase and etch phase.
  • one etch phase may be an etch process condition that yields a tapered profiled, while a second etch phase may be an etch process condition that yields a bowed profile.
  • each phase of the cycle might modify less than one, roughly one, or a few monolayers of the surface being etched. In this case the alternation between two different etch phases would produce refined process control capability.
  • the gas-modulated cyclic approach provides the capability to deliver a mixture of species to the surface which could not be produced by a single-step steady-state condition.
  • Another embodiment of the invention may provide two deposition phases and a single etch phase. Another embodiment of the invention may provide a single deposition phases and two etch phases. Another embodiment of the invention may provide a sequence of gas-modulated cyclic processes, each cyclic process distinguished by the total cycle time, the cycle time ratio, and/or the process conditions for the individual phases. These phase conditions include gas compositions, gas flows, RF power, pressure, and/or temperature.
  • a specific example of the invention as applied to etching a HARC structure, uses a Exelang HPT dielectric etch system made by Lam Research CorporationTM of Fremont, Calif. for the process chamber 500 .
  • the wafers used in this example include a 2.1 ⁇ m SiO 2 layer, a patterned photoresist mask, and a bottom antireflective coating (BARC) between the SiO 2 layer and the photoresist mask.
  • the SiO 2 layer used in this example is deposited using plasma enhanced CVD with a tetraethylorthosilicate (TEOS) precursor.
  • TEOS tetraethylorthosilicate
  • the photoresist mask is patterned using 193 nm photolithography, to produce a contact critical dimension (CD) of 0.16 ⁇ m or less.
  • the preparation of the structure (step 306 ) for the gas-modulated cyclic etch is a BARC etch step.
  • the BARC etch step may one of many known BARC etch steps.
  • the cyclic process is performed in the Exelan HPT dielectric etch system.
  • the plasma is extinguished twice in each cycle: at the end of the protective layer formation phase 316 and at the end of the etch phase 320 .
  • Extinguishing the plasma allows flexibility in the transition periods. In this case, several seconds were required to stabilize gas flows and pressures, to prepare for the next phase of processing. But with the plasma extinguished these transition have little or no impact on the process results.
  • the initial 2 seconds of the etch phase utilized a higher pressure and lower RF power than the remainder of that phase.
  • the protective layer formation phase 316 of the cyclic process 312 is defined by the following process parameters.
  • the pressure in the wafer area is 120 millitorr, with 500 watts RF power applied at 27 MHz and 500 watts applied at 2 MHz.
  • the process gas flows are 500 sccm of Argon and 30 sccm of CH 3 F.
  • the electrostatic chuck is placed at a temperature of 35° C.
  • the backside chuck helium pressure is placed at 15 torr.
  • the deposition gas source 512 would provide the CH 3 F, which is not provided during the etching.
  • the argon may be provided from the additional gas source 516 , since argon is provided during both the deposition and etching.
  • the controller 535 would open the first valve 537 and close the second valve 539 .
  • the controller would also control the flow of argon from the additional gas source.
  • the controller 535 would control the power and other parameters as specified above.
  • the etch phase 320 of the cyclic process 312 is defined by the following process parameters.
  • the pressure in the wafer area is 55 millitorr, with 1000 watts RF power applied at 27 MHz and 1800 watts applied at 2 MHz.
  • the process gas flows are 270 sccm of Argon, 9 sccm of C 4 F 6 , and 10 sccm of O 2 .
  • the C 4 F 6 would be a polymer former gas, which provides polymerization during the etching.
  • the O 2 would be the etching enabler gas.
  • the fluorine from C 4 F 6 is used in etching, the fluorine in this example requires the presence of oxygen to enable etching.
  • the chuck is placed at a temperature of 35° C.
  • the backside chuck helium pressure is placed at 15 torr.
  • the etchant gas source 514 would provide the C 4 F 6 and O 2 , which are not provided during the protective layer formation phase, although C 4 F 6 without oxygen may be used for deposition.
  • the controller 535 would close the first valve 537 and open the second valve 539 .
  • the controller would also control the flow of argon from the additional gas source.
  • the controller 535 would control the power and other parameters as specified above.
  • the BARC etch is performed for 50 seconds (step 308 ).
  • the cyclic process is performed for 320 seconds (step 312 ), where the plasma off periods are not counted to the time of a phase or the total cycle time.
  • the duration of the protective layer formation phase 316 is 2 seconds.
  • the duration of the etch phase 320 is 6 seconds, including a 2 second strike condition. Therefore the total cycle time is 8 seconds, and the cycle time ratio is 1:3 (protective layer formation phase:etch phase).
  • the cycle is repeated 40 times.
  • the photoresist is stripped.
  • FIGS. 6 and 7 are scanning electron micrographs, showing the results of etching in a dense array of contacts with nominal critical dimension of 0.16 ⁇ m for the contact opening. The total etch depth was not enough to reach the silicon nitride stop layer, so these results represent a partial etch process, as is often used to assess etch performance.
  • the contacts exhibit a small degree of striations, seen as irregularity in the shapes of the circles 604 . Without the cyclic processing, the striations are typically much worse for this etch application.
  • FIG. 7 is a profile view of etched contacts 704 after PR strip. Note that the etch profile is fairly vertical, with only slight bowing near the top. There is tapering near the bottom of the feature, as usual for a partial etch. This tapering is typically removed when a feature is etched to completion, e.g. when the stop layer is exposed. The etch depth is about 2 ⁇ m. There is no evidence of etch stop, which would be seen as some contacts exhibiting an etch depth much less than other contacts. Overall these etch results show that the cyclic process is capable of etching a high-aspect ratio contact with reasonable etch profile, low striations, and no etch stop. Although this example may not be fully optimized this example helps to show that the invention may provides a superior performance.
  • a preferred embodiment modifies the process apparatus so that the apparatus is able to provide a preferred process which provides a rapid gas modulation with flow stabilization times of ⁇ 1 second.
  • the plasma would remain ignited for the duration of the cyclic process 312 , so that there is no plasma off time.
  • FIG.'S 10 A and 10 B illustrate a computer system 1000 , which is suitable for implementing a controller 535 used in embodiments of the present invention.
  • FIG. 10A shows one possible physical form of the computer system.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • Computer system 1000 includes a monitor 1002 , a display 1004 , a housing 1006 , a disk drive 1008 , a keyboard 1010 , and a mouse 1012 .
  • Disk 1014 is a computer-readable medium used to transfer data to and from computer system 1000 .
  • FIG. 10B is an example of a block diagram for computer system 1000 .
  • Attached to system bus 1020 are a wide variety of subsystems.
  • Processor(s) 1022 also referred to as central processing units, or CPUs
  • Memory 1024 includes random access memory (RAM) and read-only memory (ROM).
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • a fixed disk 1026 is also coupled bi-directionally to CPU 1022 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
  • Fixed disk 1026 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1026 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1024 .
  • Removable disk 1014 may take the form of any of the computer-readable media described below.
  • CPU 1022 is also coupled to a variety of input/output devices, such as display 1004 , keyboard 1010 , mouse 1012 and speakers 1030 .
  • an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
  • CPU 1022 optionally may be coupled to another computer or telecommunications network using network interface 1040 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon CPU 1022 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
  • the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
  • Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
  • ASICs application-specific integrated circuits
  • PLDs programmable logic devices
  • Computer code examples include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.

Abstract

A method for etching a layer over a substrate is provided. A gas-modulated cyclic process is performed for more than three cycles. Each cycle comprises performing a protective layer forming phase using first gas chemistry with a deposition gas chemistry, which is performed in about 0.0055 to 7 seconds for each cycle and performing an etching phase for the feature through the etch mask using a second gas chemistry using a reactive etching gas chemistry, which is performed in about 0.005 to 14 seconds for each cycle. The protective layer forming phase comprises providing the deposition gas and forming a plasma from the deposition gas. Each etching phase comprises providing a reactive etching gas and forming a plasma from the reactive etching gas.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to a method of obtaining a structure on a semiconductor wafer by etching through structures defined by a mask, such as a photoresist mask, hard mask, or a stacked mask, using a plasma.
  • 2. Description of the Related Art
  • In semiconductor plasma etching applications, a plasma etcher is usually used to transfer a mask pattern into a circuit and line pattern of a desired thin film and/or filmstack (conductors or dielectric insulators) on a wafer. This is achieved by etching away the films (and filmstacks) underneath the photoresist materials in the opened areas of the mask pattern. This etching reaction may be initiated by the chemically active species and electrically charged particles (ions) generated by exciting an electric discharge in a reactant mixture contained in a vacuum enclosure also referred to as a reactor or process chamber. Additionally, the ions may be also accelerated towards the wafer materials through an electric field created between the gas mixture and the wafer materials, generating a directional removal of the etching materials along the direction of the ion trajectory in a manner referred to as anisotropic etching. At the finish of the etching sequence, the masking materials are removed by stripping them away, leaving in its place a replica of the lateral pattern of the original intended mask patterns. This etching method is illustrated in FIG.'S 1A-C. In this method, a plasma etching process is used to transfer directly the photoresist mask pattern 104 into that of the underlying oxide dielectric thin film 108, as shown in FIG. 1A. The etching generates a contact hole 112 and erodes and damages the photoresist 104, as shown in FIG. 1B. The photoresist is then removed leaving the contact hole 112 in the oxide 108, as shown in FIG. 1C. During the etching process, the mask materials are usually eroded and/or damaged in exchange for the pattern transfer. Consequently, some of the damage and erosion also may be transferred to the underlying layers leaving such undesirable pattern distortions such as striation, CD enlargement, faceting, etc.
  • An objective of the etching methodology, therefore, may include reducing the mask erosion to enhance the fidelity of the pattern transfer from the mask patterns. For this purpose, it has been proposed to include a passivation gas in the reactive etching mixture. This passivation gas can be chosen in such a way that its presence selectively reduces the etching damage and erosion of the masking materials relative to the removal rate of the thin film materials to be etched. The passivation gas can be chosen in such a way that, an etching retardation coating is generated on the surface of the masking materials acting as a barrier to slow down the etching reaction. By design, the passivation gas is chosen in a way that it additionally beneficially forms an etching retardation coating on vertical surfaces of the film structures to be etched, such that etching reaction cannot advance in the absence of the ion bombardment. By the nature of the vertical trajectory of the charged particles, etching can therefore advance only in the vertical direction, with little to no etching in the lateral direction, creating an anisotropic etching profile. Hence, the presence of a passivation gas in the etching mixture is very important for the advantage of better etching mask protection and highly anisotropic etching profile by the use of relatively high energy directional ion bombardment.
  • It has already been proposed that the reactive gas mixture contain etching gases and polymer formers, with the latter acting the role of a passivation gas. In this case, the etching gases release highly reactive species by the excitation of an electrical discharge, which in turn etches the thin film materials to be etched as well as the masking materials by the mechanism of a spontaneous reaction. By the nature of spontaneous reactions, the etching reaction advances in both the vertical as well as the lateral surfaces, creating isotropic etching profiles. The co-presence of a polymer former, through generation of a polymer deposit on the surface of the etching structures and masking materials, can be used to create simultaneously high etching selectivity to masking materials and etching anisotropy, in conjunction with the ion bombardment.
  • It also has already been proposed that the reactive gas mixture contain polymer former gases and an etching enabler gas. The role of the etching enabler gas is to enable the etching enabler gas to release highly reactive species by reacting with the polymer former gases in the presence of an electrical discharge. Alternatively, a retardation coating on the etching materials as well as the masking materials can also be formed by chemical reaction of a properly chosen passivation gas directly with the surfaces of these materials.
  • A common disadvantage of the above mentioned methods is that the optimum conditions for different aspects of the etching requirement usually do not coincide and by mixing the gases some of the unique properties of each precursor gases may be lost due to inter-reactions. The etching condition optimization almost always involve complex trade-offs into a single etching condition that may not be the optimum should the different etching chemistries be separate.
  • A variant of the etching methodology is taught in U.S. Pat. No. 5,501,893, issued Mar. 26, 1996 to Laermer et al., entitled “Method of Anisotropically Etching Silicon”. This method separates out the etching gases and polymer former gases into two different steps, each consisting purely of one type of chemicals but not the other. The deposition step taught in Laermer forms an approximately 50 nm thick Teflon-like polymer layer during a suggested one minute deposition step. This allows for fast etching rate at low ion bombardment energies, since at low ion bombardment energies, high selectivities to masking materials can be achieved for certain spontaneous etching reactions if the activation energy is slightly lower for the reaction at the surface of the etching materials than the masking materials.
  • By removing the polymer former from the etching process, it is believed that the etching process is isotropic during the duration when the etching is proceeding, since there is no retardation layer to prevent the lateral etching from occurring. Additionally, without the passivation gas in the etching mixture, it may be difficult to obtain sufficient etching selectivity to the masking materials if the desire is there to use higher ion energies. Many etching applications can benefit from high ion bombardment energy to obtain high aspect ratio structures in very small dimension structures, for example. It is also believed that such processes have undesirable striation and faceting.
  • Additional proposed methods include a stacked masking scheme to improve the overall etching resistance of the masking materials. This is illustrated in FIG.'S 2A-F. In FIG. 2A an oxide layer 204 is provided. FIG. 2B shows a hardmask layer 208 placed over the oxide layer. A photoresist mask 212 is placed over the hardmask layer 208, as shown in FIG. 2C. The photoresist mask 212 is used to pattern the hardmask layer 208 to create a patterned hardmask layer 214, and the photoresist layer 212 may be removed, as shown in FIG. 2D. A contact hole 216 is etched in the oxide layer 204, using the patterned hardmask layer 214 as a mask as shown in FIG. 2E. The hardmask is then removed leaving the contact 216 in the oxide layer 204, as shown in FIG. 2F.
  • The advantages of this method are that, by having a more inert hardmask from which to transfer patterns (circuits and lines) to the underlying films, the etch performance is much enhanced and the requirement on the etching and photolithography is also much reduced. The disadvantages of this method are that, by introducing new process steps and new tool sets into the process flow, it is of higher cost and lower overall throughput. In addition, the extra process complexity also introduces difficulties by itself. For example, the Si hardmask used for dielectric contact etch applications is not as easily stripped as the photoresist mask.
  • SUMMARY OF THE INVENTION
  • To achieve the foregoing and in accordance with the purpose of the present invention, a method for etching a feature in a layer through an etch mask over a substrate is provided. A gas-modulated cyclic process is performed for more than three cycles. Each cycle comprises performing a protective layer forming phase using first gas chemistry with a deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.0055 to 7 seconds for each cycle. The protective layer forming phase comprises providing the deposition gas and forming a plasma from the deposition gas. Each cycle further comprises performing an etching phase for etching the feature through the etch mask using a second gas chemistry using a reactive etching gas chemistry, where the first gas chemistry is different than the second gas chemistry, wherein the etching phase is performed in about 0.005 to 14 seconds for each cycle. Each etching phase comprises providing a reactive etching gas and forming a plasma from the reactive etching gas.
  • In another embodiment an apparatus for etching a feature in a layer through an etch mask over a substrate is provided. A process chamber, within which the substrate may be placed is provided. A first gas chemistry source for providing first gas chemistry of a deposition gas chemistry is provided. A second gas chemistry source for providing a second gas chemistry of a reactive etching gas chemistry is provided. A controller controllably connected to the first gas chemistry source and the second gas chemistry source, where the controller comprises computer readable media for performing a gas-modulated cyclic process for more than three cycles is provided. The computer readable media comprises computer instructions for performing a protective layer forming phase using the first gas chemistry with the deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.0055 to 7 seconds for each cycle, comprising, computer instructions for providing the deposition gas and computer instructions for forming a plasma from the deposition gas. The computer readable media further comprises computer instructions for performing an etching phase for etching the feature through the etch mask using the second gas chemistry using a reactive etching gas chemistry, where the first gas chemistry is different than the second gas chemistry, where the etching phase is performed in about 0.005 to 14 seconds for each cycle, comprising computer instructions for providing the reactive etching gas and computer instructions for forming a plasma from the reactive etching gas.
  • In another embodiment of the present invention, a method for etching a feature in a layer through an etch mask over a substrate is provided. A gas-modulated cyclic process is performed for more than three cycles. Each cycle comprises performing a first etching phase, wherein the first etching phase is performed in about 0.0055 to 14 seconds for each cycle. The first etching phase comprises providing a first etch gas and forming a plasma from the first etch gas. Each cycle further comprises performing a second etching phase, wherein the second etching phase is performed in about 0.005 to 14 seconds for each cycle. Each second etching phase comprises providing a second etch gas that is different than the first etch gas and forming a plasma from the second etch gas.
  • These and other features of the present invention will be described in more details below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG.'S 1A-C are schematic views of the formation of a contact hole feature through a prior art process.
  • FIG.'S 2A-F are schematic views of the formation of a contact hole feature through another prior art process.
  • FIG. 3 is a flow chart of an embodiment of the invention.
  • FIG.'S 4A-F are schematic views of the formation of a contact hole using the inventive process.
  • FIG. 5 is a schematic view of a system that may be used in practicing the invention.
  • FIG. 6 is a scanning electron micrograph of a top view of results of etching a dense array of contacts using an example of the invention.
  • FIG. 7 is a scanning electron micrograph of a profile view of results of etching a dense array of contacts using an example of the invention.
  • FIG.'S 8A-E schematically illustrate the build up of material on a surface in an inventive regime of fast cycling using submonolayers.
  • FIG.'S. 9A-D schematically illustrate the build up of material on a surface in the regime of slower cycling.
  • FIG.'S 10A and 10B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • It is believed that forming protective layers, such as sidewall passivation layers that are on the order of 10 nm thick or greater and then etching, while using the protective layers as a passivation layer, may cause striations and faceting. Without being bound by theory, it is believed that layers of such thickness are not sufficiently conformal to provide desired protection against striation. It is believed that the thin protective layers provided by the invention significantly reduce striation. Such a thin protective layer may also reduce faceting. It is also believed that this reduces CD enlargement, providing CD control or control of CD bias, where CD bias is defined as CD change during etch.
  • The invention is a new etching method in which an in-situ gas-modulated cyclic etch process alternates between a protective layer formation phase and an etch phase to enhance the overall etch performance without unduly sacrificing simplicity and cost-effectiveness. The modulation specifically includes cyclic variation of the composition and/or flow ratios of the process feed gases, and may also include synchronized variations in the RF power, gas pressure, and temperatures. The cyclic process is characterized by a total cycle time and by the cycle time ratio, which is the ratio between time for the protective layer formation phase and the time for the etch phase.
  • U.S. patent application Ser. No. 10/295,601, entitled “METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT, by Huang et al., filed on Nov. 14, 2002 and incorporated by reference for all purposes, discloses that an in-situ plasma process may be used to enhance and/or repair the mask and/or the vertical sidewalls of etching features, during the etching progression. In such a process, a plasma chemical process step is initiated for a short duration before and/or after the wafer is exposed to an etching plasma for a desired duration.
  • In the present invention, this approach is modified such that the process step responsible for protecting the mask and sidewalls is introduced as one phase of a gas-modulated cyclic process, in alternation with a compatible etch phase.
  • The protective layer formation process may be chosen in such a way that a thin film of material is formed on the surfaces of the mask and/or the sidewalls of the film being etched to prevent etch erosion, faceting, and striation. This thin coating may be of a material that is compatible with later stripping process for ease of final removal but more etch resistant than the mask materials. For example, a carbon-rich thin film, containing very low to no amount of other elements, may be used to coat a photoresist mask so that protected mask features are not easily eroded by the subsequent etching process. In other words, it may change the surface composition of the mask pattern such that the mask behaves like a pseudo hardmask, having certain beneficial etching characteristics of an amorphous carbon hardmask. Alternatively, the layer forming process may also be used in such a way that the formation of the thin coating on the mask pattern largely compensates for and/or repairs the mask patterns damaged/eroded by the prior etch process. The relative inertness of the coating to the subsequent etching reaction is beneficial so as to not to alter the fine balance obtained in the etching step. Alternatively the thin coating may be produced using process conditions which provide a smooth conformal coverage of sidewalls, preventing the initiation of striations due to rough and/or corrugated sidewall polymer coatings.
  • The etching gas mixture may contain etchant species and a passivation species so as to not lose the benefits associated with a passivation gas in the etching chemistry. The ratio of the etching to passivation components, along with a plurality of other processing conditions, is finely balanced to achieve optimum processing results, such as photoresist selectivity, etching anisotropy and etching rate etc. The electrical discharge power may be kept high and the energy of the charged particles is also kept high to obtain high etch rate and good etching anisotropy in small dimensional structures. The protective layer formation and etching cycle is repeated a large number of times until the completion of the etching task.
  • To facilitate understanding, FIG. 3 is a flow chart of an embodiment of the invention. A mask is provided on a layer to be etched (step 304). The mask may be a photoresist mask, a hard mask, or a stacked mask. FIG.'S 4A-F are schematic illustrations of the process. FIG. 4A shows a photoresist mask 404, which has been provided on an oxide layer 408 to be etched, which is on a substrate. The substrate is placed in a process chamber (step 306).
  • FIG. 5 is a schematic view of a process chamber 500 that may be used in the preferred embodiment of the invention. In this embodiment, the plasma processing chamber 500 comprises confinement rings 502, an upper electrode 504, a lower electrode 508, a gas source 510, and an exhaust pump 520. The gas source 510 comprises a protective layer gas source 512, an etchant gas source 514, and an additional gas source 516. Within plasma processing chamber 500, the substrate wafer 580, over which the oxide layer is deposited, is positioned upon the lower electrode 508. The lower electrode 508 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate wafer 580. The reactor top 528 incorporates the upper electrode 504 disposed immediately opposite the lower electrode 508. The upper electrode 504, lower electrode 508, and confinement rings 502 define the confined plasma volume 540. Gas is supplied to the confined plasma volume by gas source 510 through a gas inlet 543 and is exhausted from the confined plasma volume through the confinement rings 502 and an exhaust port by the exhaust pump 520. The exhaust pump 520 forms a gas outlet for the plasma processing chamber. An RF source 548 is electrically connected to the lower electrode 508. Chamber walls 552 define a plasma enclosure in which the confinement rings 502, the upper electrode 504, and the lower electrode 508 are disposed. The RF source 548 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrodes are possible.
  • An 2300 Exelan™ dielectric etch system made by Lam Research Corporation™ of Fremont, Calif. modified to provided the cycle time required by the invention may be used in a preferred embodiment of the invention. A controller 535 is controllably connected to the RF source 548, the exhaust pump 520, a first control valve 537 connected to the deposition gas source 512, a second control valve 539 connected to the etch gas source 514, and a third control valve 541 connected to the additional gas source 516. A showerhead may be connected to the gas inlet 543. The gas inlet 543 may be a single inlet for each gas source or a different inlet for each gas source or a plurality of inlets for each gas source or other possible combinations.
  • The structure is then prepared for a modulated etch (step 308). Such preparation may comprise steps such as opening a BARC layer.
  • A gas-modulated cyclic etch process is then carried out (step 312). During the gas-modulated cyclic etch process, the process chamber 500 modulates between at least two phases. One phase is a step optimized to form a protective layer (step 316). The other phase is a step optimized for etching (step 326). The alternation between these phases is achieved by synchronized modulation of gas flow rates, and possibly RF power, surface temperature, and gas pressure. In the preferred embodiment, a total cycle time is not greater than about 21 seconds. More preferably, a total cycle time is performed in 0.01 to 10 seconds. Most preferably, a total cycle time is performed in 0.5 to 5 seconds. Preferably, the cycle time ratio (protection: etch) is between 0.01 and 20. More preferably, the cycle time ratio (protection: etch) is between 0.05 and 5. Most preferably, the cycle time ratio (protection: etch) is between 0.2 and 1. Preferably, the gas modulation is carried out for between about 3 to 50,000 cycles. More preferably, the gas modulation is carried out for about 20 to 1000 cycles. Most preferably, the gas modulation is carried out at least about 100 cycles.
  • During a phase optimized to form a protective layer (step 316), a protective layer is deposited on side walls of the etched features and possibly on top of the etch mask. The deposition may be asymmetric so that the amount of deposition is formed preferentially more on the masking material than on the sidewalls. This may be aided by the line-of-sight of the location to the deposition source as well as by the selective nature of the chosen deposition process. In other words, the deposition chemistry may be chosen in such a way that a coating is formed preferentially on the masking materials due to differences in the chemical reactivity of the materials. As can be seen in FIG. 4B a thicker protective layer 412 is formed on the top of the photoresist mask 404 than on the exposed oxide surface at the bottom of the photoresist mask and on the sidewalls of the photoresist mask. It should be noted that other dimensional relationships of the drawings may not necessarily be to scale. For example, the thickness of the protective layers compared to the thickness of the mask and etched layer, may not be to scale, but such protective layers may be drawn thicker for clarity. In the preferred embodiment, the deposition is done in-situ in an etch chamber using a plasma enhanced chemical vapor deposition (CVD) process, which deposits a thin protective layer on the sidewall of the photoresist. The deposition process may apply some ion bombardment energy to allow for selectivity of such deposition. In such a process the thickness of the sidewalls may be about two thirds the thickness of the layer on top of the mask.
  • In other embodiments, the processing conditions may be changed as the etch front progresses through the material being etched to vary the thickness and spatial distribution of the protective layer. For example, it may be desirable to form a thicker coating on the sidewall of the film being etched as the etching proceeds deeper in order to protect the sidewalls from further distortion by the subsequent etching. A variation of cyclic processing conditions as the etch proceeds may provide for this. Since the layer forming and etching are separate phases of the cycle, the process conditions for the layer forming phase may be optimized for this result without interfering with the etch phase. Alternatively the total cycle time and/or cycle time ratio may be adjusted as the etch proceeds to provide this variation, without any change to the process parameters for the individual phases. In another preferred embodiment, the protective layer may be only deposited on the sidewalls.
  • During the protective layer formation phase, the fluorine-to-carbon ratio of the deposition gas is not greater than 2:1. Examples of deposition chemistries that may be used for plasma enhanced CVD may be, but are not limited to, CH3F, CH2F2, C2H5F, C3H7F, C2H3F, CH4, C2H4, C2H6, C2H2, C3H8, and SiH4, Si(CH3)4, Si(C2H5)4. It is preferred that these chemicals are halogen free or have a halogen to carbon ratio of no greater than 2:1. Without being limited by theory, it is believed that the carbon based chemistry forms a thin etch resistant amorphous carbon layer. The silane SiH4 would be used to form an amorphous silicon layer (or polycrystalline silicon layer) over the photoresist. In addition, the protective layer may have been modified with the presence of some F and H components. The presence of other elements, such as F, may be used to yield selective activity on different material surfaces such that deposition occurs preferentially on one but not the other materials, such as on the photoresist mask materials but not on SiO2 layer, under appropriate ion bombardment. Other methods, such as sputtering, may be used to form the protective layer.
  • To accomplish the gas-modulated cyclic processing, synchronized control of the etch system parameters may be implemented as follows. To initiate the protective layer formation phase at the start of a cycle, the controller 535 may cause the first valve 537 to allow a deposition gas from the deposition gas source 512 into the process chamber 500, while causing the second valve 539 to prevent etching gas from the etchant gas source 514 from entering the process chamber. The controller 535 may also control the power supplied by the RF source 548 and the exhaust pump 520 in synchronization with the valve controls. The controller may also be used to control the gas pressure in the wafer area, wafer backside He cooling pressure, the bias on the substrate, and various temperatures in synchronization with the valve controls. Table I is a table of some of the parameters that may be used in a protective layer formation phase of a cyclic process in the preferred embodiment of the invention.
    TABLE I
    More Preferred Most Preferred
    Preferred Range Range Range
    Bias Voltage >50 volts >100 volts >300 volts
    Bias Energy >50 eV >100 eV >300 eV

    The bias may be provided by placing a constant voltage between an upper electrode above the substrate and a lower electrode below the substrate. In the preferred embodiment, an electrical negativity can be formed on the substrate holding the wafer materials (thereby applying a bias to the wafer) by applying a radio frequency (RF) voltage supplied by an RF power generator. This has the effect of drawing the positively charged particles towards the electrically biased substrate at an energy determined by the electrical negativity controlled by the amplitude of the RF voltage. It is, therefore, possible to supply and vary the ion bombardment energy by controlling the RF power (and hence the RF voltage) applied to the substrate holder.
  • The protective layer formation phase 316 is an independent phase in the cyclic etch process 312 which may include different combinations of deposition gases as required for different etching applications of different materials, where the deposition may provide a protective coating around the etching features including the masking features. Preferably, the time of the cycle devoted to this phase is about 0.005 to 7 seconds. More preferably, the time of the cycle devoted to this phase is about 0.05 to 5 seconds. Most preferably, the time of the cycle devoted to this phase is about 0.25 to 2.5 seconds. Preferably, a layer with a thickness of less than 100 Å is formed on the top and/or sidewalls over the duration of a single protective layer formation phase. More preferably, a layer between about 0.1 and 50 Å is formed on the top and/or sidewalls over the duration of a single protective layer formation phase. Most preferably, a layer between about 1 and 10 Å is formed on the top and/or sidewalls over the duration of a single protective layer formation phase. In the case of less than about 10 Å layer thickness, the coverage may more accurately be described as a fraction of a monolayer in one embodiment, the protective layer forms a single monolayer over the duration of a single protective layer formation phase. In another embodiment, the protective layer forms a sub-monolayer, which is a layer that does not completely cover the surface with a single atomic or molecular layer but instead may provide a certain percentage (i.e. 75%) of surface coverage, over the duration of a single protective layer formation phase.
  • The etch phase 320 is an independent phase in the cyclic etch process 312 which is performed to advance the etch front 460, producing the etch feature 416 (step 320), as shown in FIG. 4C. Etching applications may include, but are not limited to, a dielectric contact etch, including high aspect ratio contact (HARC), damascene etch, dielectric trench etch (shallow or deep), self-aligned contact etch, gate mask open etch, via dielectric etch, dual-damascene via etch, dual damascene trench etch, conductor gate etch, conductor deep trench etch, conductor shallow trench isolation etch, and hardmask opening.
  • Preferably, the etch phase uses a high ion energy to provide a directional etch. The etch phase may remove some or all of the protective layer 412, as shown, over the duration of a single etch phase. All of the protective layer on some of the surfaces may be removed over the duration of a single etch phase. In this example, the protective layer forming the sidewall on the photoresist 404 and at the bottom of the feature has been removed. Other parts of the protective layer may only be partially removed. In this example, only part of the protective layer 412 on the top surface of the photoresist 404 has been removed. In other embodiments, other parts of the protective layer may be partially etched way or completely etched away. The etch phase removes some of the layer to be etched, and advances the etch front 460.
  • To provide the etch phase of the cycle, the controller 535 may cause the second valve 539 to allow etching gas from the etchant gas source 514 into the process chamber 500, while causing the first valve 537 to prevent deposition gas from the deposition gas source 512 from entering the process chamber. The controller 535 may also control the power supplied by the RF source 548 and the exhaust pump 520 in synchronization with the valve controls. The controller may also be used to control the gas pressure in the wafer area, wafer backside He cooling pressure, the bias on the substrate, and various temperatures in synchronization with the valve controls. The cycle continues by returning to the protective layer formation phase described above, and by repeating the alternation between phases of the cycle for as long as the cyclic etch process is required. The controller 535 may cause the third valve 541 to allow common gases from the common gas source 516 to enter the process chamber during both phases of the cycles, if there is a common gas or mixture of gases which is desired in both the protective layer formation phase and the etch phase of the cycle.
  • Since the etch phase of the cyclic process uses high energy ions to provide a directional etch, a polymer former gas may be provided during the etch phase. The polymer former gases may be, for example, hydrocarbons, fluorocarbons, and hydrofluorocarbons, such as C4F6, C4F8, CH3F, CH2F2, CH4, C3F6, C3F8, and CHF3. These polymer former gases would form a polymer layer that is continuously deposited and etched during the etch phase.
  • Table II is a table of some of the parameters that may be used in an etch phase of a cyclic process in the preferred embodiment of the invention.
    TABLE II
    More Preferred Most Preferred
    Preferred Range Range Range
    Bias Voltage >200 volts >300 volts >400 volts
    Bias Energy >200 eV >300 eV >400 eV
  • Preferably, the time of the cycle devoted to this phase is about 0.005 to 14 seconds. More preferably, the time of the cycle devoted to this phase is about 0.05 to 7 seconds. Most preferably, the time of the cycle devoted to this phase is about 0.25 to 2.5 seconds. Preferably the depth of the etch increases by less than 500 Å over the duration of a single etch phase. More preferably, the depth of the etch increases by between about 5 and 250 Å over the duration of a single etch phase. Most preferably, the depth of the etch increases by between about 10 and 50 Å over the duration of a single etch phase. In the case of a change in etch depth of less than about 10 Å in a single etch phase, this change may more accurately be described as a fraction of a monolayer of material removed during a single etch phase. In one embodiment, the amount of material removed over the duration of a single etch phase is about one monolayer. In another embodiment, the amount of material removed over the duration of a single etch phase is a less than one monolayer.
  • The depth of the feature in the figures may not be according to scale. For example, the depth of the etch may be shown much greater than the actual etch depth, since the small etching changes per cycle may be difficult to illustrate.
  • The cyclic process is repeated over many cycles. An additional protective layer 418 is deposited on the photoresist mask, as shown in FIG. 4D. In this example, the remaining part of the old protective layer becomes part of the new protective layer 418. The feature is then further etched through the photoresist mask (step 312), providing a deeper contact hole 416, as shown in FIG. 4E. Preferably, this gas-modulated cycle or loop of providing alternating deposition and etching phases is repeated more than 3 times. More preferably, this cycle is repeated more than 20 times. Most preferably, this cycle is repeated at least 100 times.
  • When no further etching is desired, the gas-modulated cyclic process (step 312) is complete. In the last cycle, the etching phase may completely etch away the protective layer, as shown in FIG. 4E. However, a subsequent processing step after the cyclic etch process may also be used to remove the protective layer and/or complete the etch of the oxide layer 408. Further process steps, such as stripping the photoresist mask may be performed to yield the oxide layer 408 with a contact hole 416, as shown in FIG. 4F. The photoresist mask may be stripped in the process chamber 500 or after removal from the process chamber 500. Further process steps may also be required to remove a film at the bottom of the contact hole.
  • In an alternative embodiment, the gas-modulated cyclic process may be terminated before the oxide etch is completed, allowing the incorporation of a conventional etch step to complete the etch. This may be desirable as a means of controlling selectivity to the stop layer underlying the oxide layer.
  • Examples of materials for the photoresist mask may include, but are not limited to the newer generation of photoresists, such as, deep UV photoresist, 193 nm photoresist, 157 nm photoresist, EUV photoresist, e-beam photoresist, and x-ray photoresist. The older generation of photoresist polymer materials are designed to contained unsaturated C—C bonds, such as the C—C double bond and phenolic groups to provide the required high etching resistance, namely, chemical inertness to the etching gas mixture. These bonds are strong and require a high activation energy to break and therefore, at relatively low ion energies, the older generation photoresist can show remarkably low etching rate to the etching gas mixture. The newer generation of photoresist, including 193 nm and 157 nm, may not contain these unsaturated bonds because these unsaturated bonds absorb at the lithography exposure wavelength. The absence of these unsaturated bonds leads to much reduced photoresist etching resistance. By providing protective coatings on the photoresist during the cyclic process etch, the etching resistance of the photoresist is much improved, even at high ion bombardment energy. The high ion bombardment energies at which the invention may improve etching resistance of the photo resist may be 50-2,000 eV. More preferably the ion bombardment energy may be 200-1,500 eV. Most preferably, the ion bombardment energy is 500-1,000 eV.
  • Without being bound by theory, it is believed that cyclic processing provides a different processing regime, because the properties of extremely thin films, deposited and etched in short timescales, are different from the properties of thicker films. Using the gas-modulated cyclic processing approach, with short cycle times, an extremely thin protective layer is deposited, such as a sidewall film or film on the top photoresist surface. This film and the oxide film are subsequently etched in very small amounts during the next phase of the cycle. The thin protective layer thickness may be in the monolayer range (i.e. sub-monolayers, monolayers, or layers of a few atoms or molecules).
  • The production of thin protective layers in the monolayer range is dependent on the deposition rate times the deposition time. Various deposition rate and deposition time combinations may be used to provide a thin protective layer in the monolayer range. For example, a deposition that provides a sidewall deposition rate of approximately 1 nm/sec and a top surface deposition rate of approximately 2 nm/sec provides a monolayer range thin protective layer with an approximately 0.5 nm thickness, when the deposition step is for 0.25-0.5 seconds per cycle. (i.e. a 1 nm/sec deposition rate×0.5 seconds=0.5 nm deposition.). The same monolayer range may be achieved by increasing the deposition rate and decreasing the cycle time or by decreasing the deposition rate and increasing the cycle time. This flexibility provides additional control variables.
  • Without being bound by theory, it is further believed that as the thickness of the protective film approaches the dimensions of the constituent molecules, e.g. approaching monolayer coverage, the film may adopt chemical and physical properties which are distinct from bulk properties of the protective film. In this regime the concept of a thin film may no longer be applicable and it may be more accurate to consider the mixture of chemical species present in the surface and near-surface region of the material. Such species may be present as loosely bound physisorbed species, as more tightly bound chemisorbed species, or as parts of larger structures, e.g. polymer molecules, glasses, or bulk crystals. These surface and near-surface species will include protective species deposited during the protective layer phase of cyclic processing, but may also include species deposited or evolved during the etch phase of the cyclic process, as well as other species from the original substrate or arising from chemical reactions between the various species. The unique properties in the approximate monolayer regime may result from the interaction of these different surface and near-surface species with each other and with the substrate material. These interactions would be suppressed in the case of a thicker protective film, which would cover the substrate with several monolayers or more in each protective layer phase, and therefore expose only the surface of the protective material by the time the next etch phase begins.
  • Without being bound by theory, it is further believed that in the extreme where surfaces are receiving limited flux within each cycle, corresponding to submonolayer coverage during each individual protection and etch phase, a truly novel process regime is achieved. In this case, the concept of alternating process steps becomes inaccurate at a microscopic scale, even though it is actually being used to control the process. At a microscopic scale, the surface reactions will proceed based on the arrival and departure of species and the chemical reactions of these species. Reactions occur continually but are punctuated by the occasional impact of an energetic species, such as an ion, which can drive hyper-thermal reactions. Most of the critical reactions occur during these brief instants of excitation. In the submonolayer regime of cycling, the surface sees a quasi-steady state where the flux of reactants reaching the surface is essentially an average of the two different plasma conditions, with reactions occurring between the mixture of these species.
  • It is believed that this is a fundamentally different regime from traditional, single-step, steady state etching, because the mixture of species reaching the surface is produced from two distinct plasma conditions. If the process conditions of the phases of the gas-modulated cyclic process were combined into a single steady-state recipe step, the resulting time-averaged flux of species reaching the surface would be modified due to the interaction of the different gases in the plasma. It is believed that by separating the plasma conditions in time with gas-modulated cyclic processing, the overall mixture of species reaching the surface can be controlled to an unprecedented degree. Conditions for the two different phases of the cycle can be very different, due to the ability to modulate the gas chemistry. As a result, very different chemical species can be produced in the different phases of the cycle, to achieve a mixture which might be impossible with a single-step steady-state process. This mixture is the linear combination of fluences from the two discrete plasma conditions produced by the alternating phases of the cycle. The ratio of these fluences is controlled by the cycle time ratio. The cycle time ratio therefore becomes an additional process control variable.
  • The gas-modulated cyclic processing approach is able to provide a regime of near-monolayer and sub-monolayer coverage (monolayer range) that is accessible in the short cycle time regime. By increasing the cycle time sufficiently, the regime of bulk protective layers, with thicknesses of many monolayers, alternating with sustained etch conditions, may also be accessed. Between these two extremes of cycle time, a continuum of behavior may be accessed, to allow the balancing of desirable and undesirable results characteristic to the two extremes of the approach. Therefore, the inventive gas-modulated cyclic processing provides the flexibility to provide all of these regimes in this continuum. The total cycle time therefore becomes an additional process control variable.
  • FIG.'S 8A-E schematically illustrate the build up of material on a surface in the regime of fast cycling using submonolayers. In this example, each phase of the cyclic process is adding species to the surface sites, but different species are produced in the different phases. This is indicated by the alternation between black and white circles above the surface for the different phases. These circles represent depositor molecules in the gas phase and on the surface. In FIG. 8A, an initial sidewall surface 804 is shown with surface sites 806 unoccupied. FIG. 8B shows the effect of the first phase of the cyclic process, where a first species 808 of depositor molecules produced by the plasma conditions of the first phase are deposited on surface sites 806 of the surface 804. Note that not all surface sites 806 are occupied during the first application of the first phase. FIG. 8C shows the effect of the second phase, where a second species 812 depositor molecules produced by the plasma conditions of the second phase, which are different from the first species 808 of depositor molecules of the first phase due to the modulation of gas chemistry and possibly other process parameters. Less than one monolayer is added to the surface coverage in this application of the second phase. FIG. 8D shows the effect of the next application of the first phase of the cyclic process. In this application, monolayer coverage is completed and a second layer begins to form. FIG. 8E shows the result after several cycles, which is a mixed film with each layer composed of the different species 808, 812 produced in the first and second phases.
  • FIG.'S. 9A-D schematically illustrate the build up of material on a surface in the regime of slower cycling. This is achieved by the same conditions of the example in FIG.'S 8A-E, with only the total cycle time increased by roughly a factor of ten. In FIG. 9A an initial surface 904 is shown with surface sites 906 unoccupied. FIG. 9B shows the effect of the first phase of the cyclic process, where a first species 908 of depositor molecules produced by the plasma conditions of the first phase are deposited on surface sites 906 of the sidewall surface 904. In this case, several monolayers of surface coverage are added during the first application of the first phase. FIG. 9C shows the effect of the second phase of the cyclic process, where a second species 912 of depositor molecules produced by plasma conditions of the second phase are deposited on the layer formed by the first species 908 of depositor molecules. Several monolayers of surface coverage are added during the first application of the second phase. FIG. 9D shows the result after one-and-one-half cycle, where is an alternating stack of two different films, with multilayer film composed of layers of the first species 908 and the second species 912, each produced during a single phase of the cyclic process.
  • These examples are presented to show the qualitatively different microscopic results which may be achieved as the total cycle time becomes comparable to the time required to deposit a single monolayer of the surface. It is believed that the different surface films produced in these two examples may correspond to different process results on the wafer structures, based solely on the variation of total cycle time. This is a simple example, with deposition as the only surface mechanism, but similar arguments could be applied to a more complex combination of surface mechanisms. For example, a surface which is alternately exposed to depositor and etchant species by the alternating phases of the cyclic process could also exhibit modified behavior when the total cycle time becomes comparable to the time required to deposit or etch a single monolayer of the surface.
  • As discussed above, it is believed that by using alternating protective and etching steps, striation and faceting may be reduced and better etch control may be provided. Without being bound by theory, it is believed that the regime of near-monolayer and sub-monolayer protective coverage which is accessible through gas-modulated cyclic processing and the protective capabilities of the alternating approach may be controlled and modified to provide unique properties that may help to reduce striation and faceting and provide better etch control.
  • The layer to be etched may be a dielectric layer (such as silicon oxide), a conductive layer (such as metal and silicon or other type of semiconductors), a hardmask layer (such as silicon nitride and silicon oxynitride), or a barrier layer (such as silicon nitride or silicon carbide). For etching a conductor layer, halogens, such as chlorine, fluorine, or bromine, may be used in the etching step, where the deposition may contain chemicals used to deposit a carbon-rich thin film or a thin film containing Si. Preferably, the layer to be etched is a dielectric material such as silicon oxide, doped silicate glass, or a low-k dielectric film such as organosilicate glass or SiLK.
  • The gas-modulated cyclic processing step may be carried out by using the same carrier gas flow for both forming the protective layer and etching, while reactants for forming the protective layer and reactants for etching are alternately provided. In addition, the RF power, temperature, and/or pressure may be pulsed in synchronization with the gas flows to provide optimal conditions for each phase in the gas-modulated cyclic process.
  • In another embodiment the entire gas mixture of carrier gases and reactants are alternated. Again, the RF power, temperature, and/or pressure may be pulsed in synchronization with the gas flows to provide optimal conditions for each phase in the cyclic process. In another embodiment, the same gases may be used for both phases, but the relative flow ratios are changed for each phase. Therefore, to provide a different gas chemistry between two different phases of the gas-modulated cyclic process, one could use two entirely different gases, or use the same carrier gas and different active gases, or use the same gases with different relative flows.
  • In an example of different gas chemistries using the same carrier gas flow for both forming the protective layer and etching, the etchant gas from the etching gas source is not provided to the plasma processing chamber during the protective layer formation phase. This may be done by not providing a component of the etching gas or deposition gas. For example, oxygen or an oxygen containing gas is a key etching component to an etching gas. Even though C4F6 is also used in the etchant gas, etching cannot be accomplished by C4F6 without oxygen in this example. Therefore, by not providing oxygen or an oxygen containing gas during the protective layer formation phase is a method of not providing the etching gas during the protective layer formation phase, even if C4F6 is provided during the formation of the protective layer. It is also preferred that the formation of the protective layer process is a non-etching or negligently etching at most (comprising less than 10% of the layer to be etched) for forming the protective coating. Such a deposition process may be, but is not limited to, plasma enhanced CVD deposition or sputtering, since CVD and sputtering are not used for etching. If the deposition gas is the same as the polymer former in the etch phase, then the deposition gas may be provided during the etch phase. In addition, bias power during the etch phase may be higher to provide the directional etching.
  • Providing a separate deposition phase and the presence of the polymer former to provide polymerization during the etch phase allows the use of higher energy etching ions for higher etching rate and better anisotropic etching. By keeping passivation gases in an etch phase mixture, it is possible to use higher ion energies without unacceptable erosion and damage of the etching mask. Additionally, anisotropic etching can be achieved during the duration of the etching phase. By using cyclic process with alternating protective layer forming phases and etch phases, mask protection can be optimized. This approach avoids inter-reactions of etching and retardation gases in the discharge. For example, a deposition chemical mixture may be chosen that forms a harder and more durable coating than produced by an etching mixture. Additionally, the deposition chemistry conditions, such as pressure and concentration, may be tailored to optimize the properties of the protective layer formation such as the composition and thickness.
  • It may be desirable that some of the components of the deposition gas are not mixed with components of the etch gas, since some mixing decreases the efficiency of having separate deposition and etch phases. As a result, the controller in such cases would synchronize the modulated gas flows so that one gas is depleted before another gas is added.
  • By having independent protective layer formation and etch-passivation phases, the processing conditions, such as temperature, power, pressure, ion energy, and processing gases, may be independently controlled varied to provide optimal conditions for each phase.
  • Argon or other inert gases may be used as carrier gases during both the etching and protective layer formation. An example of another inert gas would be neon.
  • In an embodiment of the invention, the chamber wall areas, which may contact the plasma (a mixture of chemicals and charged particles sustained by the electrical discharge), are made to be as small as possible and to be maintained at elevated temperatures. The object of this is to avoid the so-called chamber “memory” effect, by which the chemical elements contained in the coating of the chamber wall areas formed in one processing step can be released to interfere with the subsequent steps. By minimizing the total deposition on the chamber wall areas, this effect can be reduced, avoiding an interaction between the two different phases which may degrade the performance.
  • It may also be desirable that the gas travel time from the precursor source to the processing chamber is made to be very short. The gas flow stability time, denoting the time to establish a constant desired flow and the time to establish complete absence of the said gas at the processing chamber, is made to be very short so that the transition from one stable gas mixture composition to the next can be made to be very fast. The object of this is to avoid inter-mixing of chemicals between two different phases, which may degrade the performance.
  • It may also be desirable that the electrical system and the control network controlling the conversion of the electrical power into an electrical discharge reacts very fast with respect to the changes of the discharge conditions and power requirements. Furthermore, it may desirable to be able to quickly change and stabilize other external conditions of the processing chamber, such as the pressure of the gas mixture and the temperature of the wafer substrate. Allowing such process conditions to be changed quickly allows for a shorter total cycle time and allows the process conditions to be varied significantly between phases to optimize each phases individually. Therefore, it may also be desirable to have a computerized system that is able to control and synchronize the rapid modulation of the processing conditions. The computer system is used to send commands for the required periodic changes and to synchronize these commands using pre-determined time delays for the various devices providing the plurality of condition changes in the processing chamber.
  • Other embodiments of the invention may provide one or more additional phases to the cyclic process. For example, a gas-modulated cyclic process may have six phases, such as three deposition phases and three etching phases in a single cycle. The addition of additional phases may be limited by the increased complexity of each cycle.
  • Another embodiment may eliminate the use of a polymer providing gas during the etch phase. Another embodiment of the invention may provide two etch phases, instead of a deposition phase and etch phase. In such an embodiment, one etch phase may be an etch process condition that yields a tapered profiled, while a second etch phase may be an etch process condition that yields a bowed profile. In the regime of short cycle times, each phase of the cycle might modify less than one, roughly one, or a few monolayers of the surface being etched. In this case the alternation between two different etch phases would produce refined process control capability. Again the gas-modulated cyclic approach provides the capability to deliver a mixture of species to the surface which could not be produced by a single-step steady-state condition. And the ratio between species produced in each phase is easily controlled by the cycle time ratio. Another embodiment of the invention may provide two deposition phases and a single etch phase. Another embodiment of the invention may provide a single deposition phases and two etch phases. Another embodiment of the invention may provide a sequence of gas-modulated cyclic processes, each cyclic process distinguished by the total cycle time, the cycle time ratio, and/or the process conditions for the individual phases. These phase conditions include gas compositions, gas flows, RF power, pressure, and/or temperature.
  • EXAMPLE
  • A specific example of the invention, as applied to etching a HARC structure, uses a Exelang HPT dielectric etch system made by Lam Research Corporation™ of Fremont, Calif. for the process chamber 500. The wafers used in this example include a 2.1 μm SiO2 layer, a patterned photoresist mask, and a bottom antireflective coating (BARC) between the SiO2 layer and the photoresist mask. The SiO2 layer used in this example is deposited using plasma enhanced CVD with a tetraethylorthosilicate (TEOS) precursor. The photoresist mask is patterned using 193 nm photolithography, to produce a contact critical dimension (CD) of 0.16 μm or less.
  • In this example the preparation of the structure (step 306) for the gas-modulated cyclic etch is a BARC etch step. In this example the BARC etch step may one of many known BARC etch steps.
  • Upon completion of the BARC etch step, the cyclic process is performed in the Exelan HPT dielectric etch system. In this example, which uses an Exelan HPT dielectric etch system without modification, the plasma is extinguished twice in each cycle: at the end of the protective layer formation phase 316 and at the end of the etch phase 320. Extinguishing the plasma allows flexibility in the transition periods. In this case, several seconds were required to stabilize gas flows and pressures, to prepare for the next phase of processing. But with the plasma extinguished these transition have little or no impact on the process results. To allow the reignition of the plasma at the start of each etch phase, the initial 2 seconds of the etch phase utilized a higher pressure and lower RF power than the remainder of that phase. This strike portion of the etch phase is considered to be part of the overall etch phase time. In calculating the total processing time, the total cycle time, and the cycle time ratio, only the plasma-on time periods were considered. Therefore a nominally 320-second cyclic process in fact took much longer in real time to execute. This inefficient use of time is the principal shortcoming of this approach. However, this approach provides the inventive results on an unmodified system.
  • The protective layer formation phase 316 of the cyclic process 312 is defined by the following process parameters. The pressure in the wafer area is 120 millitorr, with 500 watts RF power applied at 27 MHz and 500 watts applied at 2 MHz. The process gas flows are 500 sccm of Argon and 30 sccm of CH3F. The electrostatic chuck is placed at a temperature of 35° C. The backside chuck helium pressure is placed at 15 torr. In this example, the deposition gas source 512 would provide the CH3F, which is not provided during the etching. The argon may be provided from the additional gas source 516, since argon is provided during both the deposition and etching. To initiate the protective layer formation phase, the controller 535 would open the first valve 537 and close the second valve 539. The controller would also control the flow of argon from the additional gas source. The controller 535 would control the power and other parameters as specified above.
  • The etch phase 320 of the cyclic process 312 is defined by the following process parameters. The pressure in the wafer area is 55 millitorr, with 1000 watts RF power applied at 27 MHz and 1800 watts applied at 2 MHz. The process gas flows are 270 sccm of Argon, 9 sccm of C4F6, and 10 sccm of O2. The C4F6 would be a polymer former gas, which provides polymerization during the etching. The O2 would be the etching enabler gas. Although the fluorine from C4F6 is used in etching, the fluorine in this example requires the presence of oxygen to enable etching. The chuck is placed at a temperature of 35° C. The backside chuck helium pressure is placed at 15 torr. In this example, the etchant gas source 514 would provide the C4F6 and O2, which are not provided during the protective layer formation phase, although C4F6 without oxygen may be used for deposition. To initiate the etch phase, the controller 535 would close the first valve 537 and open the second valve 539. The controller would also control the flow of argon from the additional gas source. The controller 535 would control the power and other parameters as specified above.
  • In this example, first the BARC etch is performed for 50 seconds (step 308). Next, the cyclic process is performed for 320 seconds (step 312), where the plasma off periods are not counted to the time of a phase or the total cycle time. The duration of the protective layer formation phase 316 is 2 seconds. The duration of the etch phase 320 is 6 seconds, including a 2 second strike condition. Therefore the total cycle time is 8 seconds, and the cycle time ratio is 1:3 (protective layer formation phase:etch phase). The cycle is repeated 40 times. After the cyclic process is completed (step 312), the photoresist is stripped.
  • FIGS. 6 and 7 are scanning electron micrographs, showing the results of etching in a dense array of contacts with nominal critical dimension of 0.16 μm for the contact opening. The total etch depth was not enough to reach the silicon nitride stop layer, so these results represent a partial etch process, as is often used to assess etch performance.
  • Note that the contacts exhibit a small degree of striations, seen as irregularity in the shapes of the circles 604. Without the cyclic processing, the striations are typically much worse for this etch application.
  • FIG. 7 is a profile view of etched contacts 704 after PR strip. Note that the etch profile is fairly vertical, with only slight bowing near the top. There is tapering near the bottom of the feature, as usual for a partial etch. This tapering is typically removed when a feature is etched to completion, e.g. when the stop layer is exposed. The etch depth is about 2 μm. There is no evidence of etch stop, which would be seen as some contacts exhibiting an etch depth much less than other contacts. Overall these etch results show that the cyclic process is capable of etching a high-aspect ratio contact with reasonable etch profile, low striations, and no etch stop. Although this example may not be fully optimized this example helps to show that the invention may provides a superior performance.
  • A preferred embodiment modifies the process apparatus so that the apparatus is able to provide a preferred process which provides a rapid gas modulation with flow stabilization times of <1 second. In such an embodiment the plasma would remain ignited for the duration of the cyclic process 312, so that there is no plasma off time.
  • FIG.'S 10A and 10B illustrate a computer system 1000, which is suitable for implementing a controller 535 used in embodiments of the present invention. FIG. 10A shows one possible physical form of the computer system. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. Computer system 1000 includes a monitor 1002, a display 1004, a housing 1006, a disk drive 1008, a keyboard 1010, and a mouse 1012. Disk 1014 is a computer-readable medium used to transfer data to and from computer system 1000.
  • FIG. 10B is an example of a block diagram for computer system 1000. Attached to system bus 1020 are a wide variety of subsystems. Processor(s) 1022 (also referred to as central processing units, or CPUs) are coupled to storage devices, including memory 1024. Memory 1024 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixed disk 1026 is also coupled bi-directionally to CPU 1022; it provides additional data storage capacity and may also include any of the computer-readable media described below. Fixed disk 1026 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1026 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1024. Removable disk 1014 may take the form of any of the computer-readable media described below.
  • CPU 1022 is also coupled to a variety of input/output devices, such as display 1004, keyboard 1010, mouse 1012 and speakers 1030. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers. CPU 1022 optionally may be coupled to another computer or telecommunications network using network interface 1040. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon CPU 1022 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, modifications and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, modifications, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (22)

1-16. (canceled)
17. An apparatus for etching a feature in a layer through an etch mask over a substrate, comprising:
a process chamber, within which the substrate may be placed;
a first gas chemistry source for providing first gas chemistry of a deposition gas chemistry;
a second gas chemistry source for providing a second gas chemistry of a reactive etching gas chemistry;
a controller controllably connected to the first gas chemistry source and the second gas chemistry source, wherein the controller comprises computer readable media for performing a gas-modulated cyclic process for more than three cycles, comprising:
computer instructions for performing a protective layer forming phase using the first gas chemistry with the deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.0055 to 7 seconds for each cycle, comprising;
computer instructions for providing the deposition gas; and
computer instructions for forming a plasma from the deposition gas; and
computer instructions for performing an etching phase for etching the feature through the etch mask using the second gas chemistry using a reactive etching gas chemistry, where the first gas chemistry is different than the second gas chemistry, wherein the etching phase is performed in about 0.005 to 14 seconds for each cycle, comprising:
computer instructions for providing the reactive etching gas; and
computer instructions for forming a plasma from the reactive etching gas.
18. The apparatus, as recited in claim 17, further comprising:
at least one rf power source controlled by the controller;
at least one pressure control device controlled by the controller; and
at least one temperature control device controlled by the controller, wherein the controller further comprises computer instructions for changing power from the rf power source during the different phases of the modulated cyclic process.
19. (canceled)
20. The apparatus, as recited in claim 18, wherein the computer instructions for performing an etching phase for etching the feature, further comprises computer instructions for providing an ion bombardment energy of greater than 200 electron volts to the substrate.
21. The apparatus, as recited in claim 18, wherein the computer instructions for performing a protective layer forming phase using the first gas chemistry with the deposition gas chemistry performs the protective layer forming phase in 0.25 to 2.5 seconds for each cycle.
22. The apparatus, as recited in claim 21, wherein the computer instructions for performing an etching phase for etching the feature through the etch mask using the second gas chemistry using a reactive etching gas chemistry performs the etching phase in 0.05 to 7 seconds for each cycle.
23. The apparatus, as recited in claim 17, wherein the second gas chemistry contains a polymer former and an etch enabler.
24. The apparatus, as recited in claim 17, wherein the computer readable code for performing the protective layer forming phase uses a non-directional deposition and the computer readable code for performing the etching step uses a directional etching.
25. The apparatus, as recited in claim 24, wherein the non-directional deposition is selected from at least one of chemical vapor deposition and sputtering.
26. The apparatus, as recited in claim 17, wherein the etch mask is a photoresist mask based upon 193 nm or below photolithography.
27. The apparatus, as recited in claim 17, wherein the computer readable media for performing the performing of the gas-modulated cyclic process further comprises computer instructions for performing a third phase.
28. The apparatus, as recited in claim 17, wherein the computer readable media for performing the gas-modulated cyclic process performs the gas-modulated cyclic process for more than 20 cycles.
29. The apparatus, as recited in claim 17, wherein the computer readable media for performing the gas-modulated cyclic process performs the gas-modulated cyclic process for at least 100 cycles.
30. An apparatus for etching a feature in a dielectric layer through an etch mask over a substrate, comprising:
a process chamber, within which the substrate may be placed;
a first gas chemistry source for providing first gas chemistry of a deposition gas chemistry;
a second gas chemistry source for providing a second gas chemistry of a reactive etching gas chemistry;
a controller controllably connected to the first gas chemistry source and the second gas chemistry source, wherein the controller comprises computer readable media for performing a gas-modulated cyclic process for more than twenty cycles, comprising:
computer instructions for performing a protective layer forming phase using the first gas chemistry with the deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.25 to 2.5 seconds for each cycle, comprising;
computer instructions for providing the deposition gas; and
computer instructions for forming a plasma from the deposition gas; and
computer instructions for performing an etching phase for etching the feature into the dielectric layer through the etch mask using the second gas chemistry using a reactive etching gas chemistry, where the first gas chemistry is different than the second gas chemistry, wherein the etching phase is performed in about 0.05 to 7 seconds for each cycle, comprising:
computer instructions for providing the reactive etching gas comprising a polymer former and an etch enabler;
computer instructions for forming a plasma from the reactive etching gas; and
computer instructions for providing an ion bombardment energy of greater than 200 electron volts to the substrate.
31. The apparatus, as recited in claim 30, further comprising:
at least one rf power source controlled by the controller;
at least one pressure control device controlled by the controller; and
at least one temperature control device controlled by the controller, wherein the controller further comprises computer instructions for changing power from the rf power source during the different phases of the modulated cyclic process.
32. The apparatus, as recited in claim 30, the computer readable code for performing the protective layer forming phase uses a non-directional deposition and the computer readable code for performing the etching step uses a directional etching.
33. The apparatus, as recited in claim 32, wherein the non-directional deposition is selected from at least one of chemical vapor deposition and sputtering.
34. The apparatus, as recited in claim 30, wherein the etch mask is a photoresist mask based upon 193 nm or below photolithography.
35. The apparatus, as recited in claim 30, wherein the computer readable media for performing the performing of the gas-modulated cyclic process further comprises computer instructions for performing a third phase.
36. The apparatus, as recited in claim 30, wherein the computer readable media for performing the gas-modulated cyclic process performs the gas-modulated cyclic process for at least 100 cycles.
37. An apparatus for etching a feature in a dielectric layer through an etch mask over a substrate, comprising:
a process chamber, within which the substrate may be placed;
a first etch gas chemistry source for providing first etch gas chemistry;
a second etch gas chemistry source for providing a second etch gas chemistry;
a controller controllably connected to the first etch gas chemistry source and the second etch gas chemistry source, wherein the controller comprises computer readable media for performing a gas-modulated cyclic process for at least 3 cycles, comprising:
computer instructions for performing a first etching phase, wherein the first etching phase is performed in about 0.0055 to 14 seconds for each cycle, comprising;
computer instructions for providing a first etch gas; and
computer instructions for forming a plasma from the first etch gas; and
computer instructions for performing a second etching phase, wherein the second etching phase is performed in about 0.0055 to 14 seconds for each cycle, comprising;
computer instructions for providing a second etch gas, wherein the first etch gas is different than the second etch gas; and
computer instructions for forming a plasma from the second etch gas.
US11/055,878 2003-04-09 2005-02-11 Method for plasma etching using periodic modulation of gas chemistry Abandoned US20050136682A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/055,878 US20050136682A1 (en) 2003-04-09 2005-02-11 Method for plasma etching using periodic modulation of gas chemistry

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/411,520 US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry
US11/055,878 US20050136682A1 (en) 2003-04-09 2005-02-11 Method for plasma etching using periodic modulation of gas chemistry

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/411,520 Division US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry

Publications (1)

Publication Number Publication Date
US20050136682A1 true US20050136682A1 (en) 2005-06-23

Family

ID=33298339

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/411,520 Expired - Lifetime US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry
US11/055,878 Abandoned US20050136682A1 (en) 2003-04-09 2005-02-11 Method for plasma etching using periodic modulation of gas chemistry

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/411,520 Expired - Lifetime US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry

Country Status (8)

Country Link
US (2) US6916746B1 (en)
EP (1) EP1611603A4 (en)
JP (1) JP5087271B2 (en)
KR (1) KR101083623B1 (en)
CN (1) CN100411106C (en)
RU (1) RU2339115C2 (en)
TW (1) TWI365495B (en)
WO (1) WO2004093176A1 (en)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070181528A1 (en) * 2006-02-07 2007-08-09 Kunihiko Koroyasu Method of etching treatment
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US20080149592A1 (en) * 2006-12-05 2008-06-26 Belen Rodolfo P Plasma etch process for controlling line edge roughness
US20090121324A1 (en) * 2005-03-08 2009-05-14 Lam Research Corporation Etch with striation control
US20090184089A1 (en) * 2007-12-21 2009-07-23 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US20090197419A1 (en) * 2006-07-13 2009-08-06 Brown Karl M Process for removing high stressed film using lf or hf bias power and capacitively coupled vhf source power with enhanced residue capture
US20100105208A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US20100105209A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100197142A1 (en) * 2009-01-30 2010-08-05 Fei Company High selectivity, low damage electron-beam delineation etch
US20110108861A1 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Process for anisotropic etching of semiconductors
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20120214310A1 (en) * 2011-02-17 2012-08-23 Lam Research Corporation Wiggling control for pseudo-hardmask
CN102651336A (en) * 2011-02-28 2012-08-29 东京毅力科创株式会社 Plasma etching method and semiconductor device manufacturing method
US20120298301A1 (en) * 2007-06-18 2012-11-29 Lam Research Corporation Minimization of mask undercut on deep etch
US20160064647A1 (en) * 2011-10-17 2016-03-03 Stmicroelectronics, Inc. Size-controllable opening and method of making same
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20170098549A1 (en) * 2015-10-02 2017-04-06 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9728421B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20180108531A1 (en) * 2016-10-19 2018-04-19 Lam Research Corporation High aspect ratio etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997372B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20190214267A1 (en) * 2018-01-11 2019-07-11 Tokyo Electron Limited Etching method and etching apparatus
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10373840B2 (en) 2014-12-04 2019-08-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
CN111508827A (en) * 2019-01-31 2020-08-07 东京毅力科创株式会社 Method for treating a substrate
US20200357634A1 (en) * 2017-09-29 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for Manufacturing a Semiconductor Device
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US20210175122A1 (en) * 2019-12-09 2021-06-10 Spts Technologies Limited Semiconductor Wafer Dicing Process
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
US20220102155A1 (en) * 2020-09-25 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio bosch deep etch
US11469110B2 (en) 2019-02-01 2022-10-11 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
US20220415660A1 (en) * 2014-06-16 2022-12-29 Tokyo Electron Limited Processing apparatus
DE102018127461B4 (en) 2017-11-12 2023-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process for manufacturing a semiconductor structure
KR102659567B1 (en) * 2017-03-03 2024-04-19 램 리써치 코포레이션 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
WO2006003962A1 (en) * 2004-07-02 2006-01-12 Ulvac, Inc. Etching method and system
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI270139B (en) * 2005-12-08 2007-01-01 Promos Technologies Inc Etching method and method of fabricating deep trench
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR101001875B1 (en) 2006-09-30 2010-12-17 엘지이노텍 주식회사 Method for forming a fine pattern using isotropic etching panel member for semiconductor substrate comprising fine pattern produced by the method
JP5192209B2 (en) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
KR100965774B1 (en) * 2007-11-02 2010-06-24 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
US8227176B2 (en) * 2007-11-02 2012-07-24 Hynix Semiconductor Inc. Method for forming fine pattern in semiconductor device
KR20090069122A (en) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 Method for fabricating semiconductor device
JP5284679B2 (en) * 2008-04-28 2013-09-11 株式会社アルバック Plasma etching method
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
JP2010272758A (en) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp Plasma etching method for etching object
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
CN101643904B (en) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 Deep silicon etching device and intake system thereof
CN102041508B (en) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 Groove etching method
CN101789372A (en) * 2010-02-11 2010-07-28 中微半导体设备(上海)有限公司 Plasma etching method of insulating layer containing silicon
JP5264834B2 (en) * 2010-06-29 2013-08-14 東京エレクトロン株式会社 Etching method and apparatus, semiconductor device manufacturing method
RU2456702C1 (en) * 2011-03-16 2012-07-20 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Method for plasmochemical etching of microelectronics material
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus
JP2013084695A (en) * 2011-10-06 2013-05-09 Tokyo Electron Ltd Semiconductor device manufacturing method
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
EP2608247A1 (en) * 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103578973B (en) * 2012-07-29 2017-09-05 中国科学院微电子研究所 The circulation lithographic method of silicon nitride high depth-to-width ratio hole
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
CN103628075A (en) * 2012-08-23 2014-03-12 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching method
US9054050B2 (en) 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
JP6549765B2 (en) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 Processing method
TWI733431B (en) * 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Chemistries for tsv/mems/power device etching
JP6327970B2 (en) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 Method for etching an insulating film
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
JP6584339B2 (en) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 Manufacturing method of semiconductor device
KR102072269B1 (en) * 2016-02-22 2020-01-31 도쿄엘렉트론가부시키가이샤 Methods for cyclic etching of a patterned layer
CN107275202B (en) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 Etching method and forming method of semiconductor structure
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
JP7071175B2 (en) 2017-04-18 2022-05-18 東京エレクトロン株式会社 How to process the object to be processed
TWI805162B (en) 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 Apparatus of processing target object
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
JP2018200925A (en) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 Etching method and etching device
KR102475069B1 (en) 2017-06-30 2022-12-06 삼성전자주식회사 Semiconductor manufacturing device, method for operating the same
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP2019212776A (en) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 Composition for deposition and deposition apparatus
JP2019212777A (en) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 Composition for deposition and deposition apparatus
WO2020086778A1 (en) * 2018-10-23 2020-04-30 Hzo, Inc. Plasma ashing of coated substrates
US11527414B2 (en) 2020-08-18 2022-12-13 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
US4985114A (en) * 1988-10-14 1991-01-15 Hitachi, Ltd. Dry etching by alternately etching and depositing
US5401359A (en) * 1990-07-27 1995-03-28 Sony Corporation Dry etching method
US5468686A (en) * 1993-12-01 1995-11-21 Nec Corporation Method of cleaning an etching chamber of a dry etching system
US5498312A (en) * 1993-05-27 1996-03-12 Robert Bosch Gmbh Method for anisotropic plasma etching of substrates
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6046115A (en) * 1997-11-26 2000-04-04 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6051503A (en) * 1996-08-01 2000-04-18 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6127273A (en) * 1996-10-07 2000-10-03 Robert Bosch Gmbh Process for anisotropic plasma etching of different substrates
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6200822B1 (en) * 1997-07-17 2001-03-13 Robert Bosch Gmbh Method for detecting the transition between different materials in semiconductor structures
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6214161B1 (en) * 1997-08-07 2001-04-10 Robert Bosch Gmbh Method and apparatus for anisotropic etching of substrates
US6261962B1 (en) * 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6284148B1 (en) * 1997-08-21 2001-09-04 Robert Bosch Gmbh Method for anisotropic etching of silicon
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6303512B1 (en) * 1997-02-20 2001-10-16 Robert Bosch Gmbh Anisotropic, fluorine-based plasma etching method for silicon
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6489632B1 (en) * 1993-01-18 2002-12-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a gate oxide film
US6488862B1 (en) * 1997-07-09 2002-12-03 Applied Materials Inc. Etched patterned copper features free from etch process residue
US20020179570A1 (en) * 2001-06-05 2002-12-05 International Business Machines Corporation Method of etching high aspect ratio openings
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6518192B2 (en) * 2000-02-25 2003-02-11 Applied Materials Inc. Two etchant etch method
US6537906B1 (en) * 1998-11-13 2003-03-25 Seiko Epson Corporation Methods for fabricating semiconductor devices
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6617253B1 (en) * 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
US6632903B2 (en) * 1997-12-31 2003-10-14 Hyundai Electronics Industries Co., Ltd. Polymer-containing photoresist, and process for manufacturing the same
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20040224520A1 (en) * 2003-04-09 2004-11-11 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20050266682A1 (en) * 2002-09-11 2005-12-01 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6313334A (en) 1986-07-04 1988-01-20 Hitachi Ltd Dry etching
JPH04240729A (en) * 1991-01-24 1992-08-28 Toshiba Corp Pattern formation
JPH11195641A (en) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd Plasma treatment
FR2797997B1 (en) * 1999-08-26 2002-04-05 Cit Alcatel METHOD AND DEVICE FOR PROCESSING SUBSTRATE IN VACUUM BY PLASMA
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon

Patent Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
US4985114A (en) * 1988-10-14 1991-01-15 Hitachi, Ltd. Dry etching by alternately etching and depositing
US5401359A (en) * 1990-07-27 1995-03-28 Sony Corporation Dry etching method
US5501893A (en) * 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US6489632B1 (en) * 1993-01-18 2002-12-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a gate oxide film
US5498312A (en) * 1993-05-27 1996-03-12 Robert Bosch Gmbh Method for anisotropic plasma etching of substrates
US5468686A (en) * 1993-12-01 1995-11-21 Nec Corporation Method of cleaning an etching chamber of a dry etching system
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US6261962B1 (en) * 1996-08-01 2001-07-17 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6051503A (en) * 1996-08-01 2000-04-18 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US6127273A (en) * 1996-10-07 2000-10-03 Robert Bosch Gmbh Process for anisotropic plasma etching of different substrates
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6303512B1 (en) * 1997-02-20 2001-10-16 Robert Bosch Gmbh Anisotropic, fluorine-based plasma etching method for silicon
US6488862B1 (en) * 1997-07-09 2002-12-03 Applied Materials Inc. Etched patterned copper features free from etch process residue
US6200822B1 (en) * 1997-07-17 2001-03-13 Robert Bosch Gmbh Method for detecting the transition between different materials in semiconductor structures
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6214161B1 (en) * 1997-08-07 2001-04-10 Robert Bosch Gmbh Method and apparatus for anisotropic etching of substrates
US6284148B1 (en) * 1997-08-21 2001-09-04 Robert Bosch Gmbh Method for anisotropic etching of silicon
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6046115A (en) * 1997-11-26 2000-04-04 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6632903B2 (en) * 1997-12-31 2003-10-14 Hyundai Electronics Industries Co., Ltd. Polymer-containing photoresist, and process for manufacturing the same
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6537906B1 (en) * 1998-11-13 2003-03-25 Seiko Epson Corporation Methods for fabricating semiconductor devices
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6617253B1 (en) * 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6518192B2 (en) * 2000-02-25 2003-02-11 Applied Materials Inc. Two etchant etch method
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US20020179570A1 (en) * 2001-06-05 2002-12-05 International Business Machines Corporation Method of etching high aspect ratio openings
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20050266682A1 (en) * 2002-09-11 2005-12-01 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US20050037624A1 (en) * 2002-10-11 2005-02-17 Lam Research Corporation Method for plasma etching performance enhancement
US20040224520A1 (en) * 2003-04-09 2004-11-11 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer

Cited By (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20090121324A1 (en) * 2005-03-08 2009-05-14 Lam Research Corporation Etch with striation control
US20070181528A1 (en) * 2006-02-07 2007-08-09 Kunihiko Koroyasu Method of etching treatment
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
CN105390390A (en) * 2006-02-17 2016-03-09 朗姆研究公司 Infinitely selective photoresist mask etch
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20090197419A1 (en) * 2006-07-13 2009-08-06 Brown Karl M Process for removing high stressed film using lf or hf bias power and capacitively coupled vhf source power with enhanced residue capture
US8123969B2 (en) * 2006-07-13 2012-02-28 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US20080149592A1 (en) * 2006-12-05 2008-06-26 Belen Rodolfo P Plasma etch process for controlling line edge roughness
US20120298301A1 (en) * 2007-06-18 2012-11-29 Lam Research Corporation Minimization of mask undercut on deep etch
WO2009067104A1 (en) * 2007-11-20 2009-05-28 Applied Materials, Inc. Plasma etch process for controlling line edge roughness
US9865472B2 (en) 2007-12-21 2018-01-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US9330926B2 (en) 2007-12-21 2016-05-03 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US20090184089A1 (en) * 2007-12-21 2009-07-23 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100105208A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US20100105209A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8598037B2 (en) 2008-10-23 2013-12-03 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
US20100197142A1 (en) * 2009-01-30 2010-08-05 Fei Company High selectivity, low damage electron-beam delineation etch
US8273663B2 (en) 2009-11-09 2012-09-25 3M Innovative Properties Company Process for anisotropic etching of semiconductors
WO2011057047A3 (en) * 2009-11-09 2011-10-27 3M Innovative Properties Company Process for anisotropic etching of semiconductors
WO2011057047A2 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Process for anisotropic etching of semiconductors
US20110108861A1 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Process for anisotropic etching of semiconductors
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8470126B2 (en) * 2011-02-17 2013-06-25 Lam Research Corporation Wiggling control for pseudo-hardmask
US20130020026A1 (en) * 2011-02-17 2013-01-24 Lam Research Corporation Wiggling control for pseudo-hardmask
US20120214310A1 (en) * 2011-02-17 2012-08-23 Lam Research Corporation Wiggling control for pseudo-hardmask
CN102651336A (en) * 2011-02-28 2012-08-29 东京毅力科创株式会社 Plasma etching method and semiconductor device manufacturing method
US20160064647A1 (en) * 2011-10-17 2016-03-03 Stmicroelectronics, Inc. Size-controllable opening and method of making same
US9818930B2 (en) * 2011-10-17 2017-11-14 Stmicroelectronics, Inc. Size-controllable opening and method of making same
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20220415660A1 (en) * 2014-06-16 2022-12-29 Tokyo Electron Limited Processing apparatus
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10304693B2 (en) 2014-12-04 2019-05-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
TWI680509B (en) * 2014-12-04 2019-12-21 美商蘭姆研究公司 Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10373840B2 (en) 2014-12-04 2019-08-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997372B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10170323B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10431458B2 (en) 2015-09-01 2019-10-01 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US20170098549A1 (en) * 2015-10-02 2017-04-06 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9728421B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
US9997364B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation High aspect ratio etch
US20180108531A1 (en) * 2016-10-19 2018-04-19 Lam Research Corporation High aspect ratio etch
KR102659567B1 (en) * 2017-03-03 2024-04-19 램 리써치 코포레이션 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US11011388B2 (en) 2017-08-02 2021-05-18 Lam Research Corporation Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US20200357634A1 (en) * 2017-09-29 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for Manufacturing a Semiconductor Device
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
DE102018127461B4 (en) 2017-11-12 2023-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process for manufacturing a semiconductor structure
DE102018010532B4 (en) 2017-11-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing a semiconductor structure
US10964547B2 (en) 2017-11-12 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11170997B2 (en) 2017-11-21 2021-11-09 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10658193B2 (en) * 2018-01-11 2020-05-19 Tokyo Electron Limited Etching method and etching apparatus
US20190214267A1 (en) * 2018-01-11 2019-07-11 Tokyo Electron Limited Etching method and etching apparatus
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
CN111508827A (en) * 2019-01-31 2020-08-07 东京毅力科创株式会社 Method for treating a substrate
US11495469B2 (en) * 2019-01-31 2022-11-08 Tokyo Electron Limited Method for processing substrates
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
US11469110B2 (en) 2019-02-01 2022-10-11 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
US11837474B2 (en) 2019-02-01 2023-12-05 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
US20210175122A1 (en) * 2019-12-09 2021-06-10 Spts Technologies Limited Semiconductor Wafer Dicing Process
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
US20220102155A1 (en) * 2020-09-25 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio bosch deep etch
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch

Also Published As

Publication number Publication date
KR101083623B1 (en) 2011-11-16
KR20050112125A (en) 2005-11-29
US6916746B1 (en) 2005-07-12
CN1802730A (en) 2006-07-12
EP1611603A4 (en) 2010-10-13
RU2005131015A (en) 2007-04-20
EP1611603A1 (en) 2006-01-04
CN100411106C (en) 2008-08-13
TWI365495B (en) 2012-06-01
TW200428515A (en) 2004-12-16
JP5087271B2 (en) 2012-12-05
WO2004093176A1 (en) 2004-10-28
WO2004093176B1 (en) 2005-01-13
RU2339115C2 (en) 2008-11-20
JP2006523030A (en) 2006-10-05

Similar Documents

Publication Publication Date Title
US6916746B1 (en) Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6833325B2 (en) Method for plasma etching performance enhancement
US7169695B2 (en) Method for forming a dual damascene structure
US7977390B2 (en) Method for plasma etching performance enhancement
JP5085997B2 (en) Method and apparatus for enhancing plasma etching performance
IL190716A (en) Method for plasma etching
TWI781368B (en) Methods of processing substrates
JPH09148314A (en) Etching process of silicified titanium
TW201611096A (en) Method for critical dimension reduction using conformal carbon films
KR20060063714A (en) Dielectric etch method with high source and low bombardment plasma providing high etch rates
JPH06151385A (en) Method for plasma-etching of siox material and method for generation of interlayer metal connection part at inside of integrated circuit
CN113035708A (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION