US20050133158A1 - Mask handler apparatus - Google Patents

Mask handler apparatus Download PDF

Info

Publication number
US20050133158A1
US20050133158A1 US10/741,588 US74158803A US2005133158A1 US 20050133158 A1 US20050133158 A1 US 20050133158A1 US 74158803 A US74158803 A US 74158803A US 2005133158 A1 US2005133158 A1 US 2005133158A1
Authority
US
United States
Prior art keywords
substrate
disposed
substrate support
support member
inner perimeter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/741,588
Inventor
Khiem Nguyen
Alfred Mak
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/741,588 priority Critical patent/US20050133158A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAK, ALFRED W., NGUYEN, KHIEM K.
Publication of US20050133158A1 publication Critical patent/US20050133158A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Definitions

  • Embodiments of the present invention generally relate to apparatus and methods for supporting and transferring substrates during photomask fabrication.
  • a technique commonly used to form precise patterns on substrates is photolithography.
  • a photoresist material is applied on a substrate layer to be etched.
  • a light source emitting ultraviolet (UV) light is typically used to expose the photoresist layer to chemically alter the composition of the photoresist.
  • UV ultraviolet
  • the photoresist layer is only selectively exposed.
  • a photomask, or “reticle” is positioned between the light source and the substrate being processed.
  • the photomask contains the desired configuration of features for the substrate.
  • the exposed, or alternatively, the unexposed photoresist material is then removed to expose the underlying material of the substrate.
  • the retained photoresist material remains as an etch resistant pattern on the substrate.
  • the exposed underlying material may then be etched to form the desired features in the substrate, i.e., contacts, vias, or other features.
  • Photolithographic photomasks typically comprise a substrate of an optically transparent silicon based material, such as quartz.
  • a light-shielding layer of metal typically chromium, is patterned on the surface of the substrate.
  • the metal layer is patterned and etched to form features which define the pattern, and correspond to the dimensions of the features to be transferred to a substrate, such as a semiconductor substrate.
  • the deposition and etching processes employed to fabricate the photomask requires that the substrate be transferred and supported within a processing system. It has become desirable to utilize processing equipment and systems which are configured for processing the substrates themselves when fabricating the photomasks. However, these systems are typically configured to process circular substrates, and must be reconfigured to support and transfer rectangular photomasks. In addition, the systems used to support and transport the substrates used in photomask fabrication must carefully handle the substrates to prevent scratches and other defects from being formed on the substrates. These defects can alter the light transmission properties of the substrates and result in defective photomasks.
  • the present invention generally provides an apparatus to minimize defect formation in a substrate during processing and handling of substrates in a plasma etch chamber by supporting a portion of a substrate in a chamber to minimize contact between the substrate and the chamber components during processing.
  • an apparatus for supporting a substrate including a base plate having an inner perimeter and an outer perimeter, a substrate support member extending horizontally from the inner perimeter of the base plate, at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate.
  • an apparatus for supporting a substrate including a ring, a plurality of spacers disposed on the ring, a plurality of substrate receiving members disposed on the plurality of spacers, wherein the substrate receiving members comprise a base plate having an inner perimeter and an outer perimeter, at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate and the inner perimeter of the base plates are positioned to face an axis of the ring.
  • the apparatus may be used in a loadlock chamber further including one or more walls defining an enclosure, the walls having a sealable loading port selectively sealable by a door and at least one substrate transfer slot selectively sealable by one or more slit valves, the substrate transfer slots disposed substantially opposite of the loading port, at least one substrate support disposed in the enclosure, each substrate support including a ring, a plurality of spacers disposed on the ring, a plurality of substrate receiving members disposed on the plurality of spacers, wherein the substrate receiving members comprise a base plate having an inner perimeter and an outer perimeter, a substrate support member extending horizontally from the inner perimeter of the base plate, and at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate and the inner perimeter of the base plates are position to face an axis of the ring.
  • the loadlock chamber may also be used in a substrate
  • FIG. 1 is a top schematic view of a radial cluster tool for batch processing of semiconductor substrates
  • FIG. 2 is a perspective view of one embodiment of a loadlock chamber having a substrate support ring disposed therein;
  • FIG. 3 is a perspective view of one embodiment of a substrate support ring
  • FIGS. 4A-4G are schematic views of one embodiment of the substrate support member described herein.
  • the photomask etch system may be a cluster tool similar to that shown in FIG. 1 is a CenturaTM processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • Suitable inductively coupled plasma etch chambers include the ETEC TetraTM photomask etch chambers, such as the ETEC Tetra ITM photomask etch chamber and the ETEC Tetra IITM photomask etch chamber, available from ETEC of Hayward, Calif., or optionally, a Decoupled Plasma Source DPSTM processing chambers, such as the DPS ITM, DPS IITM, and DPS +TM processing chambers available from Applied Materials, Inc., of Santa Clara, Calif.
  • Other process chambers may be used including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.
  • FIG. 1 is a plan view of a vacuum cluster tool 100 suitable for use with the apparatus of the invention described above.
  • the vacuum cluster tool includes multiple substrate processing chambers 112 mounted on a centralized vacuum chamber 114 , such as a transfer chamber, for transferring a substrate from a substrate support in one or more load lock chambers 116 , to one or more process chambers 112 .
  • Transfer of a substrate 218 between the process chambers 112 is typically managed by a substrate handling module, or substrate handier, 118 , preferably with the substrate handling blade 150 mounted thereon.
  • the substrate handler 118 is located in the central transfer chamber 114 .
  • the substrate is retrieved from the processing chambers 112 and transferred to one or more of the load lock chambers 116 and into one or more substrate cassette (not shown) disposed within the one or more load lock chambers 116 .
  • the substrates can then be retrieved from the loadlock chambers 116 and transferred to the next system for additional processing.
  • the process chambers 112 are etching chambers, preferably plasma etching chambers
  • FIG. 2 is a perspective view of one embodiment of a loadlock chamber 116 .
  • the loadlock chamber 116 includes a sidewall 200 , a bottom 204 and a lid (not shown).
  • the sidewall 200 defines a loadlock loading port 208 for loading substrates into and unloading substrates out of the system 100 .
  • Passage 210 is disposed in the sidewall 202 opposite the loading port 208 to allow substrates to be moved from the loadlock chamber 116 into the transfer chamber 114 .
  • Slit valves and slit valve actuators are used to seal the passage 210 and loading port 208 when isolation or staged vacuum is desired.
  • a service port 214 is disposed on one end of the loadlock chamber 116 to provide service and visual access to the loadlock chamber. 116 .
  • a substrate support ring 220 is disposed within the loadlock chamber 116 to support the substrates 218 in a spaced relationship in the loadlock chamber 116 so that a substrate handler 118 can pass between the substrates 218 to place and remove substrates 218 from the loadlock 116 .
  • the substrate support ring 220 preferably supports a plurality of substrates 218 in a vertically displaced arrangement on substrate receiving members 222 disposed on the substrate support ring 220 as shown in FIGS. 3 and 4 A- 4 E.
  • the substrate receiving members 222 are typically disposed in pairs having an inward facing orientation and are supported in spaced relation by spacers (not shown).
  • the substrate supporting ring 220 may hold a plurality of sets of substrate receiving members 222 and may be vertically disposed from each other at a sufficient distance to allow a substrate handler to position and remove substrates 218 therefrom, such as a distance between about 0.1 inches and about 6 inches, for example, about 0.6 inches to about 0.7 inches apart.
  • two sets of two substrate receiving members are provided on the substrate support ring 220 to support a total of two substrates.
  • the substrate receiving members 222 are adapted to define an opening 217 that a substrate handler blade. 150 can be moved to transfer a substrate with minimal contact between components of the system.
  • a substrate handler blade is described in U.S. Pat. No. 6,537,011 issued on Mar. 25, 2003, and incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects herein.
  • an actuator may be coupled to the loadlock 116 to raise and lower the loadlock to vertically displace the substrate receiving members 222 to align and receive substrates from a plane of the substrate handler.
  • An on-board vacuum pump (not shown) is mounted on the system 100 adjacent the loadlock chamber 116 and the transfer chamber 114 to pump down the loadlock chamber 116 and the transfer chamber 114 .
  • An exhaust port (not shown) is disposed through the bottom of the loadlock chamber 116 and is connected to the pump via exhaust line.
  • the pump is preferably a high vacuum turbo pump capable of providing milliTorr pressures with very low vibration.
  • the substrate support ring 220 comprises an annular ring structure 230 having attachment structures 232 , spacers 234 , and substrate receiving members 222 coupled to the spacers 234 .
  • the annular ring structure 230 typically comprises a substantially inert material to processing gases used in the processing chambers, such an inert material may include aluminum or aluminum oxide.
  • the annular ring structure includes an outside perimeter 236 congruent with the inside perimeter of the loadlock chamber for attachment thereto.
  • the annular ring structure 230 may also include one or more attachment structure 232 disposed at the outside diameter for affixation to the inside of the loadlock chamber.
  • the attachment structures 232 of the annular ring structure 230 may be formed in any variable shape to adapt to match any configuration or shape of a loadlock chamber.
  • the inner perimeter 238 of the ring is circular or substantially circular, but may vary on the structure of the loadlock chamber or desires of the operator.
  • Spacers 234 are adapted to be mounted on the annular ring structure 230 and may be further adapted to be mounted on the substrate receiving members 222 .
  • the spacers 234 include one or more apertures for receiving a fastener 235 and may also have one or more protrusion for mating with apertures in the substrate receiving members 222 or annular ring structure 230 surface. Multiple spacers and corresponding substrate receiving members 222 may be aligned and secured by one or more fasteners to provide a stack for receiving more than one substrate.
  • the spacers 234 and the substrate receiving members 222 can form sets that may be repeatedly stacked to form any number vertically displaced sets as the loadlock will physically allow.
  • the spacers 234 and the substrate receiving members 222 are configured on the annular ring structure vertically above or below the plane of the annular ring structure 230 and are configured to define an opening 217 for a substrate handler to position and remove substrates therefrom.
  • the spacers 234 typically provide a spacing of between about 0.1 inches and six inches between substrate receiving members 222 mounted thereon and the ring 230 , for example, the spacer 234 may have a thickness of about 0.625 inches and provide a distance between substrate receiving members of at least that distance
  • the spacers are typically disposed diametrically opposed from each other on the annular ring structure 230 . However, variation in the number and orientation of the spacers 234 may occur for supporting substrates in the loadlock chamber.
  • the spacers are made of a material that is inert or substantially inert with etching gases or processing gases that may contact the spacers, such as aluminum or aluminum oxide, as well as suitable polymers or rubbers.
  • the spacers 234 may be adapted to have one or more shelves or lips to provide additional contact surface with the substrate receiving members 222 to help distribute stress or weight when receiving a substrate.
  • the shelf or lip may be a parallel extension of a surface of the spacer 234 that contacts the substrate receiving surface 222 , or alternatively, annular ring structure 230 .
  • FIGS. 4A, 4B , and 4 E are cross-sectional and perspective views of the substrate receiving member 222 of FIG. 3 .
  • the substrate receiving member 222 includes a base plate 250 having an upper surface 252 , an outer perimeter 254 , and an inner perimeter 256 .
  • the outer perimeter 252 may be co-existing with the outer perimeter 236 of the ring structure 230 and adapted to be coupled with the spacers 234 .
  • the outer perimeter may be further adapted to conform to the shape of portions of the ring structure 230 .
  • the inner perimeter is defined by a substrate support member 260 , at least one substrate support guide 270 , and optionally, one or more recesses 275 , and is adapted to receive a portion of a substrate.
  • the substrate receiving member 222 may comprise a material inert to processing gases, such as aluminum or aluminum oxide.
  • the base plate 250 , a substrate support member 260 , and the at least one substrate support guide 270 may be individual components coupled together or may be formed from a single piece of material.
  • FIGS. 4C, 4D , and 4 F are partial cross-sectional views of the substrate support member 260 .
  • the substrate support member 260 includes an angular substrate support portion 261 , a vertical support portion 267 , or both.
  • the substrate support member may comprise only angular substrate support portions 261 or only vertical support portions 267 .
  • a combination of angular substrate support portions 261 and vertical support portions 267 comprise the substrate support member 260 .
  • the substrate support member 260 includes two portions of the angular support portion 261 disposed on either side of a vertical support portion 267 .
  • the length of the respective portions of the angular substrate support portion 261 and the vertical support portion 267 and the substrate support member 260 may vary.
  • the substrate support member comprises approximately 4 inches in length with two 0.5 inch angular substrate support portion disposed on either side of a three inch vertical support portion 267 .
  • the angular substrate support portion 261 includes an upper surface 262 , an outer surface 263 , and an inner surface 265 .
  • the inner surface 265 includes an upper substrate aligning surface 264 which is disposed at an incline from the upper surface 262 .
  • the incline may comprise a portion or all of the upper substrate aligning surface 264 .
  • the upper aligning surface 264 may have an angle ⁇ from the normal of between about 5° and about 30°, such as 15°.
  • the upper aligning surface 264 provides gravity assisted gross alignment of a substrate 218 received thereon.
  • An example of a substrate aligning surface is disclosed in co-pending U.S. patent application Ser. No. 10/689,783 [Atty. Docket No. APPM/8348], filed on Oct. 21, 2003, and entitled “Mask Etch Processing Apparatus”, which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects herein.
  • the lower portion 266 of the substrate support member 260 has an inclined surface for receiving the substrate thereon, such as the edge of the substrate.
  • the surface is inclined at an angle a between about 2° and about 7°, preferably between about 2.5° and about 5°, for example, about 2.5°.
  • the inclination of the substrate support member 260 minimizes the surface area contact between the substrate 218 and the substrate support member 260 as shown in FIG. 4G .
  • the inclined surface and the lower portion 266 also assist in centering the substrate as it is received thereon.
  • the vertical support portion 267 includes one or more substantially normal portions having an upper portion 268 , a substantially horizontal lower portion 269 , and a substantially vertical portion 271 disposed between the upper portion 268 and substantially horizontal lower portion 269 .
  • the substantially vertical portion 271 may have an angle of about normal, 90°, to the substantially horizontal lower portion 269 , and alternatively, may have an angle ⁇ up to about 30°, for example, about 15°.
  • the lower portion 269 of the substrate support member 260 has a substantially horizontal surface of about 0°, and alternatively, may have an angle of up to about 5°, for example, about 2.5°.
  • the substrate 218 contacts the substantially horizontal lower portion 269 when supported by the vertical support portion 267 . In a substrate support member 260 having angular support portions 261 and vertical support portions 267 , the substrate 218 has limited or no contact with the substantially horizontal lower surface 269 and the surface area contact between the substrate 218 and the substrate support member 260 is minimized.
  • the substrate receiving member 222 may further comprise one or more substrate support guides 270 .
  • the substrate support guides 270 extend from the base plate 252 along a substantially horizontal plane with the base plate 252 .
  • the substrate support guides are generally formed at the ends of the inner perimeter 256 on either side of the substrate support member 260 .
  • the substrate support guides are adapted to contact or enclose the sides of a substrate 218 positioned on the substrate support member 260 of the substrate receiving member 222 .
  • the substrate support guides include an inner surface 271 and an outer surface 272 .
  • the inner surface 271 has a thickness of approximately the thickness of the base plate 252 and at least a portion up to the complete thickness of the inner surface 271 is angled.
  • the angled surface comprises an inwardly sloping angle ⁇ from the normal of between about 5° and about 30°, such as 15°.
  • the angled surface has the same angle as the upper aligning surface 264 of the angular support portion 261 .
  • the angled inner surface 271 is believed to further minimize contact with a substrate 218 disposed thereon.
  • recesses 275 are disposed between the substrate support guides 270 and the substrate support member 260 to also further minimize contact with a substrate 218 disposed thereon.
  • the substrate support member 260 is generally formed from of an etch resistant, high temperature resistant material, such as aluminum or aluminum oxide, to enable the substrate support member 260 to be used repetitively in the etching process without damage such as scratching or deformation.

Abstract

Method and apparatus for supporting a substrate in a semiconductor substrate processing system are provided. A substrate is supported on two substrate support each having an inclined surface for receiving a portion of the substrate while minimizing contact with the substrate and guides for centering the substrate on the inclined surface. In one aspect, the two substrate supports are position facing each other on a ring disposed in a loadlock chamber with the substrate supported therebetween. Multiple sets of the substrate supports may be used to hold multiple substrates at a time in the loadlock chamber.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to apparatus and methods for supporting and transferring substrates during photomask fabrication.
  • 2. Description of the Related Art
  • A technique commonly used to form precise patterns on substrates is photolithography. In conventional photolithographic processes, a photoresist material is applied on a substrate layer to be etched. A light source emitting ultraviolet (UV) light is typically used to expose the photoresist layer to chemically alter the composition of the photoresist. However, the photoresist layer is only selectively exposed. In this respect, a photomask, or “reticle,” is positioned between the light source and the substrate being processed. The photomask contains the desired configuration of features for the substrate. The exposed, or alternatively, the unexposed photoresist material is then removed to expose the underlying material of the substrate. The retained photoresist material remains as an etch resistant pattern on the substrate. The exposed underlying material may then be etched to form the desired features in the substrate, i.e., contacts, vias, or other features.
  • Photolithographic photomasks, or reticles, typically comprise a substrate of an optically transparent silicon based material, such as quartz. A light-shielding layer of metal, typically chromium, is patterned on the surface of the substrate. The metal layer is patterned and etched to form features which define the pattern, and correspond to the dimensions of the features to be transferred to a substrate, such as a semiconductor substrate.
  • The deposition and etching processes employed to fabricate the photomask requires that the substrate be transferred and supported within a processing system. It has become desirable to utilize processing equipment and systems which are configured for processing the substrates themselves when fabricating the photomasks. However, these systems are typically configured to process circular substrates, and must be reconfigured to support and transfer rectangular photomasks. In addition, the systems used to support and transport the substrates used in photomask fabrication must carefully handle the substrates to prevent scratches and other defects from being formed on the substrates. These defects can alter the light transmission properties of the substrates and result in defective photomasks.
  • Therefore, there is a need for a method and apparatus for transferring and supporting substrates in processing systems which minimizes defect formation.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides an apparatus to minimize defect formation in a substrate during processing and handling of substrates in a plasma etch chamber by supporting a portion of a substrate in a chamber to minimize contact between the substrate and the chamber components during processing.
  • In one aspect, an apparatus is provided for supporting a substrate including a base plate having an inner perimeter and an outer perimeter, a substrate support member extending horizontally from the inner perimeter of the base plate, at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate.
  • In another aspect, an apparatus is provided for supporting a substrate including a ring, a plurality of spacers disposed on the ring, a plurality of substrate receiving members disposed on the plurality of spacers, wherein the substrate receiving members comprise a base plate having an inner perimeter and an outer perimeter, at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate and the inner perimeter of the base plates are positioned to face an axis of the ring.
  • The apparatus may be used in a loadlock chamber further including one or more walls defining an enclosure, the walls having a sealable loading port selectively sealable by a door and at least one substrate transfer slot selectively sealable by one or more slit valves, the substrate transfer slots disposed substantially opposite of the loading port, at least one substrate support disposed in the enclosure, each substrate support including a ring, a plurality of spacers disposed on the ring, a plurality of substrate receiving members disposed on the plurality of spacers, wherein the substrate receiving members comprise a base plate having an inner perimeter and an outer perimeter, a substrate support member extending horizontally from the inner perimeter of the base plate, and at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate and the inner perimeter of the base plates are position to face an axis of the ring. The loadlock chamber may also be used in a substrate processing system comprising a transfer chamber, at least the one processing chamber coupled to the transfer chamber, and a substrate handler disposed in the transfer chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a top schematic view of a radial cluster tool for batch processing of semiconductor substrates;
  • FIG. 2 is a perspective view of one embodiment of a loadlock chamber having a substrate support ring disposed therein;
  • FIG. 3 is a perspective view of one embodiment of a substrate support ring; and
  • FIGS. 4A-4G are schematic views of one embodiment of the substrate support member described herein.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Aspects of the invention will be described below in reference to a photolithographic reticle, or photomask, etch system having an inductively coupled plasma etch chamber. The photomask etch system may be a cluster tool similar to that shown in FIG. 1 is a Centura™ processing system available from Applied Materials, Inc. of Santa Clara, Calif. Suitable inductively coupled plasma etch chambers include the ETEC Tetra™ photomask etch chambers, such as the ETEC Tetra I™ photomask etch chamber and the ETEC Tetra II™ photomask etch chamber, available from ETEC of Hayward, Calif., or optionally, a Decoupled Plasma Source DPS™ processing chambers, such as the DPS I™, DPS II™, and DPS +™ processing chambers available from Applied Materials, Inc., of Santa Clara, Calif. Other process chambers may be used including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs. Although the processes are advantageously performed with the apparatus described herein, the description of the processing chambers and cluster tool is illustrative and should not be construed or interpreted to limit the scope of aspects of the invention.
  • FIG. 1 is a plan view of a vacuum cluster tool 100 suitable for use with the apparatus of the invention described above. The vacuum cluster tool includes multiple substrate processing chambers 112 mounted on a centralized vacuum chamber 114, such as a transfer chamber, for transferring a substrate from a substrate support in one or more load lock chambers 116, to one or more process chambers 112.
  • Transfer of a substrate 218 between the process chambers 112 is typically managed by a substrate handling module, or substrate handier, 118, preferably with the substrate handling blade 150 mounted thereon. The substrate handler 118 is located in the central transfer chamber 114. After a substrate is processed, the substrate is retrieved from the processing chambers 112 and transferred to one or more of the load lock chambers 116 and into one or more substrate cassette (not shown) disposed within the one or more load lock chambers 116. The substrates can then be retrieved from the loadlock chambers 116 and transferred to the next system for additional processing. In photomask manufacturing processing, the process chambers 112 are etching chambers, preferably plasma etching chambers
  • FIG. 2 is a perspective view of one embodiment of a loadlock chamber 116. The loadlock chamber 116 includes a sidewall 200, a bottom 204 and a lid (not shown). The sidewall 200 defines a loadlock loading port 208 for loading substrates into and unloading substrates out of the system 100. Passage 210 is disposed in the sidewall 202 opposite the loading port 208 to allow substrates to be moved from the loadlock chamber 116 into the transfer chamber 114. Slit valves and slit valve actuators are used to seal the passage 210 and loading port 208 when isolation or staged vacuum is desired. A service port 214 is disposed on one end of the loadlock chamber 116 to provide service and visual access to the loadlock chamber. 116.
  • A substrate support ring 220 is disposed within the loadlock chamber 116 to support the substrates 218 in a spaced relationship in the loadlock chamber 116 so that a substrate handler 118 can pass between the substrates 218 to place and remove substrates 218 from the loadlock 116. The substrate support ring 220 preferably supports a plurality of substrates 218 in a vertically displaced arrangement on substrate receiving members 222 disposed on the substrate support ring 220 as shown in FIGS. 3 and 4A-4E.
  • The substrate receiving members 222 are typically disposed in pairs having an inward facing orientation and are supported in spaced relation by spacers (not shown). The substrate supporting ring 220 may hold a plurality of sets of substrate receiving members 222 and may be vertically disposed from each other at a sufficient distance to allow a substrate handler to position and remove substrates 218 therefrom, such as a distance between about 0.1 inches and about 6 inches, for example, about 0.6 inches to about 0.7 inches apart. In the embodiment shown in FIG. 2, two sets of two substrate receiving members are provided on the substrate support ring 220 to support a total of two substrates.
  • The substrate receiving members 222 are adapted to define an opening 217 that a substrate handler blade. 150 can be moved to transfer a substrate with minimal contact between components of the system. An example of a suitable substrate handler blade is described in U.S. Pat. No. 6,537,011 issued on Mar. 25, 2003, and incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects herein. While not shown, an actuator may be coupled to the loadlock 116 to raise and lower the loadlock to vertically displace the substrate receiving members 222 to align and receive substrates from a plane of the substrate handler.
  • An on-board vacuum pump (not shown) is mounted on the system 100 adjacent the loadlock chamber 116 and the transfer chamber 114 to pump down the loadlock chamber 116 and the transfer chamber 114. An exhaust port (not shown) is disposed through the bottom of the loadlock chamber 116 and is connected to the pump via exhaust line. The pump is preferably a high vacuum turbo pump capable of providing milliTorr pressures with very low vibration.
  • Referring to FIG. 3, the substrate support ring 220 comprises an annular ring structure 230 having attachment structures 232, spacers 234, and substrate receiving members 222 coupled to the spacers 234. The annular ring structure 230 typically comprises a substantially inert material to processing gases used in the processing chambers, such an inert material may include aluminum or aluminum oxide. The annular ring structure includes an outside perimeter 236 congruent with the inside perimeter of the loadlock chamber for attachment thereto. The annular ring structure 230 may also include one or more attachment structure 232 disposed at the outside diameter for affixation to the inside of the loadlock chamber. The attachment structures 232 of the annular ring structure 230 may be formed in any variable shape to adapt to match any configuration or shape of a loadlock chamber. The inner perimeter 238 of the ring is circular or substantially circular, but may vary on the structure of the loadlock chamber or desires of the operator.
  • Spacers 234 are adapted to be mounted on the annular ring structure 230 and may be further adapted to be mounted on the substrate receiving members 222. The spacers 234 include one or more apertures for receiving a fastener 235 and may also have one or more protrusion for mating with apertures in the substrate receiving members 222 or annular ring structure 230 surface. Multiple spacers and corresponding substrate receiving members 222 may be aligned and secured by one or more fasteners to provide a stack for receiving more than one substrate. The spacers 234 and the substrate receiving members 222 can form sets that may be repeatedly stacked to form any number vertically displaced sets as the loadlock will physically allow. The spacers 234 and the substrate receiving members 222 are configured on the annular ring structure vertically above or below the plane of the annular ring structure 230 and are configured to define an opening 217 for a substrate handler to position and remove substrates therefrom. The spacers 234 typically provide a spacing of between about 0.1 inches and six inches between substrate receiving members 222 mounted thereon and the ring 230, for example, the spacer 234 may have a thickness of about 0.625 inches and provide a distance between substrate receiving members of at least that distance
  • The spacers are typically disposed diametrically opposed from each other on the annular ring structure 230. However, variation in the number and orientation of the spacers 234 may occur for supporting substrates in the loadlock chamber. The spacers are made of a material that is inert or substantially inert with etching gases or processing gases that may contact the spacers, such as aluminum or aluminum oxide, as well as suitable polymers or rubbers.
  • Alternatively, while not shown, the spacers 234 may be adapted to have one or more shelves or lips to provide additional contact surface with the substrate receiving members 222 to help distribute stress or weight when receiving a substrate. The shelf or lip may be a parallel extension of a surface of the spacer 234 that contacts the substrate receiving surface 222, or alternatively, annular ring structure 230.
  • FIGS. 4A, 4B, and 4E are cross-sectional and perspective views of the substrate receiving member 222 of FIG. 3. The substrate receiving member 222 includes a base plate 250 having an upper surface 252, an outer perimeter 254, and an inner perimeter 256. The outer perimeter 252 may be co-existing with the outer perimeter 236 of the ring structure 230 and adapted to be coupled with the spacers 234. The outer perimeter may be further adapted to conform to the shape of portions of the ring structure 230. The inner perimeter is defined by a substrate support member 260, at least one substrate support guide 270, and optionally, one or more recesses 275, and is adapted to receive a portion of a substrate. The substrate receiving member 222 may comprise a material inert to processing gases, such as aluminum or aluminum oxide. The base plate 250, a substrate support member 260, and the at least one substrate support guide 270, may be individual components coupled together or may be formed from a single piece of material.
  • FIGS. 4C, 4D, and 4F are partial cross-sectional views of the substrate support member 260. The substrate support member 260 includes an angular substrate support portion 261, a vertical support portion 267, or both. The substrate support member may comprise only angular substrate support portions 261 or only vertical support portions 267. Generally, a combination of angular substrate support portions 261 and vertical support portions 267 comprise the substrate support member 260. In one embodiment of the substrate support member 260 includes two portions of the angular support portion 261 disposed on either side of a vertical support portion 267. The length of the respective portions of the angular substrate support portion 261 and the vertical support portion 267 and the substrate support member 260 may vary. In one example, the substrate support member comprises approximately 4 inches in length with two 0.5 inch angular substrate support portion disposed on either side of a three inch vertical support portion 267.
  • Referring to FIGS. 4C and 4F, the angular substrate support portion 261 includes an upper surface 262, an outer surface 263, and an inner surface 265. The inner surface 265 includes an upper substrate aligning surface 264 which is disposed at an incline from the upper surface 262. The incline may comprise a portion or all of the upper substrate aligning surface 264. The upper aligning surface 264 may have an angle β from the normal of between about 5° and about 30°, such as 15°. The upper aligning surface 264 provides gravity assisted gross alignment of a substrate 218 received thereon. An example of a substrate aligning surface is disclosed in co-pending U.S. patent application Ser. No. 10/689,783 [Atty. Docket No. APPM/8348], filed on Oct. 21, 2003, and entitled “Mask Etch Processing Apparatus”, which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects herein.
  • The lower portion 266 of the substrate support member 260 has an inclined surface for receiving the substrate thereon, such as the edge of the substrate. The surface is inclined at an angle a between about 2° and about 7°, preferably between about 2.5° and about 5°, for example, about 2.5°. The inclination of the substrate support member 260 minimizes the surface area contact between the substrate 218 and the substrate support member 260 as shown in FIG. 4G. The inclined surface and the lower portion 266 also assist in centering the substrate as it is received thereon.
  • Referring to FIG. 4D, the vertical support portion 267 includes one or more substantially normal portions having an upper portion 268, a substantially horizontal lower portion 269, and a substantially vertical portion 271 disposed between the upper portion 268 and substantially horizontal lower portion 269. The substantially vertical portion 271 may have an angle of about normal, 90°, to the substantially horizontal lower portion 269, and alternatively, may have an angle θ up to about 30°, for example, about 15°. The lower portion 269 of the substrate support member 260 has a substantially horizontal surface of about 0°, and alternatively, may have an angle of up to about 5°, for example, about 2.5°. The substrate 218 contacts the substantially horizontal lower portion 269 when supported by the vertical support portion 267. In a substrate support member 260 having angular support portions 261 and vertical support portions 267, the substrate 218 has limited or no contact with the substantially horizontal lower surface 269 and the surface area contact between the substrate 218 and the substrate support member 260 is minimized.
  • The substrate receiving member 222 may further comprise one or more substrate support guides 270. The substrate support guides 270 extend from the base plate 252 along a substantially horizontal plane with the base plate 252. The substrate support guides are generally formed at the ends of the inner perimeter 256 on either side of the substrate support member 260. The substrate support guides are adapted to contact or enclose the sides of a substrate 218 positioned on the substrate support member 260 of the substrate receiving member 222.
  • The substrate support guides include an inner surface 271 and an outer surface 272. The inner surface 271 has a thickness of approximately the thickness of the base plate 252 and at least a portion up to the complete thickness of the inner surface 271 is angled. The angled surface comprises an inwardly sloping angle θ from the normal of between about 5° and about 30°, such as 15°. In one embodiment of the inner surface 271, the angled surface has the same angle as the upper aligning surface 264 of the angular support portion 261. The angled inner surface 271 is believed to further minimize contact with a substrate 218 disposed thereon. Optionally, recesses 275 are disposed between the substrate support guides 270 and the substrate support member 260 to also further minimize contact with a substrate 218 disposed thereon.
  • The substrate support member 260 is generally formed from of an etch resistant, high temperature resistant material, such as aluminum or aluminum oxide, to enable the substrate support member 260 to be used repetitively in the etching process without damage such as scratching or deformation.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (29)

1. An apparatus for supporting a substrate, comprising:
a base plate having an inner perimeter and an outer perimeter;
a substrate support member extending horizontally from the inner perimeter of the base plate;
at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate.
2. The apparatus of claim 1, wherein the substrate support member comprises a linear surface extending a length of the inner perimeter and the linear surface comprises an angular portion having an upper portion, a lower portion, and at least a partial tapered portion disposed between the upper portion and the lower portion.
3. The apparatus of claim 2, wherein the lower portion has an inclined surface between about 2° and about 7°.
4. The apparatus of claim 3, wherein the lower portion has an inclined surface of about 2.5°.
5. The apparatus of claim 2, wherein the tapered portion has a angle from normal of between about 5° and about 60°.
6. The apparatus of claim 2, wherein the linear surface further comprises one or more substantially normal portions having an upper portion, a substantially horizontal lower portion, and a substantially vertical portion disposed between the upper portion and substantially horizontal lower portion.
7. The apparatus of claim 5, wherein the linear surface comprises a substantially normal portion disposed between two angular portions of the linear surface.
8. The apparatus of claim 2, wherein the substrate support guides are disposed on the inner perimeter of the base plate and on at least opposing sides from the substrate support member.
9. The apparatus of claim 6, wherein the substrate support guides are adapted to position a substrate on the substrate support member.
10. The apparatus of claim 1, wherein the apparatus comprises an etch resistant material.
11. An apparatus for supporting a substrate, comprising:
a ring;
a plurality of spacers disposed on the ring;
a plurality of substrate receiving members disposed on the plurality of spacers, wherein the substrate receiving members comprise:
a base plate having an inner perimeter and an outer perimeter;
a substrate support member extending horizontally from the inner perimeter of the base plate;
at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate and the inner perimeter of the base plates are positioned to face an axis of the ring.
12. The apparatus of claim 11, wherein the substrate support member comprises a linear surface extending a length of the inner perimeter and the linear surface comprises an angular portion having an upper portion, a lower portion, and at least a partial tapered portion disposed between the upper portion and the lower portion.
13. The apparatus of claim 12, wherein the linear surface further comprises one or more substantially normal portions having an upper portion, a substantially horizontal lower portion, and a substantially vertical portion disposed between the upper portion and substantially horizontal lower portion.
14. The apparatus of claim 13, wherein the linear surface comprises a substantially normal portion disposed between two angular portions of the linear surface having an upper portion, a lower portion, and at least a partial tapered portion disposed between the upper portion and the lower portion.
15. The apparatus of claim 12, wherein the substrate support guides are disposed on the inner perimeter of the base plate and on at least opposing sides from the substrate support member.
16. The apparatus of claim 11, wherein the ring comprises an etch resistant material.
17. The apparatus of claim 11, wherein the at least two spacers are disposed on opposing sides of the ring from each other and a substrate receiving member is disposed on each of the at least two spaces, wherein the substrate support members of each substrate receiving member are opposed to each other.
18. The apparatus of claim 17, wherein the apparatus comprises a first set of spacers disposed on opposing sides of the ring from each other with a first set of substrate receiving member facing an inward direction disposed on the first set of spaces and a second set of spacers disposed on the first set of substrate receiving members and a second set of substrate receiving members facing an inward direction disposed on the second set of spacers.
19. The apparatus of claim 18, wherein the ring is adapted to be secured to a inner portion of a processing chamber.
20. A loadlock chamber, comprising:
one or more walls defining an enclosure, the walls having a sealable loading port selectively sealable by a door and at least one substrate transfer slot selectively sealable by one or more slit valves, the substrate transfer slots disposed substantially opposite of the loading port;
at least one substrate support disposed in the enclosure, each substrate support comprising:
a ring;
a plurality of spacers disposed on the ring;
a plurality of substrate receiving members disposed on the plurality of spacers, wherein the substrate receiving members comprise:
a base plate having an inner perimeter and an outer perimeter;
a substrate support member extending horizontally from the inner perimeter of the base plate; and
at least one substrate support guide extending horizontally from the inner perimeter of the base plate and disposed adjacent the substrate support member, wherein the substrate support member and the at least one substrate support guide are adapted to receive a portion of a substrate and the inner perimeter of the base plates are position to face an axis of the ring.
21. The loadlock chamber of claim 20, wherein the substrate support member comprises a linear surface extending a length of the inner perimeter and the linear surface comprises an angular portion having an upper portion, a lower portion, and at least a partial tapered portion disposed between the upper portion and the lower portion.
22. The loadlock chamber of claim 21, wherein the linear surface further comprises one or more substantially normal portions having an upper portion, a substantially horizontal lower portion, and a substantially vertical portion disposed between the upper portion and substantially horizontal lower portion.
23. The loadlock chamber of claim 22, wherein the linear surface comprises a substantially normal portion disposed between two angular portions of the linear surface having an upper portion, a lower portion, and at least a partial tapered portion disposed between the upper portion and the lower portion.
24. The loadlock chamber of claim 21, wherein the substrate support guides are disposed on the inner perimeter of the base plate and on at least opposing sides from the substrate support member.
25. The loadlock chamber of claim 20, wherein the ring comprises an etch resistant material.
26. The loadlock chamber of claim 20, wherein the at least two spacers are disposed on opposing sides of the ring from each other and a substrate receiving member is disposed on each of the at least two spaces, wherein the substrate support members of each substrate receiving member are opposed to each other.
27. The loadlock chamber of claim 26, wherein the apparatus comprises a first set of spacers disposed on opposing sides of the ring from each other with a first set of substrate receiving member facing an inward direction disposed on the first set of spaces and a second set of spacers disposed on the first set of substrate receiving members and a second set of substrate receiving members facing an inward direction disposed on the second set of spacers.
28. The loadlock chamber of claim 27, wherein the ring is adapted to be secured to a inner portion of a processing chamber.
29. The loadlock chamber of claim 20, further comprising:
a transfer chamber coupled to the loadlock chamber;
at least one processing chamber coupled to the transfer chamber:
a substrate handler disposed in the transfer chamber and in substrate communication with the loadlock and each of the at least one processing chamber.
US10/741,588 2003-12-19 2003-12-19 Mask handler apparatus Abandoned US20050133158A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/741,588 US20050133158A1 (en) 2003-12-19 2003-12-19 Mask handler apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/741,588 US20050133158A1 (en) 2003-12-19 2003-12-19 Mask handler apparatus

Publications (1)

Publication Number Publication Date
US20050133158A1 true US20050133158A1 (en) 2005-06-23

Family

ID=34678200

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/741,588 Abandoned US20050133158A1 (en) 2003-12-19 2003-12-19 Mask handler apparatus

Country Status (1)

Country Link
US (1) US20050133158A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080042077A1 (en) * 2004-05-06 2008-02-21 Schmitt Francimar C Process and apparatus for post deposition treatment of low dielectric materials

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4586743A (en) * 1984-09-24 1986-05-06 Intelledex Incorporated Robotic gripper for disk-shaped objects
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4869801A (en) * 1988-02-05 1989-09-26 Leybold Aktiengesellschaft Apparatus for mounting workpieces
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5133635A (en) * 1990-03-05 1992-07-28 Tet Techno Investment Trust Settlement Method and apparatus for holding and conveying platelike substrates
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5314068A (en) * 1991-07-12 1994-05-24 Canon Kabushiki Kaisha Container for a plate-like article
US5324155A (en) * 1987-05-11 1994-06-28 Advanced Semiconductor Materials America, Inc. Wafer handling system with bernoulli pick-up
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5647626A (en) * 1995-12-04 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer pickup system
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5702228A (en) * 1995-07-31 1997-12-30 Sumitomo Heavy Industries, Ltd. Robotic arm supporting an object by interactive mechanism
US5720590A (en) * 1993-04-16 1998-02-24 Brooks Automation, Inc. Articulated arm transfer device
US5733096A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Multi-stage telescoping structure
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
US5820685A (en) * 1996-01-17 1998-10-13 Applied Materials, Inc. Wafer support device
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US6171400B1 (en) * 1998-10-02 2001-01-09 Union Oil Company Of California Vertical semiconductor wafer carrier
US6187103B1 (en) * 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
US6203617B1 (en) * 1998-03-26 2001-03-20 Tokyo Electron Limited Conveying unit and substrate processing unit
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US20020005168A1 (en) * 1999-11-30 2002-01-17 Applied Materials, Inc. Dual wafer load lock
US6450346B1 (en) * 2000-06-30 2002-09-17 Integrated Materials, Inc. Silicon fixtures for supporting wafers during thermal processing
US6499935B1 (en) * 1997-08-29 2002-12-31 Nikon Corporation Photomask case, transporting apparatus, and transporting method
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
US6858085B1 (en) * 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US20050039686A1 (en) * 2003-08-21 2005-02-24 Zheng Lingyi A. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050082007A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Mask etch processing apparatus

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374147A (en) * 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US4586743A (en) * 1984-09-24 1986-05-06 Intelledex Incorporated Robotic gripper for disk-shaped objects
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5324155A (en) * 1987-05-11 1994-06-28 Advanced Semiconductor Materials America, Inc. Wafer handling system with bernoulli pick-up
US4869801A (en) * 1988-02-05 1989-09-26 Leybold Aktiengesellschaft Apparatus for mounting workpieces
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5133635A (en) * 1990-03-05 1992-07-28 Tet Techno Investment Trust Settlement Method and apparatus for holding and conveying platelike substrates
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5314068A (en) * 1991-07-12 1994-05-24 Canon Kabushiki Kaisha Container for a plate-like article
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5720590A (en) * 1993-04-16 1998-02-24 Brooks Automation, Inc. Articulated arm transfer device
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
US5702228A (en) * 1995-07-31 1997-12-30 Sumitomo Heavy Industries, Ltd. Robotic arm supporting an object by interactive mechanism
US5733096A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Multi-stage telescoping structure
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5647626A (en) * 1995-12-04 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer pickup system
US5820685A (en) * 1996-01-17 1998-10-13 Applied Materials, Inc. Wafer support device
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US6499935B1 (en) * 1997-08-29 2002-12-31 Nikon Corporation Photomask case, transporting apparatus, and transporting method
US6203617B1 (en) * 1998-03-26 2001-03-20 Tokyo Electron Limited Conveying unit and substrate processing unit
US6187103B1 (en) * 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
US6171400B1 (en) * 1998-10-02 2001-01-09 Union Oil Company Of California Vertical semiconductor wafer carrier
US20020005168A1 (en) * 1999-11-30 2002-01-17 Applied Materials, Inc. Dual wafer load lock
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
US6450346B1 (en) * 2000-06-30 2002-09-17 Integrated Materials, Inc. Silicon fixtures for supporting wafers during thermal processing
US6858085B1 (en) * 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US20050039686A1 (en) * 2003-08-21 2005-02-24 Zheng Lingyi A. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050082007A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Mask etch processing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080042077A1 (en) * 2004-05-06 2008-02-21 Schmitt Francimar C Process and apparatus for post deposition treatment of low dielectric materials
US7910897B2 (en) * 2004-05-06 2011-03-22 Applied Materials, Inc. Process and apparatus for post deposition treatment of low dielectric materials

Similar Documents

Publication Publication Date Title
US7128806B2 (en) Mask etch processing apparatus
US6537011B1 (en) Method and apparatus for transferring and supporting a substrate
KR100736300B1 (en) Wafer handling system and method for use in lithography patterning
KR100702844B1 (en) Load-lock chamber and equipment for manufacturing semiconductor device used the same
KR100929279B1 (en) Hardware development to reduce slope deposition
JP2918780B2 (en) Alignment of shadow frames and large planar substrates on heated supports
US6251217B1 (en) Reticle adapter for a reactive ion etch system
US6900878B2 (en) Reticle-holding pods and methods for holding thin, circular reticles, and reticle-handling systems utilizing same
JP3323797B2 (en) Hydrophobic treatment device
US8609545B2 (en) Method to improve mask critical dimension uniformity (CDU)
TW201802999A (en) Transfer chamber and processing system having the same, and corresponding method of processing substrates
US20230352336A1 (en) Actively clamped carrier assembly for processing tools
US6916147B2 (en) Substrate storage cassette with substrate alignment feature
US20050133158A1 (en) Mask handler apparatus
JP7250525B2 (en) Wafer transfer tray
US20230102933A1 (en) Gas distribution plate with uv blocker
US20220382143A1 (en) Particle prevention method in reticle pod
KR20040028156A (en) wafer conduction carrier
KR20090037178A (en) System for manufacturing semiconductor device
KR20030085663A (en) wafer conduction system of photo-lithography fabricating equipment
KR20070016036A (en) Wafer boat

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NGUYEN, KHIEM K.;MAK, ALFRED W.;REEL/FRAME:014570/0321;SIGNING DATES FROM 20040412 TO 20040413

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION