US20050116064A1 - Reactors having gas distributors and methods for depositing materials onto micro-device workpieces - Google Patents

Reactors having gas distributors and methods for depositing materials onto micro-device workpieces Download PDF

Info

Publication number
US20050116064A1
US20050116064A1 US11/010,534 US1053404A US2005116064A1 US 20050116064 A1 US20050116064 A1 US 20050116064A1 US 1053404 A US1053404 A US 1053404A US 2005116064 A1 US2005116064 A1 US 2005116064A1
Authority
US
United States
Prior art keywords
gas
gas flow
passing
injector
vector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/010,534
Inventor
Cem Basceri
Gurtej Sandhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/010,534 priority Critical patent/US20050116064A1/en
Publication of US20050116064A1 publication Critical patent/US20050116064A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Definitions

  • the present invention is related to reactors having gas distributors and methods for depositing materials in thin film deposition processes used in the manufacturing of micro-devices.
  • Thin film deposition techniques are widely used in the manufacturing of micro-devices to form a coating on a workpiece that closely conforms to the surface topography.
  • the size of the individual components in the devices is constantly decreasing, and the number of layers in the devices is increasing.
  • the density of components and the aspect ratios of depressions e.g., the ratio of the depth to the size of the opening
  • the size of workpieces is also increasing to provide more real estate for forming more dies (i.e., chips) on a single workpiece.
  • Many fabricators, for example are transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future.
  • Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • CVD Chemical Vapor Deposition
  • one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece.
  • the surface of the workpiece catalyzes the reaction between the precursors to form a thin solid film at the workpiece surface.
  • the most common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials that are already formed on the workpiece. Implanted or doped materials, for example, can migrate in the silicon substrate at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is not desirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • One conventional system to prevent premature reactions injects the precursors into the reaction chamber through separate ports.
  • each port of a shower head can be coupled to a dedicated gas line for a single gas.
  • Systems that present the precursors through dedicated ports proximate to the surface of the workpiece may not sufficiently mix the precursors. Accordingly, the precursors may not react properly to form a thin solid film at the workpiece surface.
  • conventional systems also have a jetting effect that produces a higher deposition rate directly below the ports. Thus, conventional CVD systems may not be appropriate for many thin film applications.
  • FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes.
  • a layer of gas molecules A x coats the surface of a workpiece W.
  • the layer of A x molecules is formed by exposing the workpiece W to a precursor gas containing A x molecules, and then purging the chamber with a purge gas to remove excess A x molecules.
  • This process can form a monolayer of A x molecules on the surface of the workpiece W because the A x molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the layer of A x molecules is then exposed to another precursor gas containing B y molecules.
  • the A x molecules react with the B y molecules to form an extremely thin layer of solid material on the workpiece W.
  • the chamber is then purged again with a purge gas to remove excess B y molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques.
  • a typical cycle includes (a) exposing the workpiece to the first precursor A x , (b) purging excess A x molecules, (c) exposing the workpiece to the second precursor B y , and then (d) purging excess B y molecules.
  • each cycle may form a layer having a thickness of approximately 0.5-1.0 ⁇ , and thus it takes approximately 60-120 cycles to form a solid layer having a thickness of approximately 60 ⁇ .
  • FIG. 3 schematically illustrates an ALD reactor 10 having a chamber 20 coupled to a gas supply 30 and a vacuum 40 .
  • the reactor 10 also includes a heater 50 that supports the workpiece W and a gas dispenser 60 in the chamber 20 .
  • the gas dispenser 60 includes a plenum 62 operatively coupled to the gas supply 30 and a distributor plate 70 having a plurality of holes 72 .
  • the heater 50 heats the workpiece W to a desired temperature
  • the gas supply 30 selectively injects the first precursor A x , the purge gas, and the second precursor B y as shown above in FIG. 2 .
  • the vacuum 40 maintains a negative pressure in the chamber to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the chamber 20 .
  • ALD processing has a relatively low throughput compared to CVD techniques. For example, ALD processing typically takes several seconds to perform each A x -purge-B y -purge cycle. This results in a total process time of several minutes to form a single thin layer of only 60-100 ⁇ . In contrast to ALD processing, CVD techniques require much less time to form similar layers.
  • the low throughput of existing ALD techniques limits the utility of the technology in its current state because ALD may be a bottleneck in the overall manufacturing process. Thus, it would be useful to increase the throughput of ALD techniques so that they can be used in a wider range of applications.
  • ALD processing is that it is difficult to control the uniformity of the deposited films because the holes 72 in the distributor plate 70 also cause a jetting affect that results in a higher deposition rate in-line with the holes 72 . Therefore, a need exists in semiconductor fabrication to increase the deposition uniformity in both CVD and ALD processes.
  • a reactor for depositing material onto a micro-device workpiece includes a reaction chamber and a gas distributor in the reaction chamber.
  • the gas distributor includes a first gas conduit having a first injector and a second gas conduit having a second injector.
  • the first injector projects a first gas flow along a first vector and the second injector projects a second gas flow along a second vector that intersects the first vector in a mixing zone.
  • the gas distributor can also include a mixing recess that defines the mixing zone.
  • the mixing recess can have a variety of configurations, such as a conical, cubical, cylindrical, frusto-conical, pyramidical or other configurations.
  • the first injector can project the first gas flow into the mixing recess along the first vector
  • the second injector can project the second gas flow into the mixing recess along the second vector.
  • the first and second injectors are positioned within the mixing recess.
  • the mixing zone can be positioned partially within the mixing recess.
  • a reactor for depositing material onto a micro-device workpiece includes a reaction chamber, a workpiece support in the reaction chamber, and a gas distributor with a mixing recess in the reaction chamber.
  • the mixing recess is exposed to the workpiece support.
  • the gas distributor includes a first gas conduit having a first injector and a second gas conduit having a second injector. The first injector projects a first gas flow into the mixing recess along a first vector and the second injector projects a second gas flow into the mixing recess along a second vector.
  • a method includes flowing the first gas through the first injector of the gas distributor along a first vector, and flowing the second gas through the second injector of the gas distributor along a second vector. The second vector intersects the first vector in the mixing zone over the micro-device workpiece.
  • a method includes flowing the first gas through the first injector of the gas distributor into the mixing recess, and flowing the second gas through the second injector of the gas distributor into the mixing recess over the micro-device workpiece.
  • a method includes dispensing a first pulse of the first gas from a first outlet into a recess in the gas distributor, and dispensing a second pulse of the second gas from a second outlet into the recess in the gas distributor after terminating the first pulse of the first gas.
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for depositing a material onto a microelectronic workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system having a reactor for depositing material onto a micro-device workpiece in accordance with one embodiment of the invention.
  • FIG. 5 is a schematic representation of the gas distributor shown in FIG. 4 having a plurality of mixing recesses.
  • FIG. 6 is a bottom view of one mixing recess taken substantially along the line A-A of FIG. 5 .
  • FIGS. 7A-7D are schematic representations of portions of gas distributors having mixing recesses in accordance with additional embodiments of the invention.
  • FIG. 8 is a schematic representation of a gas distributor in accordance with another embodiment of the invention.
  • FIG. 9 is a schematic representation of a gas distributor in accordance with another embodiment of the invention.
  • micro-device workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated.
  • micro-device workpieces can be semiconductor wafers, such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials.
  • gas is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature).
  • vapors i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature.
  • FIG. 4 is a schematic representation of a system 100 for depositing material onto a micro-device workpiece in accordance with one embodiment of the invention.
  • the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum 140 .
  • the reaction chamber 120 can have an inlet 122 coupled to the gas supply 130 and an outlet 124 coupled to the vacuum 140 .
  • the gas supply 130 includes a plurality of gas sources 132 (identified individually as 132 a - c ), a valve assembly 133 having a plurality of valves, and a plurality of gas lines 136 and 137 .
  • the gas sources 132 can include a first gas source 132 a for providing a first precursor A, a second gas source 132 b for providing a second precursor B, and a third gas source 132 c for providing a purge gas P.
  • the first and second precursors A and B are the gas or vapor phase constituents that react to form the thin, solid layer on the workpiece W.
  • the purge gas P can be a suitable type of gas that is compatible with the reaction chamber 120 and the workpiece W.
  • the gas supply 130 can include more gas sources 132 for applications that require additional precursors or purge gases in other embodiments.
  • the valve assembly 133 is operated by a controller 142 that generates signals for pulsing the individual gases through the reaction chamber 120 .
  • the reactor 110 in the embodiment illustrated in FIG. 4 also includes a workpiece support 150 and a gas distributor 160 , such as a shower head, in the reaction chamber 120 .
  • the workpiece support 150 is typically heated to bring the workpiece W to a desired temperature for catalyzing the reaction between the first precursor A and the second precursor B at the surface of the workpiece W.
  • the workpiece support 150 is a plate with a heating element in one embodiment of the reaction chamber 120 .
  • the workpiece support 150 may not be heated in other applications.
  • FIG. 5 is a schematic representation of the gas distributor 160 shown in FIG. 4 having a plurality of mixing recesses 280 .
  • the gas distributor 160 has a first surface 262 with mixing recesses 280 that provide zones in which gas flows can mix before flowing to the workpiece W.
  • the precursors A and B can mix in the recesses 280 before flowing to the workpiece W.
  • precursor A can mix in the recesses 280 during a pulse and then precursor B can mix in the recesses 280 during a subsequent pulse after alternating purge gas P pulses.
  • the mixing recesses 280 can be spaced uniformly throughout the first surface 262 to provide constant volumes over the entire workpiece W.
  • the mixing recesses 280 have a generally frusto-conical shape with a first wall 282 defining the side of the conical section and a second wall 284 defining the bottom of the mixing recess 280 .
  • the mixing recesses 280 can have other shapes, such as those described below with reference to FIGS. 7A-7D ; in additional embodiments explained below, the gas distributor 160 may not have mixing recesses 280 , such as the embodiment described below with reference to FIG. 9 .
  • the gas distributor 160 includes a plurality of first injectors 270 positioned in the first wall 282 , a plurality of second injectors 272 positioned in the first wall 282 at different locations, and a plurality of third injectors 274 positioned in the second wall 284 .
  • the injectors 270 , 272 , and 274 are oriented to project gas flows into the mixing recesses 280 .
  • the first injectors 270 are coupled to the first gas source 132 a by a first gas conduit 232 a.
  • the first gas conduit 232 a receives the first precursor A from the gas line 137 at the inlet 122 and distributes the first precursor A throughout the gas distributor 160 to the first injectors 270 .
  • the second injectors 272 are coupled to the second gas source 132 b by a second gas conduit 232 b
  • the third injectors 274 are coupled to the third gas source 132 c by a third gas conduit 232 c.
  • Each of the first injectors 270 is oriented to project a first gas flow into the mixing recesses 280 along a first vector V 1 at an angle ⁇ with respect to the workpiece W.
  • Each of the second injectors 272 is oriented to project a second gas flow into the mixing recesses 280 along a second vector V 2 at an angle ⁇ with respect to the workpiece W.
  • the second vector V 2 forms an angle ⁇ with respect to the first vector V 1 .
  • the second vector V 2 is transverse (i.e., non-parallel) to the first vector V 1 .
  • the second vector V 2 can be generally parallel to the first vector V 1 .
  • the first vector V 1 intersects the second vector V 2 at an intersection point 292 in a mixing zone 290 located proximate to the workpiece W.
  • Each of the third injectors 274 is oriented to project a third gas flow into the mixing recesses 280 along a third vector V 3 at an angle ⁇ with respect to the workpiece W.
  • FIG. 6 is a bottom view of one mixing recess 280 of the gas distributor 160 taken substantially along the line A-A of FIG. 5 .
  • the mixing recess 280 includes a plurality of first injectors 270 (identified individually as 270 a - c ) and a plurality of second injectors 272 (identified individually as 272 a - c ) in the first wall 282 positioned annularly around the third injector 274 .
  • the first injectors 270 , the second injectors 272 , and/or the third injector 274 can be arranged in different patterns or configurations.
  • the mixing recess 280 can have only one first injector 270 , one second injector 272 , and one third injector 274 , or the mixing recess can have a plurality of third injectors 274 located in the first wall 282 interspersed between the first injectors 270 and the second injectors 272 .
  • some of the first injectors 270 and/or second injectors 272 can be positioned in the second wall 284 .
  • the gas distributor 160 can be used in CVD processing.
  • the first injectors 270 can project the first precursor A along the first vector V 1 into the mixing zones 290
  • the second injectors 272 can simultaneously project the second precursor B along the second vector V 2 into the mixing zones 290 .
  • the first and second precursors A and B mix together in the mixing zones 290 .
  • the orientation of the first and second injectors 270 and 272 (and accordingly the first and second vectors V 1 and V 2 ) facilitates the mixing of the first and second precursors A and B by flowing the gases into each other. Consequently, a mixture of the first and second precursors A and B is presented to the workpiece W.
  • the gas distributor 160 can be used in both continuous flow and pulsed CVD applications.
  • a pulse of both the first precursor A and the second precursor B can be dispensed substantially simultaneously.
  • the third injector 274 can dispense a pulse of purge gas P along the third vector V 3 into the mixing recesses 280 to purge excess molecules of the first and second precursors A and B.
  • the process can be repeated with pulses of the first and second precursors A and B.
  • the purge gas P flows continuously and pulses of the first and second precursors are injected into the continuous flow of the purge gas.
  • the purge gas P for example, can flow continuously along the third vector V 3 .
  • the gas distributor 160 can be used in ALD processing.
  • the first injectors 270 can project the first precursor A containing molecules A x into the mixing recesses 280 .
  • the orientation of the first injectors 270 in the mixing recesses 280 causes the first precursor molecules A x to mix sufficiently to form a uniform layer across the surface of the workpiece W.
  • the third injector 274 can project the purge gas P to purge excess first precursor molecules A x from the mixing recesses 280 .
  • This process can form a monolayer of A x molecules on the surface of the workpiece W because the A x molecules at the surface are held in .place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the second injectors 272 can then project the second precursor B containing B y molecules into the mixing recesses 280 .
  • the B y molecules also mix and form a uniform layer across the surface of the workpiece W.
  • the A x molecules react with the B y molecules to form an extremely thin solid layer of material on the workpiece W.
  • the mixing recesses 280 are then purged again and the process is repeated.
  • the first and second injectors 270 and 272 can sequentially project the first and second precursors A and B to induce a vortex within the mixing recesses 280 in order to further increase the mixing.
  • the first injector 270 a may dispense a first pulse of gas, followed by pulses from the first injector 270 b and then the first injector 270 c.
  • the first injector 270 a and the second injector 272 a can dispense pulses of gas simultaneously, after which the first and second injectors 270 b and 272 b can dispense pulses simultaneously, and then the first and second injectors 270 c and 272 c can dispense pulses simultaneously. Accordingly, the first and second injectors 270 and 272 can sequentially project the first and second precursors A and B to increase mixing within the mixing recesses 280 .
  • One advantage of this embodiment with respect to the CVD process is that by using dedicated injectors 270 , 272 and 274 and gas conduits 232 for each gas, the precursors A and B are kept separate, and accordingly, do not react prematurely. Furthermore, because the precursors A and B do not react prematurely, precursors that are highly reactive can be used, avoiding the need to heat the workpiece W to detrimentally high temperatures.
  • Another advantage of this embodiment with respect to the ALD and CVD processes is that the enhanced mixing of the gases reduces the jetting effect and creates a uniform deposition across the surface of the workpiece W.
  • a further advantage of this embodiment is that the position of the purge gas injectors 274 at the base of the mixing recesses 280 prevents the other gases from being trapped in the mixing recesses 280 .
  • Another advantage of this embodiment is that the flow to each mixing recess can be independently controlled to compensate for nonuniformities on the workpiece W. For example, if the surface at the center of the workpiece W is too thick, the flow of gases from the injectors over the center of the workpiece W can be reduced.
  • the chemical composition of the deposited film can be controlled precisely because the mixing at the outlets provides more precise reactions at the workpiece surface.
  • FIGS. 7A-7D are scherriatic representations of portions of gas distributors having mixing recesses and injectors in accordance with additional embodiments of the invention.
  • Each figure illustrates a different mixing recess and a particular arrangement of injectors; however, each arrangement of injectors can be used in conjunction with any of the mixing recesses.
  • the injector arrangements with only first and second injectors such as those disclosed with reference to FIGS. 7C and 7D , can be used with any of the mixing recesses.
  • FIG. 7A illustrates a gas distributor 360 having a mixing recess 380 in accordance with another embodiment of the invention.
  • the mixing recess 380 has a generally cylindrical shape with a first wall 382 defining the side of the cylinder and a second wall 384 defining the bottom of the mixing recess 380 .
  • the mixing recess 380 could have a different shape, such as a rectangular shape with the first wall 382 being one of the four rectangular sidewalls.
  • the gas distributor 360 also includes two first injectors 270 positioned in the first wall 382 at diametrically opposed locations, two second injectors 272 (only one shown) positioned in the first wall 382 offset from the first injector 270 by 90°, and the third injector 274 positioned in the second wall 384 .
  • the first injectors 270 project the first gas flow into the mixing recess 380 along first vectors V 1 generally parallel to the workpiece W (not shown), and the second injectors 272 project the second gas flow into the mixing recess 380 along second vectors V 2 generally parallel to the workpiece W and normal to the first vectors V 1 .
  • the third injector 274 is oriented to project the third gas flow along the third vector V 3 into the mixing recess 380 in a direction generally normal to the workpiece W.
  • FIG. 7B is a schematic representation of a portion of a gas distributor 460 having a mixing recess 480 in accordance with another embodiment of the invention.
  • the mixing recess 480 has a generally cubical shape with first walls 482 a, 482 b, and 482 c defining three sides of the cube and a second wall 484 defining the bottom of the mixing recess 480 .
  • the mixing recess 480 can have a different shape, such as a pyramidical shape with the first walls 482 being three sidewalls of the pyramid.
  • the gas distributor 460 includes first injectors 270 positioned in the first walls 482 a and 482 c, second injectors 272 positioned in the first wall 482 b and a first wall (not shown) opposite the wall 482 b.
  • the gas distributor 460 also includes a third injector 274 positioned in the second wall 484 .
  • the first injectors 270 project the first gas flow along first vectors V 1 into the mixing recess 480 at the angle ⁇ with respect to the workpiece W (not shown).
  • the second injectors 272 project the second gas flow along second vectors V 2 into the mixing recess 480 at an angle with respect to the workpiece W.
  • the third injector 274 is oriented to project the third gas flow along the third vector V 3 into the mixing recess 480 in a direction generally normal to the workpiece W.
  • FIG. 7C is a schematic representation of a portion of a gas distributor 560 having a mixing recess 580 in accordance with another embodiment of the invention.
  • the mixing recess 580 has a generally hexagonal shape with first walls 582 a, 582 b, and 582 c defining sides of the hexagon and a second wall 584 defining the bottom of the mixing recess 580 .
  • the gas distributor 560 includes the first injector 270 positioned in the second wall 584 and the second injector 272 positioned in the second wall 584 .
  • the first injector is oriented to project the first gas flow along the vector V 1 into the mixing recess 580 at the angle ⁇ with respect to the workpiece W (not shown).
  • the second injector 272 is oriented to project the second gas flow along the second vector V 2 into the mixing recess 580 at the angle ⁇ with respect to the workpiece W.
  • FIG. 7D is a schematic representation of a portion of a gas distributor 660 having a mixing recess 680 in accordance with another embodiment of the invention.
  • the mixing recess 680 has a generally conical shape with a first wall 682 defining the side of the cone.
  • the mixing recess 680 could have a different shape, such as a pyramidical shape, with the first wall 682 being one of the sidewalls.
  • the gas distributor 660 includes the first injector 270 positioned in the first wall 682 and the second injector 272 positioned in the first wall 682 opposite the first injector 270 .
  • the first injector 270 is oriented to project the first gas flow along the first vector V 1 into the mixing recess 680 at the angle ⁇ with respect to the workpiece W (not shown).
  • the second injector 272 is oriented to project the second gas flow along the second vector V 2 into the mixing recess 680 at the angle ⁇ with respect to the workpiece W.
  • the first and second injectors 270 and 272 can be offset individually or in pairs as explained above with reference to FIG. 7A .
  • FIG. 8 is a schematic representation of a gas distributor 760 in accordance with another embodiment of the invention.
  • the gas distributor 760 has a first wall 764 , a second wall 766 , and a third wall 768 that at least partially define a mixing recess 780 .
  • the mixing recess 780 is positioned over the workpiece W.
  • the gas distributor 760 includes the first injectors 270 , the second injectors 272 , and the third injectors 274 .
  • the first injectors 270 and the second injectors 272 are interspersed along the walls 764 , 766 , and 768 and are positioned to project gases into the mixing recess 780 .
  • many of the injectors 270 , 272 , and 274 can be oriented at different angles with respect to the workpiece W to facilitate mixing of the gases before deposition onto the workpiece W.
  • the injectors 270 , 272 , and 274 can be arranged differently, such as at different angles or positions in the walls 764 , 766 , and 768 .
  • the gas distributor 760 can have different shapes or configurations, such as those illustrated in FIGS. 5-7D .
  • FIG. 9 is a schematic representation of a gas distributor 860 in accordance with another embodiment of the invention.
  • the gas distributor 860 has a first surface 862 from which the first injectors 270 and the second injectors 272 project the individual gas flows.
  • the injectors 270 and 272 can be arranged in pairs (including one first injector 270 and one second injector 272 ) across the first surface 862 of the gas distributor 860 .
  • Each first injector 270 projects the first gas along the first vector V 1 at the angle ⁇ with respect to the workpiece W.
  • each second projector 272 projects the second gas along the second vector V 2 at the angle ⁇ with respect to the workpiece W.
  • the first and second gases mix in a mixing zone 890 above the workpiece W.
  • pairs of first injectors 270 can inject a single gas flow along the first and second vectors V 1 and V 2
  • pairs of second injectors 272 can inject another individual gas flow along the first and second vectors V 1 and V 2 in a different mixing zone.

Abstract

Reactors having gas distributors for depositing materials onto micro-device workpieces, systems that include such reactors, and methods for depositing materials onto micro-device workpieces are disclosed herein. In one embodiment, a reactor for depositing material on a micro-device workpiece includes a reaction chamber and a gas distributor in the reaction chamber. The gas distributor includes a first gas conduit having a first injector and a second gas conduit having a second injector. The first injector projects a first gas flow along a first vector and the second injector projects a second gas flow along a second vector that intersects the first vector in an external mixing zone facing the workpiece. In another embodiment, the mixing zone is an external mixing recess on a surface of the gas distributor that faces the workpiece.

Description

    TECHNICAL FIELD
  • The present invention is related to reactors having gas distributors and methods for depositing materials in thin film deposition processes used in the manufacturing of micro-devices.
  • BACKGROUND
  • Thin film deposition techniques are widely used in the manufacturing of micro-devices to form a coating on a workpiece that closely conforms to the surface topography. The size of the individual components in the devices is constantly decreasing, and the number of layers in the devices is increasing. As a result, the density of components and the aspect ratios of depressions (e.g., the ratio of the depth to the size of the opening) are increasing. The size of workpieces is also increasing to provide more real estate for forming more dies (i.e., chips) on a single workpiece. Many fabricators, for example, are transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • One widely used thin film deposition technique is Chemical Vapor Deposition (CVD). In a CVD system, one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes the reaction between the precursors to form a thin solid film at the workpiece surface. The most common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • Although CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials that are already formed on the workpiece. Implanted or doped materials, for example, can migrate in the silicon substrate at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is not desirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • One conventional system to prevent premature reactions injects the precursors into the reaction chamber through separate ports. For example, each port of a shower head can be coupled to a dedicated gas line for a single gas. Systems that present the precursors through dedicated ports proximate to the surface of the workpiece, however, may not sufficiently mix the precursors. Accordingly, the precursors may not react properly to form a thin solid film at the workpiece surface. Furthermore, conventional systems also have a jetting effect that produces a higher deposition rate directly below the ports. Thus, conventional CVD systems may not be appropriate for many thin film applications.
  • Atomic Layer Deposition (ALD) is another thin film deposition technique. FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules Ax coats the surface of a workpiece W. The layer of Ax molecules is formed by exposing the workpiece W to a precursor gas containing Ax molecules, and then purging the chamber with a purge gas to remove excess Ax molecules. This process can form a monolayer of Ax molecules on the surface of the workpiece W because the Ax molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. The layer of Ax molecules is then exposed to another precursor gas containing By molecules. The Ax molecules react with the By molecules to form an extremely thin layer of solid material on the workpiece W. The chamber is then purged again with a purge gas to remove excess By molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques. A typical cycle includes (a) exposing the workpiece to the first precursor Ax, (b) purging excess Ax molecules, (c) exposing the workpiece to the second precursor By, and then (d) purging excess By molecules. In actual processing several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 Å, and thus it takes approximately 60-120 cycles to form a solid layer having a thickness of approximately 60 Å.
  • FIG. 3 schematically illustrates an ALD reactor 10 having a chamber 20 coupled to a gas supply 30 and a vacuum 40. The reactor 10 also includes a heater 50 that supports the workpiece W and a gas dispenser 60 in the chamber 20. The gas dispenser 60 includes a plenum 62 operatively coupled to the gas supply 30 and a distributor plate 70 having a plurality of holes 72. In operation, the heater 50 heats the workpiece W to a desired temperature, and the gas supply 30 selectively injects the first precursor Ax, the purge gas, and the second precursor By as shown above in FIG. 2. The vacuum 40 maintains a negative pressure in the chamber to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the chamber 20.
  • One drawback of ALD processing is that it has a relatively low throughput compared to CVD techniques. For example, ALD processing typically takes several seconds to perform each Ax-purge-By-purge cycle. This results in a total process time of several minutes to form a single thin layer of only 60-100 Å. In contrast to ALD processing, CVD techniques require much less time to form similar layers. The low throughput of existing ALD techniques limits the utility of the technology in its current state because ALD may be a bottleneck in the overall manufacturing process. Thus, it would be useful to increase the throughput of ALD techniques so that they can be used in a wider range of applications. Another drawback of ALD processing is that it is difficult to control the uniformity of the deposited films because the holes 72 in the distributor plate 70 also cause a jetting affect that results in a higher deposition rate in-line with the holes 72. Therefore, a need exists in semiconductor fabrication to increase the deposition uniformity in both CVD and ALD processes.
  • SUMMARY
  • The present invention is directed toward reactors having gas distributors for depositing materials onto micro-device workpieces, systems that include such reactors, and methods for depositing materials onto micro-device workpieces. In one embodiment, a reactor for depositing material onto a micro-device workpiece includes a reaction chamber and a gas distributor in the reaction chamber. The gas distributor includes a first gas conduit having a first injector and a second gas conduit having a second injector. In one aspect of this embodiment, the first injector projects a first gas flow along a first vector and the second injector projects a second gas flow along a second vector that intersects the first vector in a mixing zone. In another aspect of this embodiment, the gas distributor can also include a mixing recess that defines the mixing zone. The mixing recess can have a variety of configurations, such as a conical, cubical, cylindrical, frusto-conical, pyramidical or other configurations. The first injector can project the first gas flow into the mixing recess along the first vector, and the second injector can project the second gas flow into the mixing recess along the second vector. In a further aspect of this embodiment, the first and second injectors are positioned within the mixing recess. The mixing zone can be positioned partially within the mixing recess.
  • In another embodiment, a reactor for depositing material onto a micro-device workpiece includes a reaction chamber, a workpiece support in the reaction chamber, and a gas distributor with a mixing recess in the reaction chamber. The mixing recess is exposed to the workpiece support. The gas distributor includes a first gas conduit having a first injector and a second gas conduit having a second injector. The first injector projects a first gas flow into the mixing recess along a first vector and the second injector projects a second gas flow into the mixing recess along a second vector.
  • These reactors can be used to perform several methods for depositing materials onto micro-device workpieces. In one embodiment, a method includes flowing the first gas through the first injector of the gas distributor along a first vector, and flowing the second gas through the second injector of the gas distributor along a second vector. The second vector intersects the first vector in the mixing zone over the micro-device workpiece. In another embodiment, a method includes flowing the first gas through the first injector of the gas distributor into the mixing recess, and flowing the second gas through the second injector of the gas distributor into the mixing recess over the micro-device workpiece. In a further embodiment, a method includes dispensing a first pulse of the first gas from a first outlet into a recess in the gas distributor, and dispensing a second pulse of the second gas from a second outlet into the recess in the gas distributor after terminating the first pulse of the first gas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for depositing a material onto a microelectronic workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system having a reactor for depositing material onto a micro-device workpiece in accordance with one embodiment of the invention.
  • FIG. 5 is a schematic representation of the gas distributor shown in FIG. 4 having a plurality of mixing recesses.
  • FIG. 6 is a bottom view of one mixing recess taken substantially along the line A-A of FIG. 5.
  • FIGS. 7A-7D are schematic representations of portions of gas distributors having mixing recesses in accordance with additional embodiments of the invention.
  • FIG. 8 is a schematic representation of a gas distributor in accordance with another embodiment of the invention.
  • FIG. 9 is a schematic representation of a gas distributor in accordance with another embodiment of the invention.
  • DETAILED DESCRIPTION
  • The following disclosure describes several embodiments of reactors having gas distributors for depositing material onto micro-device workpieces, systems including such reactors, and methods for depositing materials onto micro-device workpieces. Many specific details of the invention are described below with reference to depositing materials onto micro-device workpieces. The term “micro-device workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated. For example, micro-device workpieces can be semiconductor wafers, such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials. The term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature). Several embodiments in accordance with the invention are set forth in FIGS. 4-9 and the following text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details of the embodiments shown in FIGS. 4-9.
  • A. Deposition Systems
  • FIG. 4 is a schematic representation of a system 100 for depositing material onto a micro-device workpiece in accordance with one embodiment of the invention. In this embodiment, the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum 140. For example, the reaction chamber 120 can have an inlet 122 coupled to the gas supply 130 and an outlet 124 coupled to the vacuum 140.
  • The gas supply 130 includes a plurality of gas sources 132 (identified individually as 132 a-c), a valve assembly 133 having a plurality of valves, and a plurality of gas lines 136 and 137. The gas sources 132 can include a first gas source 132 a for providing a first precursor A, a second gas source 132 b for providing a second precursor B, and a third gas source 132 c for providing a purge gas P. The first and second precursors A and B are the gas or vapor phase constituents that react to form the thin, solid layer on the workpiece W. The purge gas P can be a suitable type of gas that is compatible with the reaction chamber 120 and the workpiece W. The gas supply 130 can include more gas sources 132 for applications that require additional precursors or purge gases in other embodiments. The valve assembly 133 is operated by a controller 142 that generates signals for pulsing the individual gases through the reaction chamber 120.
  • The reactor 110 in the embodiment illustrated in FIG. 4 also includes a workpiece support 150 and a gas distributor 160, such as a shower head, in the reaction chamber 120. The workpiece support 150 is typically heated to bring the workpiece W to a desired temperature for catalyzing the reaction between the first precursor A and the second precursor B at the surface of the workpiece W. The workpiece support 150 is a plate with a heating element in one embodiment of the reaction chamber 120. The workpiece support 150, however, may not be heated in other applications.
  • B. Gas Distributors
  • FIG. 5 is a schematic representation of the gas distributor 160 shown in FIG. 4 having a plurality of mixing recesses 280. In this embodiment, the gas distributor 160 has a first surface 262 with mixing recesses 280 that provide zones in which gas flows can mix before flowing to the workpiece W. In CVD applications, the precursors A and B can mix in the recesses 280 before flowing to the workpiece W. In ALD applications, precursor A can mix in the recesses 280 during a pulse and then precursor B can mix in the recesses 280 during a subsequent pulse after alternating purge gas P pulses. The mixing recesses 280 can be spaced uniformly throughout the first surface 262 to provide constant volumes over the entire workpiece W. In this embodiment, the mixing recesses 280 have a generally frusto-conical shape with a first wall 282 defining the side of the conical section and a second wall 284 defining the bottom of the mixing recess 280. In other embodiments explained below, the mixing recesses 280 can have other shapes, such as those described below with reference to FIGS. 7A-7D; in additional embodiments explained below, the gas distributor 160 may not have mixing recesses 280, such as the embodiment described below with reference to FIG. 9.
  • In the embodiment illustrated in FIG. 5, the gas distributor 160 includes a plurality of first injectors 270 positioned in the first wall 282, a plurality of second injectors 272 positioned in the first wall 282 at different locations, and a plurality of third injectors 274 positioned in the second wall 284. The injectors 270, 272, and 274 are oriented to project gas flows into the mixing recesses 280. The first injectors 270 are coupled to the first gas source 132 a by a first gas conduit 232 a. The first gas conduit 232 a receives the first precursor A from the gas line 137 at the inlet 122 and distributes the first precursor A throughout the gas distributor 160 to the first injectors 270. Similarly, the second injectors 272 are coupled to the second gas source 132 b by a second gas conduit 232 b, and the third injectors 274 are coupled to the third gas source 132 c by a third gas conduit 232 c.
  • Each of the first injectors 270 is oriented to project a first gas flow into the mixing recesses 280 along a first vector V1 at an angle σ with respect to the workpiece W. Each of the second injectors 272 is oriented to project a second gas flow into the mixing recesses 280 along a second vector V2 at an angle α with respect to the workpiece W. The second vector V2 forms an angle β with respect to the first vector V1. In the illustrated embodiment, the second vector V2 is transverse (i.e., non-parallel) to the first vector V1. In other embodiments, such as the embodiment described below with reference to FIG. 7A, the second vector V2 can be generally parallel to the first vector V1. The first vector V1 intersects the second vector V2 at an intersection point 292 in a mixing zone 290 located proximate to the workpiece W. Each of the third injectors 274 is oriented to project a third gas flow into the mixing recesses 280 along a third vector V3 at an angle θ with respect to the workpiece W.
  • FIG. 6 is a bottom view of one mixing recess 280 of the gas distributor 160 taken substantially along the line A-A of FIG. 5. In the illustrated embodiment, the mixing recess 280 includes a plurality of first injectors 270 (identified individually as 270 a-c) and a plurality of second injectors 272 (identified individually as 272 a-c) in the first wall 282 positioned annularly around the third injector 274. In other embodiments, the first injectors 270, the second injectors 272, and/or the third injector 274 can be arranged in different patterns or configurations. For example, the mixing recess 280 can have only one first injector 270, one second injector 272, and one third injector 274, or the mixing recess can have a plurality of third injectors 274 located in the first wall 282 interspersed between the first injectors 270 and the second injectors 272. In further embodiments, some of the first injectors 270 and/or second injectors 272 can be positioned in the second wall 284.
  • C. Methods for Depositing Material on Micro-Device Workpieces
  • Referring to FIG. 5, in one aspect of the embodiment, the gas distributor 160 can be used in CVD processing. For example, the first injectors 270 can project the first precursor A along the first vector V1 into the mixing zones 290, and the second injectors 272 can simultaneously project the second precursor B along the second vector V2 into the mixing zones 290. Accordingly, the first and second precursors A and B mix together in the mixing zones 290. The orientation of the first and second injectors 270 and 272 (and accordingly the first and second vectors V1 and V2) facilitates the mixing of the first and second precursors A and B by flowing the gases into each other. Consequently, a mixture of the first and second precursors A and B is presented to the workpiece W.
  • In a further aspect of this embodiment, the gas distributor 160 can be used in both continuous flow and pulsed CVD applications. In a pulsed CVD application, a pulse of both the first precursor A and the second precursor B can be dispensed substantially simultaneously. After a pulse of the first and second precursors A and B, the third injector 274 can dispense a pulse of purge gas P along the third vector V3 into the mixing recesses 280 to purge excess molecules of the first and second precursors A and B. After purging, the process can be repeated with pulses of the first and second precursors A and B. In another pulsed CVD application, the purge gas P flows continuously and pulses of the first and second precursors are injected into the continuous flow of the purge gas. The purge gas P, for example, can flow continuously along the third vector V3.
  • In another aspect of this embodiment, the gas distributor 160 can be used in ALD processing. For example, the first injectors 270 can project the first precursor A containing molecules Ax into the mixing recesses 280. In the illustrative embodiment, the orientation of the first injectors 270 in the mixing recesses 280 causes the first precursor molecules Ax to mix sufficiently to form a uniform layer across the surface of the workpiece W. Next, the third injector 274 can project the purge gas P to purge excess first precursor molecules Ax from the mixing recesses 280. This process can form a monolayer of Ax molecules on the surface of the workpiece W because the Ax molecules at the surface are held in .place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. The second injectors 272 can then project the second precursor B containing By molecules into the mixing recesses 280. The By molecules also mix and form a uniform layer across the surface of the workpiece W. The Ax molecules react with the By molecules to form an extremely thin solid layer of material on the workpiece W. The mixing recesses 280 are then purged again and the process is repeated.
  • In a further aspect of this embodiment, the first and second injectors 270 and 272 can sequentially project the first and second precursors A and B to induce a vortex within the mixing recesses 280 in order to further increase the mixing. For example, referring to FIG. 6, the first injector 270 a may dispense a first pulse of gas, followed by pulses from the first injector 270 b and then the first injector 270 c. In another aspect of this embodiment, the first injector 270 a and the second injector 272 a can dispense pulses of gas simultaneously, after which the first and second injectors 270 b and 272 b can dispense pulses simultaneously, and then the first and second injectors 270 c and 272 c can dispense pulses simultaneously. Accordingly, the first and second injectors 270 and 272 can sequentially project the first and second precursors A and B to increase mixing within the mixing recesses 280.
  • One advantage of this embodiment with respect to the CVD process is that by using dedicated injectors 270, 272 and 274 and gas conduits 232 for each gas, the precursors A and B are kept separate, and accordingly, do not react prematurely. Furthermore, because the precursors A and B do not react prematurely, precursors that are highly reactive can be used, avoiding the need to heat the workpiece W to detrimentally high temperatures. Another advantage of this embodiment with respect to the ALD and CVD processes is that the enhanced mixing of the gases reduces the jetting effect and creates a uniform deposition across the surface of the workpiece W. A further advantage of this embodiment is that the position of the purge gas injectors 274 at the base of the mixing recesses 280 prevents the other gases from being trapped in the mixing recesses 280. Another advantage of this embodiment is that the flow to each mixing recess can be independently controlled to compensate for nonuniformities on the workpiece W. For example, if the surface at the center of the workpiece W is too thick, the flow of gases from the injectors over the center of the workpiece W can be reduced. Still another advantage is that the chemical composition of the deposited film can be controlled precisely because the mixing at the outlets provides more precise reactions at the workpiece surface.
  • D. Other Gas Distributors
  • FIGS. 7A-7D are scherriatic representations of portions of gas distributors having mixing recesses and injectors in accordance with additional embodiments of the invention. Each figure illustrates a different mixing recess and a particular arrangement of injectors; however, each arrangement of injectors can be used in conjunction with any of the mixing recesses. For example, the injector arrangements with only first and second injectors, such as those disclosed with reference to FIGS. 7C and 7D, can be used with any of the mixing recesses.
  • FIG. 7A illustrates a gas distributor 360 having a mixing recess 380 in accordance with another embodiment of the invention. The mixing recess 380 has a generally cylindrical shape with a first wall 382 defining the side of the cylinder and a second wall 384 defining the bottom of the mixing recess 380. In another embodiment, the mixing recess 380 could have a different shape, such as a rectangular shape with the first wall 382 being one of the four rectangular sidewalls. In the illustrated embodiment, the gas distributor 360 also includes two first injectors 270 positioned in the first wall 382 at diametrically opposed locations, two second injectors 272 (only one shown) positioned in the first wall 382 offset from the first injector 270 by 90°, and the third injector 274 positioned in the second wall 384. The first injectors 270 project the first gas flow into the mixing recess 380 along first vectors V1 generally parallel to the workpiece W (not shown), and the second injectors 272 project the second gas flow into the mixing recess 380 along second vectors V2 generally parallel to the workpiece W and normal to the first vectors V1. The third injector 274 is oriented to project the third gas flow along the third vector V3 into the mixing recess 380 in a direction generally normal to the workpiece W.
  • FIG. 7B is a schematic representation of a portion of a gas distributor 460 having a mixing recess 480 in accordance with another embodiment of the invention. The mixing recess 480 has a generally cubical shape with first walls 482 a, 482 b, and 482 c defining three sides of the cube and a second wall 484 defining the bottom of the mixing recess 480. In another embodiment, the mixing recess 480 can have a different shape, such as a pyramidical shape with the first walls 482 being three sidewalls of the pyramid. In the illustrated embodiment, the gas distributor 460 includes first injectors 270 positioned in the first walls 482 a and 482 c, second injectors 272 positioned in the first wall 482 b and a first wall (not shown) opposite the wall 482 b. The gas distributor 460 also includes a third injector 274 positioned in the second wall 484. The first injectors 270 project the first gas flow along first vectors V1 into the mixing recess 480 at the angle σ with respect to the workpiece W (not shown). The second injectors 272 project the second gas flow along second vectors V2 into the mixing recess 480 at an angle with respect to the workpiece W. The third injector 274 is oriented to project the third gas flow along the third vector V3 into the mixing recess 480 in a direction generally normal to the workpiece W.
  • FIG. 7C is a schematic representation of a portion of a gas distributor 560 having a mixing recess 580 in accordance with another embodiment of the invention. The mixing recess 580 has a generally hexagonal shape with first walls 582 a, 582 b, and 582 c defining sides of the hexagon and a second wall 584 defining the bottom of the mixing recess 580. The gas distributor 560 includes the first injector 270 positioned in the second wall 584 and the second injector 272 positioned in the second wall 584. The first injector is oriented to project the first gas flow along the vector V1 into the mixing recess 580 at the angle σ with respect to the workpiece W (not shown). The second injector 272 is oriented to project the second gas flow along the second vector V2 into the mixing recess 580 at the angle α with respect to the workpiece W.
  • FIG. 7D is a schematic representation of a portion of a gas distributor 660 having a mixing recess 680 in accordance with another embodiment of the invention. The mixing recess 680 has a generally conical shape with a first wall 682 defining the side of the cone. In another embodiment, the mixing recess 680 could have a different shape, such as a pyramidical shape, with the first wall 682 being one of the sidewalls. In the illustrated embodiment, the gas distributor 660 includes the first injector 270 positioned in the first wall 682 and the second injector 272 positioned in the first wall 682 opposite the first injector 270. The first injector 270 is oriented to project the first gas flow along the first vector V1 into the mixing recess 680 at the angle σ with respect to the workpiece W (not shown). The second injector 272 is oriented to project the second gas flow along the second vector V2 into the mixing recess 680 at the angle α with respect to the workpiece W. In other embodiments, the first and second injectors 270 and 272 can be offset individually or in pairs as explained above with reference to FIG. 7A.
  • FIG. 8 is a schematic representation of a gas distributor 760 in accordance with another embodiment of the invention. The gas distributor 760 has a first wall 764, a second wall 766, and a third wall 768 that at least partially define a mixing recess 780. The mixing recess 780 is positioned over the workpiece W. The gas distributor 760 includes the first injectors 270, the second injectors 272, and the third injectors 274. The first injectors 270 and the second injectors 272 are interspersed along the walls 764, 766, and 768 and are positioned to project gases into the mixing recess 780. In the illustrated embodiment, many of the injectors 270, 272, and 274 can be oriented at different angles with respect to the workpiece W to facilitate mixing of the gases before deposition onto the workpiece W. In other embodiments, the injectors 270, 272, and 274 can be arranged differently, such as at different angles or positions in the walls 764, 766, and 768. In other embodiments, the gas distributor 760 can have different shapes or configurations, such as those illustrated in FIGS. 5-7D.
  • FIG. 9 is a schematic representation of a gas distributor 860 in accordance with another embodiment of the invention. The gas distributor 860 has a first surface 862 from which the first injectors 270 and the second injectors 272 project the individual gas flows. The injectors 270 and 272 can be arranged in pairs (including one first injector 270 and one second injector 272) across the first surface 862 of the gas distributor 860. Each first injector 270 projects the first gas along the first vector V1 at the angle σ with respect to the workpiece W. Similarly, each second projector 272 projects the second gas along the second vector V2 at the angle α with respect to the workpiece W. The first and second gases mix in a mixing zone 890 above the workpiece W. In other embodiments, pairs of first injectors 270 can inject a single gas flow along the first and second vectors V1 and V2, and pairs of second injectors 272 can inject another individual gas flow along the first and second vectors V1 and V2 in a different mixing zone.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims.

Claims (24)

1-54. (canceled)
55. A method for depositing material onto a micro-device workpiece in a reaction chamber, comprising:
passing a first gas flow through a first injector of a gas distributor along a first vector; and
passing a second gas flow through a second injector of the gas distributor along a second vector that intersects with the first vector in a mixing zone exposed to and over the micro-device workpiece.
56. The method of claim 55, further comprising mixing the first gas flow and the second gas flow in the mixing zone.
57. The method of claim 55 wherein passing a first gas flow and passing a second gas flow occur at least partially simultaneously.
58. The method of claim 55 wherein passing a second gas flow occurs after terminating passing the first gas flow.
59. The method of claim 55, further comprising passing a third gas flow through a third injector of the gas distributor.
60. The method of claim 55 wherein the first and second gas flows comprise the same gas.
61. The method of claim 55 wherein the first gas flow comprises a first precursor and the second gas flow comprises a second precursor, and wherein passing the first gas flow and passing the second gas flow occur at least substantially simultaneously.
62. The method of claim 55, further comprising:
passing a third gas flow through a third injector of the gas distributor; and
wherein passing the first gas flow comprises passing a first precursor through the first injector and then terminating the first gas flow, wherein passing the third gas flow comprises passing a purge gas through the third injector after terminating the first gas flow and then terminating the third gas flow, and wherein passing the second gas flow comprises passing a second precursor through the second injector after terminating the third gas flow.
63. The method of claim 55, further comprising:
passing a third gas flow through a third injector of the gas distributor; and
wherein passing the first gas flow comprises passing a first precursor, wherein passing the second gas flow comprises passing a second precursor at least substantially simultaneously with passing the first gas flow, and wherein passing the third gas flow comprises passing a purge gas after terminating the first and second gas flows.
64. The method of claim 55 wherein passing the first gas flow and passing the second gas flow comprise creating a vortex in the mixing zone of the first and second gas flows.
65. A method for depositing material onto a micro-device workpiece in a reaction chamber, comprising:
flowing a first gas flow through a first injector of a gas distributor into an external mixing recess in the gas distributor; and
flowing a second gas flow through a second injector of the gas distributor into the external mixing recess over the micro-device workpiece.
66. The method of claim 65, further comprising mixing the first gas flow and the second gas flow in the mixing zone.
67. The method of claim 65 wherein flowing the first gas flow and flowing the second gas flow occur at least partially simultaneously.
68. The method of claim 65 wherein flowing the second gas flow occurs after terminating flowing the first gas flow.
69. The method of claim 65, further comprising flowing a third gas flow through a third injector of the gas distributor.
70. The method of claim 65 wherein flowing the first gas flow comprises flowing the first gas flow along a first vector, and flowing the second gas flow comprises flowing the second gas flow along a second vector transverse to the first vector.
71. The method of claim 65 wherein flowing the first gas flow comprises flowing the first gas flow along a first vector, and flowing the second gas flow comprises flowing the second gas flow along a second vector generally parallel to the first vector.
72. The method of claim 65, further comprising creating a vortex in the mixing recess with the first and second gas flows.
73. A method for depositing material onto a micro-device workpiece in a reaction chamber having a gas distributor, comprising:
dispensing a pulse of a first gas from a first outlet in the gas distributor into an external recess in the gas distributor; and
dispensing a pulse of a second gas from a second outlet in the gas distributor into the external recess in the gas distributor after terminating the pulse of the first gas.
74. The method of claim 73, further comprising mixing the first gas and the second gas on a surface of the workpiece.
75. The method of claim 73, further comprising dispensing a pulse of a purge gas through a third outlet into the recess of the gas distributor between the pulse of the first gas and the pulse of the second gas.
76. The method of claim 73 wherein dispensing the pulse of the first gas comprises dispensing the first gas along a first vector, and dispensing the pulse of the second gas comprises dispensing the second gas along a second vector transverse to the first vector.
77. The method of claim 73 wherein the dispensing procedures are repeated in serial order creating a vortex within the external recess in the gas distributor.
US11/010,534 2002-08-23 2004-12-13 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces Abandoned US20050116064A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/010,534 US20050116064A1 (en) 2002-08-23 2004-12-13 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/226,573 US6884296B2 (en) 2002-08-23 2002-08-23 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US11/010,534 US20050116064A1 (en) 2002-08-23 2004-12-13 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/226,573 Division US6884296B2 (en) 2002-08-23 2002-08-23 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces

Publications (1)

Publication Number Publication Date
US20050116064A1 true US20050116064A1 (en) 2005-06-02

Family

ID=31887273

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/226,573 Expired - Fee Related US6884296B2 (en) 2002-08-23 2002-08-23 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US11/010,534 Abandoned US20050116064A1 (en) 2002-08-23 2004-12-13 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/226,573 Expired - Fee Related US6884296B2 (en) 2002-08-23 2002-08-23 Reactors having gas distributors and methods for depositing materials onto micro-device workpieces

Country Status (1)

Country Link
US (2) US6884296B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040026374A1 (en) * 2002-08-06 2004-02-12 Tue Nguyen Assembly line processing method
US20090324829A1 (en) * 2006-04-05 2009-12-31 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4306403B2 (en) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 Shower head structure and film forming apparatus using the same
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4707959B2 (en) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 Shower plate, plasma processing apparatus and plasma processing method
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
EP1771598B1 (en) * 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
KR20060014495A (en) * 2004-08-11 2006-02-16 주식회사 유진테크 Shower head of chemical vapor deposition apparatus
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
US20080260967A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for integrated surface treatment and film deposition
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US8931431B2 (en) 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (en) * 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same
JP2013522472A (en) * 2010-03-19 2013-06-13 ジーティーエイティー・コーポレーション System and method for polycrystalline silicon deposition
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
JP5815967B2 (en) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 Substrate cleaning apparatus and vacuum processing system
KR101311983B1 (en) * 2011-03-31 2013-09-30 엘아이지에이디피 주식회사 Gas injection apparatus, atomic layer deposition apparatus and the method of atomic layer deposition using the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8617350B2 (en) * 2011-06-15 2013-12-31 Belight Technology Corporation, Limited Linear plasma system
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5793103B2 (en) * 2012-04-13 2015-10-14 岩谷産業株式会社 Method and apparatus for supplying mixed gas
KR101409974B1 (en) * 2012-09-03 2014-06-27 엘아이지에이디피 주식회사 Gas injection-suction unit and atomic layer deposition apparatus having the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
WO2020185401A1 (en) * 2019-03-11 2020-09-17 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
KR20230037057A (en) 2019-08-16 2023-03-15 램 리써치 코포레이션 Spatially tunable deposition to compensate within wafer differential bow
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP6922959B2 (en) * 2019-09-20 2021-08-18 株式会社明電舎 Oxide film forming device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114622182A (en) * 2020-12-10 2022-06-14 中国科学院微电子研究所 Shower nozzle and have its chemical vapor deposition device
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN114790543A (en) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 Method and system for depositing layers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113960083A (en) * 2021-09-14 2022-01-21 散裂中子源科学中心 Experimental device for small-angle scattering experiment and gas mixing pressurization system

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) * 1897-03-23 Roller-bearing
US4966646A (en) * 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JP2888253B2 (en) * 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
JP3039583B2 (en) * 1991-05-30 2000-05-08 株式会社日立製作所 Valve and semiconductor manufacturing apparatus using the same
KR950020993A (en) * 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3468859B2 (en) * 1994-08-16 2003-11-17 富士通株式会社 Gas phase processing apparatus and gas phase processing method
JP3417751B2 (en) * 1995-02-13 2003-06-16 株式会社東芝 Method for manufacturing semiconductor device
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5865417A (en) * 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
JP2002504977A (en) * 1997-05-21 2002-02-12 レッドウッド マイクロシステムズ インコーポレイテッド Low power thermopneumatic microvalve
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6080677A (en) * 1997-06-17 2000-06-27 Vlsi Technology, Inc. Method for preventing micromasking in shallow trench isolation process etching
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6032923A (en) * 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6160243A (en) * 1998-09-25 2000-12-12 Redwood Microsystems, Inc. Apparatus and method for controlling fluid in a micromachined boiler
TW364054B (en) * 1998-12-31 1999-07-11 United Microelectronics Corp Measurement tool for distance between shower head and heater platform
US6237394B1 (en) * 1999-02-25 2001-05-29 Redwood Microsystems, Inc. Apparatus and method for correcting drift in a sensor
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
JP2000306884A (en) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp Apparatus and method for plasma treatment
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6123107A (en) * 1999-07-09 2000-09-26 Redwood Microsystems, Inc. Apparatus and method for mounting micromechanical fluid control components
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6290491B1 (en) * 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
JP2002164336A (en) 2000-11-27 2002-06-07 Sony Corp Gas injector and film-forming apparatus
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040026374A1 (en) * 2002-08-06 2004-02-12 Tue Nguyen Assembly line processing method
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20090324829A1 (en) * 2006-04-05 2009-12-31 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7981472B2 (en) * 2006-04-05 2011-07-19 Aixtron, Inc. Methods of providing uniform gas delivery to a reactor

Also Published As

Publication number Publication date
US20040035358A1 (en) 2004-02-26
US6884296B2 (en) 2005-04-26

Similar Documents

Publication Publication Date Title
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6932871B2 (en) Multi-station deposition apparatus and method
US7335396B2 (en) Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7399499B2 (en) Methods of gas delivery for deposition processes and methods of depositing material on a substrate
US7699932B2 (en) Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7647886B2 (en) Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050087302A1 (en) Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050249873A1 (en) Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
TW202109798A (en) Apparatus for supplying gas and apparatus for processing substrate using the same
KR20060100961A (en) Showerhead and atomic layer deposition equipment having the same
KR20040046084A (en) Atomic layer depositon apparatus for manufacturing semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION