US20050110985A1 - Advanced mask cleaning and handling - Google Patents

Advanced mask cleaning and handling Download PDF

Info

Publication number
US20050110985A1
US20050110985A1 US10/980,120 US98012004A US2005110985A1 US 20050110985 A1 US20050110985 A1 US 20050110985A1 US 98012004 A US98012004 A US 98012004A US 2005110985 A1 US2005110985 A1 US 2005110985A1
Authority
US
United States
Prior art keywords
mask
station
cleaning
contaminant
coordinates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/980,120
Inventor
David Yogev
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/980,120 priority Critical patent/US20050110985A1/en
Publication of US20050110985A1 publication Critical patent/US20050110985A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • the present invention relates generally to semiconductor manufacturing processes, and specifically to methods and apparatus for cleaning and handling of lithographic masks used in producing semiconductor devices.
  • optical lithography using conventional transmission masks, such as chrome on glass. (COG) or phase shift (PSM) masks, will no longer suffice as a viable technique for printing advanced devices on semiconductor wafers.
  • Transmission lithography has been extended to ever shorter wavelengths, down to 157 nm in the far ultraviolet (UV), in order to reduce the size of device features.
  • UV far ultraviolet
  • Alternative technological candidates to replace optical lithography include: electron projection lithography (EPL) and an all-reflective technology called extreme ultra-violet lithography (EUVL).
  • pellicle Virtually all masks used in production today employ a pellicle to protect the mask surface from particulate contamination.
  • the pellicle is a relatively inexpensive, thin, transparent, flexible sheet, which is stretched above and not touching the surface of the mask. Pellicles provide a functional and economic solution to particulate contamination by mechanically separating particles from the mask surface.
  • the mask is transported and used for lithographic exposure with the pellicle in place.
  • Particulate material located on the pellicle surface is maintained outside of the focal plane of projection. As a result, particulate material is not printed.
  • the pellicle eventually becomes damaged or too dirty to use, the mask is removed to a workshop, and the pellicle is replaced.
  • a suitable pellicle material and structure have yet to be defined for 157 nm technology.
  • the options to date include using either no pellicle or: a very expensive hard pellicle.
  • An inexpensive soft pellicle that is capable of withstanding multiple exposures to 157 nm light has yet to be developed. It appears, therefore, that masks for lithography at 157 nm and for shorter wavelengths must be used without the protection of a pellicle. If a no-pellicle option is chosen, the masks must be cleaned frequently, and the cleaning technique must be suitable for multiple cleaning cycles without inducing any significant damage to sensitive mask films. Most contaminants absorb radiation at short wavelengths, and it is therefore imperative that the mask surface be completely free of any contamination that may absorb radiation.
  • U.S. Pat. No. 5,023,424 whose disclosure is incorporated herein by reference, describes a method and apparatus using laser-induced shock waves to dislodge particles from a wafer surface.
  • a particle detector is used to locate the positions of particles on the wafer surface.
  • a laser beam is then focused at a point above the wafer surface near the position of each of the particles, in order to produce gas-borne shock waves with peak pressure gradients sufficient to dislodge and remove the particles. It is noted that the particles must be dislodged by the shock wave, rather than vaporized due to absorption of the laser radiation.
  • U.S. Pat. No. 5,023,424 further notes that immersion of the surface in a liquid (as in the above-mentioned U.S. Pat. No. 4,987,286, for example) is unsuitable for use in removing small numbers of microscopic particles.
  • a lithography tool for use in producing semiconductor devices, comprises one or more lithography stations, together with a mask cleaning station.
  • the lithography and mask cleaning stations are contained in a common enclosure, and a robot is preferably used to transfer the masks between the cleaning and lithography stations in order to isolate the mask and the stations from ambient air and from human contact.
  • This arrangement is particularly advantageous in dealing with masks without pellicles, since it allows particles to be removed frequently from the masks, in the production environment, without removing the masks to a separate mask shop. This arrangement facilitates the higher level of mask cleanliness that is required for far UV and EUV lithography.
  • the lithography tool also comprises an inspection station, which checks each mask before or after use to verify that the mask is still clean and, if not, to determine the locations of any contaminant particles on the mask. If the inspection station finds the mask to be contaminated, the robot passes the mask to the cleaning station. Based on coordinates of the particles determined by the inspection station, the cleaning station applies a local cleaning process to remove the particles.
  • the local cleaning process involves wetting the particle location with a suitable fluid, and then irradiating the location with laser radiation, most preferably UV laser radiation. This cleaning approach gives optimal removal of contaminant particles, without affecting in any way the remainder of the mask.
  • various other local cleaning methods may be used in conjunction with the inspection station. Examples of such methods include localized plasma application; local application of pressurized gas or vacuum; and local application of carbon dioxide dry ice (or “snow cleaning”).
  • chemical cleaners in liquid and/or vapor state may be locally dispensed at the particle coordinates. In any case, when local cleaning is used, degradation of the mask due to frequent cleaning is minimized, and the useful life of the mask is thus lengthened.
  • apparatus for semiconductor device fabrication including:
  • the apparatus includes a mask storage station, contained at least partly within the enclosure, and the mask storage station is adapted to store the mask, and the robot is adapted to convey the mask between the mask storage station and the cleaning and lithography stations.
  • the at least one lithography station includes a radiation source for generating the radiation that is projected onto the semiconductor wafer, and the radiation has a wavelength that is less than 160 nm.
  • the at least one lithography station is adapted to project the pattern of radiation from the mask in the absence of a pellicle covering the mask.
  • the at least one lithography station may include a plurality of exposure tools, commonly contained within the enclosure and served by the mask cleaning station.
  • the apparatus includes an inspection station, which is adapted to determine position coordinates of the contaminant on the mask, and to convey the coordinates to the cleaning station, which is adapted to clean the mask locally at a location indicated by the coordinates.
  • the inspection station is contained within the enclosure.
  • the cleaning station includes a vacuum source, which is adapted to apply suction at the location indicated by the coordinates.
  • the cleaning station may additionally include an inlet port, and may be adapted to inject a fluid medium through the inlet port so that the fluid medium is deposited on the mask at the location indicated by the coordinates, prior to applying the suction thereto.
  • the cleaning station includes an inlet port, and is adapted to inject a pressurized cleaning medium through the inlet port so that the cleaning medium impinges on the mask at the location indicated by the coordinates.
  • the cleaning station includes a radiation source, which is adapted to generate a beam of electromagnetic energy, and the cleaning station is adapted to controllably direct the beam of electromagnetic energy toward a location of the contaminant on the mask, causing the contaminant to be dislodged from the mask substantially without damage to the surface itself.
  • the cleaning station includes a gas inlet, and the cleaning station is adapted to inject an energy transfer medium through the gas inlet so that the medium is deposited on the mask at the location of the contaminant.
  • the medium absorbs at least a portion of the electromagnetic energy incident on the mask, causing local evaporation of the medium, which dislodges the contaminant.
  • the electromagnetic energy includes ultraviolet laser energy.
  • the energy transfer medium includes a carrier gas with a condensable vapor. Typically, the condensable vapor is water.
  • the cleaning station is adapted to receive input position coordinates of the location of the contaminant on the mask, and to direct the medium and the beam so that the medium and beam are incident on the mask at the location indicated by the position coordinates.
  • the cleaning station further includes an inspection station, which is adapted to determine the input position coordinates and to convey the coordinates to the cleaning station.
  • projecting the pattern of radiation includes generating the radiation at a wavelength that is less than 160 nm. Further preferably, projecting the pattern of radiation includes projecting the pattern of radiation from the mask in the absence of a pellicle covering the mask.
  • the method includes storing the mask in a mask storage station, which is at least partially contained in the enclosure, and conveying the mask includes transferring the mask between the at least one lithography station, the mask cleaning station, and the mask storage station.
  • cleaning the mask includes determining position coordinates of the contaminant on the mask, and cleaning the mask locally at a location indicated by the coordinates.
  • determining the position coordinates includes enclosing an inspection station within the enclosure, and inspecting the mask using the inspection station.
  • cleaning the mask includes controllably directing a beam of electromagnetic energy toward a location of the contaminant on the mask, so as to cause the contaminant to be dislodged from the mask substantially without damage to the mask itself. Further preferably, cleaning the mask also includes controllably applying an energy transfer medium at the location of the contaminant on the surface, wherein the beam of electromagnetic energy causes local evaporation of the medium, thereby dislodging the contaminant.
  • the electromagnetic energy includes ultraviolet laser energy.
  • controllably directing the electromagnetic energy includes receiving input position coordinates of the location of the contaminant on the mask, and directing the beam so that the beam is incident on the mask at the location indicated by the position coordinates.
  • FIG. 1 is a schematic illustration of a system for removal of particles from the surface of a lithographic mask, in accordance with an embodiment of the present invention
  • FIG. 2 is a schematic, sectional illustration of a lithographic mask in a particle removal chamber, in accordance with an embodiment of the present invention
  • FIG. 3 is a schematic top view of a mask on which contaminant particles have been deposited
  • FIG. 4 is a schematic top view of the mask of FIG. 3 following removal of the contaminant particles using the system of FIG. 2 ;
  • FIG. 5 is a schematic side view of a system for removal of particles from the surface of a lithographic mask, in accordance with another embodiment of the present invention.
  • FIG. 6 is a simplified block diagram showing a lithography tool with mask handling and particle removal capabilities, in accordance with an embodiment of the present invention.
  • FIG. 1 is a schematic illustration of a system 20 for removal of particles from the surface of a lithographic mask 26 , in accordance with an embodiment of the present invention.
  • System 20 is similar in certain aspects to cleaning systems described in U.S. patent application Ser. No. 09/869,058 and in PCT patent application PCT/IL99/00701, which are assigned to the assignee of the present patent application and are incorporated herein by reference.
  • System 20 comprises two stations: an inspection station 22 and a particle removal station 24 .
  • stations 22 and 24 are separate entities, as shown in the figure.
  • Inspection station 22 determines the coordinates of contaminant particles 28 on the surface of the mask.
  • the coordinates are passed to a processor 34 , which stores the coordinates and transforms them to a coordinate frame of particle removal station 24 .
  • Processor 34 has additional functions, as further described with reference to FIG. 2 , below.
  • Mask 26 is then transferred to particle removal station 24 , where the coordinates are used to direct the removal of the particles from the surface.
  • stations 22 and 24 are constructed as a single, integral unit, which both determines the particle coordinates and removes the particles accordingly, without the need to transfer the mask from one entity to the next.
  • Inspection station 22 may comprise any suitable automated inspection system known in the art, such as the defect detection systems mentioned in the Background of the Invention.
  • the Applied Materials “Compass” or KLA-Tencor “Surfscan” systems may be used for this purpose.
  • a laser 30 irradiates the surface of mask 26
  • a detector 32 senses irregularities in the radiation reflected from the surface.
  • other inspection methods such as optical microscopy or scanning electron microscopy (SEM), may be employed.
  • SEM scanning electron microscopy
  • station 22 is capable of distinguishing between irregularities due to particles and due to other causes.
  • station 24 may attempt (without success) to treat inspected locations of defects that are not removable particles. Aside from reducing the throughput of system 20 , it is not likely that any harm will result to mask 26 due to the processing of these non-particle defects by station 24 .
  • Particle removal station 24 comprises a laser 36 , which directs an intense beam of energy at the surface of mask 26 .
  • the laser comprises an excimer laser, such as a Lambda Physik LPX315 IMC laser, which emits ultraviolet radiation. Alternatively, other laser types and wavelengths, such as infrared or visible lasers, may be used.
  • the mask is contained in a chamber 38 , which is described in detail hereinbelow. The laser energy is absorbed at the mask surface, causing particles 28 to be dislodged from the surface substantially without damage to the surface itself.
  • absorption of the laser energy, by the particles and/or by the mask causes the particles to be ablated or otherwise dislodged from the surface, as described, for example, in the above-mentioned U.S. Pat. No. 5,114,834 or in PCT patent application PCT/IL96/00141, which is also incorporated herein by reference.
  • an energy transfer medium is applied to the surface.
  • the laser energy, absorbed by the medium and/or by the mask causes explosive evaporation, thereby dislodging the particles.
  • station 24 may use any other suitable method of localized particle removal that is known in the art.
  • FIG. 2 schematically illustrates details of chamber 38 , in accordance with an embodiment of the present invention.
  • FIG. 2 is a sectional side view.
  • Chamber 38 comprises a rotating chuck 64 , on which mask 26 rests securely (typically by vacuum suction, as is known in the art).
  • the laser beam irradiates the mask surface at points where inspection station 22 has detected particles.
  • chuck 64 is rotated so that the particle is located under the laser beam, which is fired at the surface in the region of the particle.
  • Radial scanning of the laser beam may be accomplished either by angular deflection of the beam, using any sort of suitable optical scanner, or by translating an optical beam-handling assembly (or even the entire laser) over the mask in a radial direction. These and other suitable methods of scanning will be apparent to those skilled in the art.
  • a process gas mixed with a vapor is introduced through a process gas port 56 .
  • the vapor condenses to form a liquid film on the surface.
  • the process gas comprises a gas or a combination of gases having inert properties, such as nitrogen, and a vapor such as water vapor.
  • laser irradiation causes explosive local evaporation of the liquid, driving the particles off the mask surface.
  • the gas from region 60 is preferably exhausted through one or more gas exhaust ports 58 .
  • the particles removed from the mask surface will generally be swept immediately out of the region and away from the mask surface.
  • station 24 thus reduces the likelihood that a released particle will settle back down on another part of the mask surface. Rapid and efficient removal of the released particles is very important, because when released particles do settle back down on the mask, they may be even harder to remove than they were initially.
  • Processor 37 in addition to receiving particle coordinates from the inspection station, preferably controls and coordinates other aspects of station 24 , including the laser beam, the process gas and exhaust flows, and the chuck.
  • IR laser radiation has typically been used in the past to cause evaporative explosion of water film on semiconductor wafer cleaning (as described in the previously-mentioned U.S. Pat. No. 4,987,286), this approach may not be appropriate for advanced masks such as an Extreme Ultra Violet (EUV) mask.
  • EUV Extreme Ultra Violet
  • Such masks typically comprise 40 or more alternating layers of Si and Mo.
  • the Si layers are practically transparent to IR radiation, while the Mo layers strongly absorb IR radiation. Therefore, IR irradiation of such a mask may cause differential heating of the Mo layers, leading to undesirable strain in the multilayer structure.
  • the laser beam preferably comprises visible or ultraviolet (UV) radiation, at wavelengths selected to accommodate the absorption characteristics of Mo and Si. Examples of two specific wavelengths that may be used for this purpose are 248 nm and 532 nm.
  • UV radiation may be used, preferably at 2940 nm (tuned to the O—H stretch mode of water, for maximum radiation absorption in the liquid film on the mask surface). Experimental cleaning results using these wavelengths are described below.
  • FIG. 3 is a schematic top view of a blank mask 200 on which known particles have been deposited.
  • Mask 200 comprises 40 alternating layers of Si and Mo with thicknesses of 40 ⁇ each on a Si substrate.
  • Groups of calibrated particles are deposited on mask 200 as follows: group 210 —Si 0.5 ⁇ m; group 220 —Al 2 O 3 0.4 ⁇ m; group 230 —SiO 2 0.4 ⁇ m; and group 240 —Al 0.5 ⁇ m.
  • Station 24 was applied to remove the particles from the mask, using laser radiation at the three wavelengths previously noted (532 nm, 248 nm, and 2940 nm).
  • FIG. 4 is a schematic top view of the mask of FIG. 3 showing cleaning results obtained using laser radiation at 532 nm, 248 nm and 2940 nm.
  • Arrows 310 indicate cleaning passes performed on groups 210 , 220 , 230 and 240 using laser radiation at 532 nm and at 248 nm.
  • Arrows 315 indicate cleaning passes performed at 532 nm.
  • Arrows 320 indicate cleaning passes performed at 248 nm.
  • Arrows 330 indicate cleaning passes performed at 2940 nm. Each of the three radiation wavelengths yielded good cleaning results.
  • particle removal station 24 may use other local cleaning methods in conjunction with inspection station 22 .
  • station 24 may apply localized plasma, pressurized gas or vacuum, or carbon dioxide “snow” (dry ice—using a special nozzle such as those produced by Applied Surface Technologies).
  • station 24 may dispense chemical cleaners in liquid and/or vapor state locally at the particle coordinates.
  • FIG. 5 is a simplified pictorial illustration of a particle removal station 350 , in accordance with an alternative embodiment of the present invention.
  • station 350 The principles of operation of station 350 are described in detail in U.S. Patent Application titled “CONDENSATION-BASED ENHANCEMENT OF PARTICLE REMOVAL BY SUCTION”, application Ser. No. 10/035,972, filed Sep. 11, 2001, which is assigned to the assignee of the present patent application, and whose disclosure is incorporated herein by reference.
  • Station 350 comprises a fluid delivery unit 370 and a suction unit 380 .
  • the fluid delivery unit deposits a fluid, preferably a vapor, onto mask 26 at the locations of contaminants determined by inspection station 22 , and the suction unit then removes the contaminants together with the fluid.
  • the introduction of the fluid onto the particle coupled with a turbulent mass-transfer regime surrounding the particle induced by a suction force from suction unit 380 , introduces a mechanical shock to the particle.
  • the mechanical shock coupled with the dissolution forces of the particle into the fluid phase, tends to release the particle from the surface of the mask.
  • the local suction unit may be used alone, without wetting the mask.
  • Fluid delivery unit 370 comprises a gas inlet valve 362 , and a gas-conveying channel 364 .
  • This channel conveys nitrogen or an inert gas to a vaporizer chamber 368 .
  • the chamber is normally constructed with an external heating jacket 366 and a liquid entry channel (not shown).
  • the liquid may be, for example, water, a solvent, or an aqueous solution.
  • the liquid is heated, typically from 40-80° C., by jacket 366 so as to be partially or fully vaporized or to enter a gaseous phase.
  • This phase or combination of liquid and/or vapor and/or gaseous phases is defined herein broadly as a fluid.
  • the fluid may thus also comprise steam.
  • the fluid is conveyed from vaporizer 368 via a fluid channel 372 to the surface of mask 26 .
  • Channel 372 is typically heated externally by a heating jacket 374 or other means known in the art.
  • vaporizer 368 comprises a heating element 365 , which is configured to transfer heat to heating jackets 366 and 374 .
  • heating jacket 374 is extended so as to heat a fluid delivery channel 384 and a suction channel 382 concomitantly.
  • Suction unit 380 typically comprises a vacuum or displacement pump (not shown) which introduces reduced pressure or vacuum forces to a channel 386 .
  • the channel may be under continuous or non-continuous suction.
  • the suction is controlled by the activation of a valve 388 leading to channel 386 .
  • Channel 386 leads to a nozzle assembly 385 having two channels.
  • a fluid delivery channel 384 typically the inner channel, conveys the fluid phase to mask 26 .
  • a suction channel 382 typically an outer annular channel, conveys particles and fluid from the surface under suction forces.
  • Mask 26 is typically supported on an x-y stage 352 with the fluid delivery and suction channels 384 and 382 controlled to reach any coordinate on the x-y stage.
  • the stage may be a rotating stage, and the channels may be operated to reach any point by radial movement.
  • FIG. 6 is a simplified block diagram showing a lithography tool 400 with integrated local particle removal, in accordance with an embodiment of the present invention. All the elements of tool 400 , including inspection station 22 and particle removal station 24 (as shown initially in FIG. 1 ), are maintained in a controlled environment within an enclosure 405 . Thus, masks generally need not be removed from enclosure 405 for particle removal, and exposure of the masks to environmental contaminants is accordingly reduced. The entire interior of enclosure 405 may be evacuated if desired.
  • Lithographic masks to be used in tool 400 are inserted into a mask storage station 410 through an exterior port 415 .
  • the masks held in station 410 are preferably inspected and cleaned before use, using the inspection station and particle removal station.
  • masks in storage are inspected and cleaned periodically even when not in use, as well, in order to promptly remove any particles that may have adhered to the mask.
  • Masks are transferred from the mask storage station to the inspection station by a robot 425 , without human contact.
  • Inspection station 22 inspects the surface of the mask and detects any contaminant particles that may be present on its surface.
  • robot 425 transfers the mask to an exposure station 430 or 432 , which exposes the lithographic pattern of the mask onto at least one semiconductor wafer.
  • robot 425 transfers the mask to an exposure station 430 or 432 , which exposes the lithographic pattern of the mask onto at least one semiconductor wafer.
  • the mask is not required for use in one of the exposure tools, it is returned to storage station 410 .
  • Mask transfers between the exposure tools and/or between the mask storage station are effected by the robot.
  • the mask is preferably re-inspected by the inspection station. In this way, it is ensured that any particles that may be deposited on the mask are detected and subsequently removed before the next time the mask is used.
  • the masks are re-inspected only after having been used for a certain number of exposures.
  • robot 425 transfers the mask to particle removal station 24 and particles are removed as described previously with reference to FIG. 2 .
  • robot 425 conveys the mask back to inspection station 22 for re-inspection. If contaminants are still found on the mask, another round of particle removal may be performed by particle removal station 24 .
  • robot 425 preferably transfers the mask back to storage station 410 from removal from enclosure 405 . Otherwise, the clean mask is passed to exposure tool 430 or 432 , or it is returned to mask storage station 410 for future use.
  • tool 400 may comprise a larger or smaller number of exposure stations.
  • the controlled environment of enclosure 405 ensures that masks are exposed to a minimal number of particulate contaminants, by restricting human contact with the masks and by high air filtration or evacuation of the enclosure.
  • the only regular access to the interior of tool 400 is through port 415 of mask storage station 410 , as well as through a similar port (not shown in the figure) for moving process wafers into and out of exposure tools located within enclosure 405 .

Abstract

Apparatus for semiconductor device fabrication, includes at least one lithography station, which is adapted to project a pattern of radiation from a mask onto a semiconductor wafer. A mask cleaning station is adapted to receive the mask from the at least one lithography station, to clean the mask so as to remove a contaminant therefrom, and so that the cleaned mask may be returned to the at least one lithography station. A robot is adapted to convey the mask between the at least one lithography station and the mask cleaning station. An enclosure contains the at least one lithography station, the mask cleaning station and the robot, so that the mask is conveyed between the at least one lithography station and the mask cleaning station without human contact and without exposure to ambient air.

Description

    FIELD OF INVENTION
  • The present invention relates generally to semiconductor manufacturing processes, and specifically to methods and apparatus for cleaning and handling of lithographic masks used in producing semiconductor devices.
  • BACKGROUND OF INVENTION
  • As the trend continues to reduce the size of semiconductor devices, optical lithography using conventional transmission masks, such as chrome on glass. (COG) or phase shift (PSM) masks, will no longer suffice as a viable technique for printing advanced devices on semiconductor wafers. Transmission lithography has been extended to ever shorter wavelengths, down to 157 nm in the far ultraviolet (UV), in order to reduce the size of device features. However, the still shorter wavelengths necessary for printing even smaller device structures are readily absorbed in transmission materials. Alternative technological candidates to replace optical lithography include: electron projection lithography (EPL) and an all-reflective technology called extreme ultra-violet lithography (EUVL).
  • Virtually all masks used in production today employ a pellicle to protect the mask surface from particulate contamination. The pellicle is a relatively inexpensive, thin, transparent, flexible sheet, which is stretched above and not touching the surface of the mask. Pellicles provide a functional and economic solution to particulate contamination by mechanically separating particles from the mask surface. The mask is transported and used for lithographic exposure with the pellicle in place. When a mask is used for exposure, with the pellicle in position above the mask, only the details of the mask's focal plane itself are printed. Particulate material located on the pellicle surface is maintained outside of the focal plane of projection. As a result, particulate material is not printed. When the pellicle eventually becomes damaged or too dirty to use, the mask is removed to a workshop, and the pellicle is replaced.
  • A suitable pellicle material and structure have yet to be defined for 157 nm technology. The options to date include using either no pellicle or: a very expensive hard pellicle. An inexpensive soft pellicle that is capable of withstanding multiple exposures to 157 nm light has yet to be developed. It appears, therefore, that masks for lithography at 157 nm and for shorter wavelengths must be used without the protection of a pellicle. If a no-pellicle option is chosen, the masks must be cleaned frequently, and the cleaning technique must be suitable for multiple cleaning cycles without inducing any significant damage to sensitive mask films. Most contaminants absorb radiation at short wavelengths, and it is therefore imperative that the mask surface be completely free of any contamination that may absorb radiation.
  • Not only must mask particle contamination removal efficiency be increased, but the minimum particle size to be removed must also decrease. For example, in EUV lithography, masks must be cleaned to remove particles as small as 70 nm, since particles of this size are already printable at EUV lithography wavelengths. Conventional cleaning technologies such as sulfuric-peroxide mixture (SPM) and standard cleans (SC-1 and SC-2) do not fulfill all of the previously mentioned contamination removal criteria. If these conventional cleaning procedures must be applied to the mask repeatedly (due to the absence of a mask pellicle), they are likely to cause rapid degradation of delicate mask film layers.
  • Various methods are known in the art for stripping and cleaning foreign matter from the surfaces of semiconductor wafers and masks, while avoiding damage to the surface itself. For example, U.S. Pat. No. 4,980,536, whose disclosure is incorporated herein by reference, describes a method and apparatus for removal of particles from solid-state surfaces by laser bombardment. U.S. Pat. Nos. 5,099,557 and 5,024,968, whose disclosures are also incorporated herein by reference, describe methods and apparatus for removing surface contaminants from a substrate by high-energy irradiation. The substrate is irradiated by a laser with sufficient energy to release the particles, while an inert gas flows across the wafer surface to carry away the released particles.
  • U.S. Pat. No. 4,987,286, whose disclosure is likewise incorporated herein by reference, describes a method and apparatus for removing minute particles (as small as submicron) from a surface to which they are adhered. An energy transfer medium, typically a fluid, is interposed between each particle to be removed and the surface. The medium is irradiated with laser energy and absorbs sufficient energy to cause explosive evaporation, thereby dislodging the particles.
  • Various methods are known in the art for discriminating and localizing defects on substrates. U.S. Pat. Nos. 5,264,912 and 4,628,531, whose disclosures are incorporated herein by reference are examples. Foreign particles are one type of defects that can be detected using these methods.
  • U.S. Pat. No. 5,023,424, whose disclosure is incorporated herein by reference, describes a method and apparatus using laser-induced shock waves to dislodge particles from a wafer surface. A particle detector is used to locate the positions of particles on the wafer surface. A laser beam is then focused at a point above the wafer surface near the position of each of the particles, in order to produce gas-borne shock waves with peak pressure gradients sufficient to dislodge and remove the particles. It is noted that the particles must be dislodged by the shock wave, rather than vaporized due to absorption of the laser radiation. U.S. Pat. No. 5,023,424 further notes that immersion of the surface in a liquid (as in the above-mentioned U.S. Pat. No. 4,987,286, for example) is unsuitable for use in removing small numbers of microscopic particles.
  • SUMMARY OF INVENTION
  • It is an object of some aspects of the present invention to provide improved methods and apparatus for removal of microscopic particles from lithographic masks used in semiconductor device production. In the context of the present patent application and in the claims, the word “particle” is used broadly to refer to any contaminant or other foreign substance that must be removed from the mask surface.
  • In embodiments of the present invention, a lithography tool, for use in producing semiconductor devices, comprises one or more lithography stations, together with a mask cleaning station. The lithography and mask cleaning stations are contained in a common enclosure, and a robot is preferably used to transfer the masks between the cleaning and lithography stations in order to isolate the mask and the stations from ambient air and from human contact. This arrangement is particularly advantageous in dealing with masks without pellicles, since it allows particles to be removed frequently from the masks, in the production environment, without removing the masks to a separate mask shop. This arrangement facilitates the higher level of mask cleanliness that is required for far UV and EUV lithography.
  • Preferably, the lithography tool also comprises an inspection station, which checks each mask before or after use to verify that the mask is still clean and, if not, to determine the locations of any contaminant particles on the mask. If the inspection station finds the mask to be contaminated, the robot passes the mask to the cleaning station. Based on coordinates of the particles determined by the inspection station, the cleaning station applies a local cleaning process to remove the particles. Preferably, the local cleaning process involves wetting the particle location with a suitable fluid, and then irradiating the location with laser radiation, most preferably UV laser radiation. This cleaning approach gives optimal removal of contaminant particles, without affecting in any way the remainder of the mask.
  • Alternatively, various other local cleaning methods may be used in conjunction with the inspection station. Examples of such methods include localized plasma application; local application of pressurized gas or vacuum; and local application of carbon dioxide dry ice (or “snow cleaning”). In addition, chemical cleaners in liquid and/or vapor state may be locally dispensed at the particle coordinates. In any case, when local cleaning is used, degradation of the mask due to frequent cleaning is minimized, and the useful life of the mask is thus lengthened.
  • There is therefore provided, in accordance with an embodiment of the present invention, apparatus for semiconductor device fabrication, including:
      • at least one lithography station, which is adapted to project a pattern of radiation from a mask onto a semiconductor wafer;
      • a mask cleaning station, which is adapted to receive the mask from the at least one lithography station, to clean the mask so as to remove a contaminant therefrom, so that the cleaned mask may be transferred to the at least one lithography station;
      • a robot, which is adapted to convey the mask between the at least one lithography station and the mask cleaning station; and
      • an enclosure, containing the at least one lithography station, the mask cleaning station and the robot, so that the mask is conveyed between the at least one lithography station and the mask cleaning station without human contact and without exposure to ambient air.
  • Preferably, the apparatus includes a mask storage station, contained at least partly within the enclosure, and the mask storage station is adapted to store the mask, and the robot is adapted to convey the mask between the mask storage station and the cleaning and lithography stations. Further preferably, the at least one lithography station includes a radiation source for generating the radiation that is projected onto the semiconductor wafer, and the radiation has a wavelength that is less than 160 nm.
  • In one embodiment, the at least one lithography station is adapted to project the pattern of radiation from the mask in the absence of a pellicle covering the mask. The at least one lithography station may include a plurality of exposure tools, commonly contained within the enclosure and served by the mask cleaning station.
  • Preferably, the apparatus includes an inspection station, which is adapted to determine position coordinates of the contaminant on the mask, and to convey the coordinates to the cleaning station, which is adapted to clean the mask locally at a location indicated by the coordinates. Most preferably, the inspection station is contained within the enclosure. In one embodiment, the cleaning station includes a vacuum source, which is adapted to apply suction at the location indicated by the coordinates. The cleaning station may additionally include an inlet port, and may be adapted to inject a fluid medium through the inlet port so that the fluid medium is deposited on the mask at the location indicated by the coordinates, prior to applying the suction thereto.
  • In another embodiment, the cleaning station includes an inlet port, and is adapted to inject a pressurized cleaning medium through the inlet port so that the cleaning medium impinges on the mask at the location indicated by the coordinates.
  • Preferably, the cleaning station includes a radiation source, which is adapted to generate a beam of electromagnetic energy, and the cleaning station is adapted to controllably direct the beam of electromagnetic energy toward a location of the contaminant on the mask, causing the contaminant to be dislodged from the mask substantially without damage to the surface itself. Preferably, the cleaning station includes a gas inlet, and the cleaning station is adapted to inject an energy transfer medium through the gas inlet so that the medium is deposited on the mask at the location of the contaminant. Most preferably, the medium absorbs at least a portion of the electromagnetic energy incident on the mask, causing local evaporation of the medium, which dislodges the contaminant. Preferably, the electromagnetic energy includes ultraviolet laser energy. Further preferably, the energy transfer medium includes a carrier gas with a condensable vapor. Typically, the condensable vapor is water.
  • Further preferably, the cleaning station is adapted to receive input position coordinates of the location of the contaminant on the mask, and to direct the medium and the beam so that the medium and beam are incident on the mask at the location indicated by the position coordinates. Most preferably, the cleaning station further includes an inspection station, which is adapted to determine the input position coordinates and to convey the coordinates to the cleaning station.
  • There is also provided, in accordance with an embodiment of the present invention, a method for semiconductor device fabrication, including the steps of:
      • enclosing at least one lithography station and a mask cleaning station in an enclosure, so that a mask may be conveyed between the at least one lithography station and the mask cleaning station without human contact and without exposure to ambient air;
      • cleaning the mask in the mask cleaning station so as to remove a contaminant therefrom;
      • conveying the mask within the enclosure from the mask cleaning station to the at least one lithography station; and
      • projecting a pattern of radiation from the mask onto a semiconductor wafer in the at least one lithography station.
  • Preferably, projecting the pattern of radiation includes generating the radiation at a wavelength that is less than 160 nm. Further preferably, projecting the pattern of radiation includes projecting the pattern of radiation from the mask in the absence of a pellicle covering the mask.
  • Preferably, the method includes storing the mask in a mask storage station, which is at least partially contained in the enclosure, and conveying the mask includes transferring the mask between the at least one lithography station, the mask cleaning station, and the mask storage station. Further preferably, cleaning the mask includes determining position coordinates of the contaminant on the mask, and cleaning the mask locally at a location indicated by the coordinates. Most preferably, determining the position coordinates includes enclosing an inspection station within the enclosure, and inspecting the mask using the inspection station.
  • Preferably, cleaning the mask includes controllably directing a beam of electromagnetic energy toward a location of the contaminant on the mask, so as to cause the contaminant to be dislodged from the mask substantially without damage to the mask itself. Further preferably, cleaning the mask also includes controllably applying an energy transfer medium at the location of the contaminant on the surface, wherein the beam of electromagnetic energy causes local evaporation of the medium, thereby dislodging the contaminant.
  • Preferably, the electromagnetic energy includes ultraviolet laser energy.
  • Preferably, controllably directing the electromagnetic energy includes receiving input position coordinates of the location of the contaminant on the mask, and directing the beam so that the beam is incident on the mask at the location indicated by the position coordinates.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be more fully understood from the following detailed description of the embodiments thereof, taken together with the drawings in which:
  • FIG. 1 is a schematic illustration of a system for removal of particles from the surface of a lithographic mask, in accordance with an embodiment of the present invention;
  • FIG. 2 is a schematic, sectional illustration of a lithographic mask in a particle removal chamber, in accordance with an embodiment of the present invention;
  • FIG. 3 is a schematic top view of a mask on which contaminant particles have been deposited;
  • FIG. 4 is a schematic top view of the mask of FIG. 3 following removal of the contaminant particles using the system of FIG. 2;
  • FIG. 5 is a schematic side view of a system for removal of particles from the surface of a lithographic mask, in accordance with another embodiment of the present invention; and
  • FIG. 6 is a simplified block diagram showing a lithography tool with mask handling and particle removal capabilities, in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION OF EMBODIMENTS
  • FIG. 1 is a schematic illustration of a system 20 for removal of particles from the surface of a lithographic mask 26, in accordance with an embodiment of the present invention. System 20 is similar in certain aspects to cleaning systems described in U.S. patent application Ser. No. 09/869,058 and in PCT patent application PCT/IL99/00701, which are assigned to the assignee of the present patent application and are incorporated herein by reference.
  • System 20 comprises two stations: an inspection station 22 and a particle removal station 24. Typically, stations 22 and 24 are separate entities, as shown in the figure. Inspection station 22 determines the coordinates of contaminant particles 28 on the surface of the mask. The coordinates are passed to a processor 34, which stores the coordinates and transforms them to a coordinate frame of particle removal station 24. Processor 34 has additional functions, as further described with reference to FIG. 2, below. Mask 26 is then transferred to particle removal station 24, where the coordinates are used to direct the removal of the particles from the surface. Alternatively, stations 22 and 24 are constructed as a single, integral unit, which both determines the particle coordinates and removes the particles accordingly, without the need to transfer the mask from one entity to the next.
  • Inspection station 22 may comprise any suitable automated inspection system known in the art, such as the defect detection systems mentioned in the Background of the Invention. For example, the Applied Materials “Compass” or KLA-Tencor “Surfscan” systems may be used for this purpose. Typically, a laser 30 irradiates the surface of mask 26, and a detector 32 senses irregularities in the radiation reflected from the surface. Alternatively, other inspection methods, such as optical microscopy or scanning electron microscopy (SEM), may be employed. The irregularities are analyzed to determine the coordinates of particles 28, and possibly of other surface defects, as well. Preferably, station 22 is capable of distinguishing between irregularities due to particles and due to other causes. Alternatively, if station 22 does not make the distinction between particles and other defects, station 24 may attempt (without success) to treat inspected locations of defects that are not removable particles. Aside from reducing the throughput of system 20, it is not likely that any harm will result to mask 26 due to the processing of these non-particle defects by station 24.
  • Particle removal station 24 comprises a laser 36, which directs an intense beam of energy at the surface of mask 26. In some embodiments of the present invention, the laser comprises an excimer laser, such as a Lambda Physik LPX315 IMC laser, which emits ultraviolet radiation. Alternatively, other laser types and wavelengths, such as infrared or visible lasers, may be used. The mask is contained in a chamber 38, which is described in detail hereinbelow. The laser energy is absorbed at the mask surface, causing particles 28 to be dislodged from the surface substantially without damage to the surface itself. Typically, absorption of the laser energy, by the particles and/or by the mask, causes the particles to be ablated or otherwise dislodged from the surface, as described, for example, in the above-mentioned U.S. Pat. No. 5,114,834 or in PCT patent application PCT/IL96/00141, which is also incorporated herein by reference. Additionally or alternatively, as described in the above-mentioned U.S. Pat. No. 4,987,286, an energy transfer medium is applied to the surface. The laser energy, absorbed by the medium and/or by the mask, causes explosive evaporation, thereby dislodging the particles. Further alternatively, station 24 may use any other suitable method of localized particle removal that is known in the art.
  • Reference is now made to FIG. 2, which schematically illustrates details of chamber 38, in accordance with an embodiment of the present invention. FIG. 2 is a sectional side view. Chamber 38 comprises a rotating chuck 64, on which mask 26 rests securely (typically by vacuum suction, as is known in the art). The laser beam irradiates the mask surface at points where inspection station 22 has detected particles. To remove any one of particles 28, chuck 64 is rotated so that the particle is located under the laser beam, which is fired at the surface in the region of the particle. Radial scanning of the laser beam may be accomplished either by angular deflection of the beam, using any sort of suitable optical scanner, or by translating an optical beam-handling assembly (or even the entire laser) over the mask in a radial direction. These and other suitable methods of scanning will be apparent to those skilled in the art.
  • Preferably, a process gas mixed with a vapor is introduced through a process gas port 56. The vapor condenses to form a liquid film on the surface. Most preferably, the process gas comprises a gas or a combination of gases having inert properties, such as nitrogen, and a vapor such as water vapor. In this case, laser irradiation causes explosive local evaporation of the liquid, driving the particles off the mask surface.
  • The gas from region 60 is preferably exhausted through one or more gas exhaust ports 58. As these ports are immediately adjacent to region 60, the particles removed from the mask surface will generally be swept immediately out of the region and away from the mask surface. By minimizing the distance that released particles must travel over the mask surface, station 24 thus reduces the likelihood that a released particle will settle back down on another part of the mask surface. Rapid and efficient removal of the released particles is very important, because when released particles do settle back down on the mask, they may be even harder to remove than they were initially.
  • Processor 37, in addition to receiving particle coordinates from the inspection station, preferably controls and coordinates other aspects of station 24, including the laser beam, the process gas and exhaust flows, and the chuck.
  • Although IR laser radiation has typically been used in the past to cause evaporative explosion of water film on semiconductor wafer cleaning (as described in the previously-mentioned U.S. Pat. No. 4,987,286), this approach may not be appropriate for advanced masks such as an Extreme Ultra Violet (EUV) mask. Such masks typically comprise 40 or more alternating layers of Si and Mo. The Si layers are practically transparent to IR radiation, while the Mo layers strongly absorb IR radiation. Therefore, IR irradiation of such a mask may cause differential heating of the Mo layers, leading to undesirable strain in the multilayer structure.
  • Therefore, to avoid undesirable thermal effects and possible substrate damage, the laser beam preferably comprises visible or ultraviolet (UV) radiation, at wavelengths selected to accommodate the absorption characteristics of Mo and Si. Examples of two specific wavelengths that may be used for this purpose are 248 nm and 532 nm. In addition, IR radiation may be used, preferably at 2940 nm (tuned to the O—H stretch mode of water, for maximum radiation absorption in the liquid film on the mask surface). Experimental cleaning results using these wavelengths are described below.
  • FIG. 3 is a schematic top view of a blank mask 200 on which known particles have been deposited. Mask 200 comprises 40 alternating layers of Si and Mo with thicknesses of 40 Å each on a Si substrate. Groups of calibrated particles are deposited on mask 200 as follows: group 210—Si 0.5 μm; group 220—Al2O3 0.4 μm; group 230—SiO20.4 μm; and group 240—Al 0.5 μm. Station 24 was applied to remove the particles from the mask, using laser radiation at the three wavelengths previously noted (532 nm, 248 nm, and 2940 nm).
  • FIG. 4 is a schematic top view of the mask of FIG. 3 showing cleaning results obtained using laser radiation at 532 nm, 248 nm and 2940 nm. Arrows 310 indicate cleaning passes performed on groups 210, 220, 230 and 240 using laser radiation at 532 nm and at 248 nm. Arrows 315 indicate cleaning passes performed at 532 nm. Arrows 320 indicate cleaning passes performed at 248 nm. Arrows 330 indicate cleaning passes performed at 2940 nm. Each of the three radiation wavelengths yielded good cleaning results.
  • In all cases, damage to the blank mask was rarely observed. Any damage observed was limited to parts of the mask where particles were located. Damage could be attributable to lack of synchronization between the laser beam firing and the flow of gases yielding the water film on the surface. Another possible contributor to damage was poor laser beam quality, as a correlation was found between damage locations and hot spots in the laser beam profile. Damage was observable in the form of mask reflectivity changes. Such changes, when they occurred, could be observed with a naked eye under strong light illumination and appeared to be similar in shape to the laser beam spot profile. These results were also observed using instruments including a Tencor 7200 wafer scanner, an optical microscope, scattered probe laser beam images, and a SEM. Surprisingly, little or no mask damage was observed at the 2940 nm laser wavelength. This result may have been due to increased IR absorption in the thin Si layers because of increased free electron density, which would tend to balance out the higher absorption in the Mo layers.
  • The examples shown in FIGS. 3 and 4 above are for illustrative purposes only and are not intended to limit the method of localized cleaning to a given type of laser, laser wavelength, or specific mask type. In general, other types of lasers and laser wavelengths may be used, according to specific needs. Alternatively, particle removal station 24 may use other local cleaning methods in conjunction with inspection station 22. For example, station 24 may apply localized plasma, pressurized gas or vacuum, or carbon dioxide “snow” (dry ice—using a special nozzle such as those produced by Applied Surface Technologies). In addition, station 24 may dispense chemical cleaners in liquid and/or vapor state locally at the particle coordinates.
  • FIG. 5 is a simplified pictorial illustration of a particle removal station 350, in accordance with an alternative embodiment of the present invention. The principles of operation of station 350 are described in detail in U.S. Patent Application titled “CONDENSATION-BASED ENHANCEMENT OF PARTICLE REMOVAL BY SUCTION”, application Ser. No. 10/035,972, filed Sep. 11, 2001, which is assigned to the assignee of the present patent application, and whose disclosure is incorporated herein by reference.
  • Station 350 comprises a fluid delivery unit 370 and a suction unit 380. The fluid delivery unit deposits a fluid, preferably a vapor, onto mask 26 at the locations of contaminants determined by inspection station 22, and the suction unit then removes the contaminants together with the fluid. The introduction of the fluid onto the particle, coupled with a turbulent mass-transfer regime surrounding the particle induced by a suction force from suction unit 380, introduces a mechanical shock to the particle. The mechanical shock, coupled with the dissolution forces of the particle into the fluid phase, tends to release the particle from the surface of the mask. Alternatively, the local suction unit may be used alone, without wetting the mask.
  • Fluid delivery unit 370 comprises a gas inlet valve 362, and a gas-conveying channel 364. This channel conveys nitrogen or an inert gas to a vaporizer chamber 368. The chamber is normally constructed with an external heating jacket 366 and a liquid entry channel (not shown). The liquid may be, for example, water, a solvent, or an aqueous solution. The liquid is heated, typically from 40-80° C., by jacket 366 so as to be partially or fully vaporized or to enter a gaseous phase. This phase or combination of liquid and/or vapor and/or gaseous phases is defined herein broadly as a fluid. The fluid may thus also comprise steam.
  • The fluid is conveyed from vaporizer 368 via a fluid channel 372 to the surface of mask 26. Channel 372 is typically heated externally by a heating jacket 374 or other means known in the art. Typically, vaporizer 368 comprises a heating element 365, which is configured to transfer heat to heating jackets 366 and 374. Preferably, heating jacket 374 is extended so as to heat a fluid delivery channel 384 and a suction channel 382 concomitantly.
  • Suction unit 380 typically comprises a vacuum or displacement pump (not shown) which introduces reduced pressure or vacuum forces to a channel 386. The channel may be under continuous or non-continuous suction. The suction is controlled by the activation of a valve 388 leading to channel 386. Channel 386 leads to a nozzle assembly 385 having two channels. A fluid delivery channel 384, typically the inner channel, conveys the fluid phase to mask 26. A suction channel 382, typically an outer annular channel, conveys particles and fluid from the surface under suction forces.
  • Mask 26 is typically supported on an x-y stage 352 with the fluid delivery and suction channels 384 and 382 controlled to reach any coordinate on the x-y stage. Alternately, the stage may be a rotating stage, and the channels may be operated to reach any point by radial movement.
  • FIG. 6 is a simplified block diagram showing a lithography tool 400 with integrated local particle removal, in accordance with an embodiment of the present invention. All the elements of tool 400, including inspection station 22 and particle removal station 24 (as shown initially in FIG. 1), are maintained in a controlled environment within an enclosure 405. Thus, masks generally need not be removed from enclosure 405 for particle removal, and exposure of the masks to environmental contaminants is accordingly reduced. The entire interior of enclosure 405 may be evacuated if desired.
  • Lithographic masks to be used in tool 400 are inserted into a mask storage station 410 through an exterior port 415. The masks held in station 410 are preferably inspected and cleaned before use, using the inspection station and particle removal station. Preferably, masks in storage are inspected and cleaned periodically even when not in use, as well, in order to promptly remove any particles that may have adhered to the mask. Masks are transferred from the mask storage station to the inspection station by a robot 425, without human contact. Inspection station 22 inspects the surface of the mask and detects any contaminant particles that may be present on its surface. If the mask is found to be clean of particles, robot 425 transfers the mask to an exposure station 430 or 432, which exposes the lithographic pattern of the mask onto at least one semiconductor wafer. Alternatively, if the mask is not required for use in one of the exposure tools, it is returned to storage station 410. Mask transfers between the exposure tools and/or between the mask storage station are effected by the robot.
  • After each use of the mask in exposure tool 430 or 432, the mask is preferably re-inspected by the inspection station. In this way, it is ensured that any particles that may be deposited on the mask are detected and subsequently removed before the next time the mask is used. Alternatively, for increased throughput of tool 400, the masks are re-inspected only after having been used for a certain number of exposures.
  • If the inspection station determines that particles must be removed from the mask, robot 425 transfers the mask to particle removal station 24 and particles are removed as described previously with reference to FIG. 2. When removal of particles from the mask is completed, robot 425 conveys the mask back to inspection station 22 for re-inspection. If contaminants are still found on the mask, another round of particle removal may be performed by particle removal station 24. If the mask cannot be satisfactorily cleaned even after repeat treatment, robot 425 preferably transfers the mask back to storage station 410 from removal from enclosure 405. Otherwise, the clean mask is passed to exposure tool 430 or 432, or it is returned to mask storage station 410 for future use.
  • It should be noted that although two exposure stations 430 and 432 are shown in FIG. 5, tool 400 may comprise a larger or smaller number of exposure stations. The controlled environment of enclosure 405 ensures that masks are exposed to a minimal number of particulate contaminants, by restricting human contact with the masks and by high air filtration or evacuation of the enclosure. The only regular access to the interior of tool 400 is through port 415 of mask storage station 410, as well as through a similar port (not shown in the figure) for moving process wafers into and out of exposure tools located within enclosure 405.
  • It will be appreciated that the embodiments described above are cited by way of example, and that the present invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the present invention includes both combinations and subcombinations of the various features described hereinabove, as well as variations and modifications thereof which would occur to persons skilled in the art upon reading the foregoing description and which are not disclosed in the prior art.

Claims (14)

1. A method for semiconductor device fabrication, comprising the steps of:
enclosing at least one lithography station and a mask cleaning station in an enclosure, so that a mask may be conveyed between the at least one lithography station and the mask cleaning station without human contact and without exposure to ambient air;
cleaning the mask in the mask cleaning station so as to remove a contaminant therefrom;
conveying the mask within the enclosure from the mask cleaning station to the at least one lithography station;
projecting a pattern of radiation from the mask onto a semiconductor wafer in the at least one lithography station;
wherein cleaning the mask comprises determining position coordinates of the contaminant on the mask, and cleaning the mask locally at a location indicated by the coordinates by applying suction at the location indicated by the coordinates.
2. A method according to claim 1, wherein projecting the pattern of radiation comprises generating the radiation at a wavelength that is less than 160 nm.
3. A method according to claim 1, wherein projecting the pattern of radiation comprises projecting the pattern of radiation from the mask in the absence of a pellicle covering the mask.
4. A method according to claim 1, and comprising storing the mask in a mask storage station, which is at least partially contained in the enclosure.
5. A method according to claim 4, wherein conveying the mask comprises transferring the mask between the at least one lithography station, the mask cleaning station, and the mask storage station.
6. A method according to claim 1, wherein cleaning the mask comprises determining position coordinates of the contaminant on the mask, and cleaning the mask locally at a location indicated by the coordinates.
7. A method according to claim 1, wherein determining the position coordinates comprises enclosing an inspection station within the enclosure, and inspecting the mask using the inspection station.
8. A method according to claim 6, wherein cleaning the mask locally comprises applying suction at the location indicated by the coordinates.
9. Apparatus according to claim 1, wherein cleaning the mask locally further comprises applying a fluid medium to the mask at the location indicated by the coordinates, prior to applying the suction thereto.
10. Apparatus according to claim 1, wherein cleaning the mask locally comprises applying a pressurized cleaning medium to the mask at the location indicated by the coordinates.
11. A method according to claim 1, wherein cleaning the mask comprises controllably directing a beam of electromagnetic energy toward a location of the contaminant on the mask, so as to cause the contaminant to be dislodged from the mask substantially without damage to the mask itself.
12. A method according to claim 1, wherein cleaning the mask further comprises controllably applying an energy transfer medium at the location of the contaminant on the surface, wherein the beam of electromagnetic energy causes local evaporation of the medium, thereby dislodging the contaminant.
13. A method according to claim 12, wherein the electromagnetic energy comprises ultraviolet laser energy.
14. A method according to claim 12, wherein controllably directing the electromagnetic energy comprises receiving input position coordinates of the location of the contaminant on the mask, and directing the beam so that the beam is incident on the mask at the location indicated by the position coordinates.
US10/980,120 2002-11-12 2004-11-01 Advanced mask cleaning and handling Abandoned US20050110985A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/980,120 US20050110985A1 (en) 2002-11-12 2004-11-01 Advanced mask cleaning and handling

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/293,591 US6829035B2 (en) 2002-11-12 2002-11-12 Advanced mask cleaning and handling
US10/980,120 US20050110985A1 (en) 2002-11-12 2004-11-01 Advanced mask cleaning and handling

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/293,591 Continuation US6829035B2 (en) 2002-11-12 2002-11-12 Advanced mask cleaning and handling

Publications (1)

Publication Number Publication Date
US20050110985A1 true US20050110985A1 (en) 2005-05-26

Family

ID=32229680

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/293,591 Expired - Fee Related US6829035B2 (en) 2002-11-12 2002-11-12 Advanced mask cleaning and handling
US10/980,120 Abandoned US20050110985A1 (en) 2002-11-12 2004-11-01 Advanced mask cleaning and handling

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/293,591 Expired - Fee Related US6829035B2 (en) 2002-11-12 2002-11-12 Advanced mask cleaning and handling

Country Status (3)

Country Link
US (2) US6829035B2 (en)
AU (1) AU2003290871A1 (en)
WO (1) WO2004044964A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060028628A1 (en) * 2004-08-03 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Lens cleaning module
US20070209682A1 (en) * 2006-03-08 2007-09-13 Stmicroelectronics S.A. Cleaning of photolithography masks
US20090065024A1 (en) * 2007-08-09 2009-03-12 Leclaire Jeffrey E Apparatus and Method for Indirect Surface Cleaning
TWI403830B (en) * 2008-09-26 2013-08-01 Charm & Ci Co Ltd Apparatus and method for repairing a mask
TWI484053B (en) * 2013-07-08 2015-05-11 Everdisplay Optronics Shanghai Ltd Apparatus for removing organic compounds or stains from mask
US11311917B2 (en) 2007-08-09 2022-04-26 Bruker Nano, Inc. Apparatus and method for contamination identification

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1571694A4 (en) * 2002-12-10 2008-10-15 Nikon Corp Exposure apparatus and method for manufacturing device
US20040224508A1 (en) * 2003-05-06 2004-11-11 Applied Materials Israel Ltd Apparatus and method for cleaning a substrate using a homogenized and non-polarized radiation beam
GB0324883D0 (en) * 2003-10-24 2003-11-26 Boc Group Plc Extreme ultra violet lithography
JP4163654B2 (en) * 2004-04-19 2008-10-08 株式会社日立ハイテクノロジーズ Scanning electron microscope
US7616383B2 (en) * 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7385670B2 (en) * 2004-10-05 2008-06-10 Asml Netherlands B.V. Lithographic apparatus, cleaning system and cleaning method for in situ removing contamination from a component in a lithographic apparatus
US7880860B2 (en) 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7808616B2 (en) * 2005-12-28 2010-10-05 Nikon Corporation Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
TWI417649B (en) * 2005-12-28 2013-12-01 尼康股份有限公司 Reticle carrying device, exposure device, reticle carrying method, and reticle processing method
US7832416B2 (en) * 2006-10-10 2010-11-16 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and methods
US8084757B2 (en) * 2008-01-17 2011-12-27 Applied Materials, Inc. Contamination prevention in extreme ultraviolet lithography
US20090183322A1 (en) * 2008-01-17 2009-07-23 Banqiu Wu Electrostatic surface cleaning
WO2010011407A2 (en) * 2008-05-23 2010-01-28 President And Fellows Of Harvard College Methods of generating patterned soft substrates and uses thereof
DE102009045008A1 (en) 2008-10-15 2010-04-29 Carl Zeiss Smt Ag EUV lithography apparatus and method for processing a mask
US20140014138A1 (en) * 2010-08-16 2014-01-16 Jeffrey J. Spiegelman Gas-liquid phase transition method and apparatus for cleaning of surfaces in semiconductor manufacturing
WO2013158335A1 (en) 2012-04-18 2013-10-24 Applied Materials, Inc. Apparatus and method to reduce particles in advance anneal process
US9857680B2 (en) * 2014-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning module, cleaning apparatus and method of cleaning photomask
US9842724B2 (en) 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
US9864283B2 (en) 2015-11-18 2018-01-09 Applied Materials, Inc. Apparatus and methods for photomask backside cleaning
US11384328B2 (en) 2015-11-18 2022-07-12 President And Fellows Of Harvard College Cartridge-based system for long term culture of cell clusters
KR20180072036A (en) * 2016-12-20 2018-06-29 삼성전자주식회사 Mask treating apparatus and mask treating method
CN109661614A (en) * 2017-08-04 2019-04-19 深圳市柔宇科技有限公司 Laser repair method and laser repairing equipment
WO2019079681A1 (en) 2017-10-20 2019-04-25 President And Fellows Of Harvard College Methods for producing mature adipocytes and methods of use thereof
US10684559B2 (en) * 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage
CN112368638A (en) 2018-07-05 2021-02-12 应用材料公司 Photomask protection film residual glue removal
CN114563924A (en) * 2020-11-27 2022-05-31 中国科学院微电子研究所 Photomask defect processing equipment, exposure machine and photomask defect processing method
US20220299882A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for cleaning an euv mask within a scanner
US11687012B2 (en) * 2021-06-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Reduce mask defect impact by contamination decompose
CN115616856B (en) * 2022-12-19 2023-04-07 深圳仕上电子科技有限公司 Mask plate cleaning process

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930505A (en) * 1974-06-24 1976-01-06 Hydro Pulse Corporation Surgical apparatus for removal of tissue
US4628531A (en) * 1983-02-28 1986-12-09 Hitachi, Ltd. Pattern checking apparatus
US4676637A (en) * 1984-10-24 1987-06-30 Hitachi, Ltd. Exposure apparatus with foreign particle detector
US4980536A (en) * 1987-07-02 1990-12-25 International Business Machines Corporation Removal of particles from solid-state surfaces by laser bombardment
US4987286A (en) * 1989-10-30 1991-01-22 University Of Iowa Research Foundation Method and apparatus for removing minute particles from a surface
US5023424A (en) * 1990-01-22 1991-06-11 Tencor Instruments Shock wave particle removal method and apparatus
US5024968A (en) * 1988-07-08 1991-06-18 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
US5099557A (en) * 1988-07-08 1992-03-31 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
US5114834A (en) * 1987-10-23 1992-05-19 Yehuda Nachshon Photoresist removal
US5264912A (en) * 1992-02-07 1993-11-23 Tencor Instruments Speckle reduction track filter apparatus for optical inspection of patterned substrates
US5634230A (en) * 1994-12-27 1997-06-03 Siemens Aktiengesellschaft Apparatus and method for cleaning photomasks
US5652657A (en) * 1994-06-06 1997-07-29 Canon Kabushiki Kaisha Inspection system for original with pellicle
US6038015A (en) * 1997-02-10 2000-03-14 Nikon Corporation Electron-beam-projection-exposure apparatus with integrated mask inspection and cleaning portions
US6284417B1 (en) * 1997-08-28 2001-09-04 Micron Technology, Inc. Reticle cleaning without damaging pellicle
US6327021B1 (en) * 1998-07-06 2001-12-04 Mitsubishi Denki Kabushiki Kaisha Mask inspection system and method of manufacturing semiconductor device
US6387602B1 (en) * 2000-02-15 2002-05-14 Silicon Valley Group, Inc. Apparatus and method of cleaning reticles for use in a lithography tool
US20020109824A1 (en) * 2000-12-22 2002-08-15 Nikon Corporation Exposure apparatus and exposure method
US20020145711A1 (en) * 1997-11-12 2002-10-10 Nobutaka Magome Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6566169B1 (en) * 1998-12-24 2003-05-20 Oramir Semiconductor Equipment Ltd. Method and apparatus for local vectorial particle cleaning
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3412193B2 (en) * 1993-06-29 2003-06-03 株式会社ニコン Exposure equipment
TW446858B (en) * 1999-04-21 2001-07-21 Asm Lithography Bv Lithographic projection apparatus, method of manufacturing a device using such a lithographic projection apparatus, and device made by such a method of manufacturing
JP3513437B2 (en) * 1999-09-01 2004-03-31 キヤノン株式会社 Substrate management method and semiconductor exposure apparatus
US6466315B1 (en) * 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
WO2002021583A1 (en) * 2000-09-06 2002-03-14 Nikon Corporation Aligner and method of manufacturing a device

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930505A (en) * 1974-06-24 1976-01-06 Hydro Pulse Corporation Surgical apparatus for removal of tissue
US4628531A (en) * 1983-02-28 1986-12-09 Hitachi, Ltd. Pattern checking apparatus
US4676637A (en) * 1984-10-24 1987-06-30 Hitachi, Ltd. Exposure apparatus with foreign particle detector
US4980536A (en) * 1987-07-02 1990-12-25 International Business Machines Corporation Removal of particles from solid-state surfaces by laser bombardment
US5114834A (en) * 1987-10-23 1992-05-19 Yehuda Nachshon Photoresist removal
US5024968A (en) * 1988-07-08 1991-06-18 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
US5099557A (en) * 1988-07-08 1992-03-31 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
US4987286A (en) * 1989-10-30 1991-01-22 University Of Iowa Research Foundation Method and apparatus for removing minute particles from a surface
US5023424A (en) * 1990-01-22 1991-06-11 Tencor Instruments Shock wave particle removal method and apparatus
US5264912A (en) * 1992-02-07 1993-11-23 Tencor Instruments Speckle reduction track filter apparatus for optical inspection of patterned substrates
US5652657A (en) * 1994-06-06 1997-07-29 Canon Kabushiki Kaisha Inspection system for original with pellicle
US5634230A (en) * 1994-12-27 1997-06-03 Siemens Aktiengesellschaft Apparatus and method for cleaning photomasks
US6038015A (en) * 1997-02-10 2000-03-14 Nikon Corporation Electron-beam-projection-exposure apparatus with integrated mask inspection and cleaning portions
US6284417B1 (en) * 1997-08-28 2001-09-04 Micron Technology, Inc. Reticle cleaning without damaging pellicle
US20020145711A1 (en) * 1997-11-12 2002-10-10 Nobutaka Magome Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
US6327021B1 (en) * 1998-07-06 2001-12-04 Mitsubishi Denki Kabushiki Kaisha Mask inspection system and method of manufacturing semiconductor device
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6566169B1 (en) * 1998-12-24 2003-05-20 Oramir Semiconductor Equipment Ltd. Method and apparatus for local vectorial particle cleaning
US6387602B1 (en) * 2000-02-15 2002-05-14 Silicon Valley Group, Inc. Apparatus and method of cleaning reticles for use in a lithography tool
US20020109824A1 (en) * 2000-12-22 2002-08-15 Nikon Corporation Exposure apparatus and exposure method
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060028628A1 (en) * 2004-08-03 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Lens cleaning module
US8054444B2 (en) * 2004-08-03 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Lens cleaning module for immersion lithography apparatus
US20070209682A1 (en) * 2006-03-08 2007-09-13 Stmicroelectronics S.A. Cleaning of photolithography masks
US7927969B2 (en) * 2006-03-08 2011-04-19 Stmicroelectronics S.A. Cleaning of photolithography masks
US20090065024A1 (en) * 2007-08-09 2009-03-12 Leclaire Jeffrey E Apparatus and Method for Indirect Surface Cleaning
US8293019B2 (en) * 2007-08-09 2012-10-23 Rave, Llc Apparatus and method for indirect surface cleaning
US8613803B2 (en) 2007-08-09 2013-12-24 Rave, Llc Apparatus and method for indirect surface cleaning
US8741067B2 (en) 2007-08-09 2014-06-03 Rave, Llc Apparatus and method for indirect surface cleaning
US11311917B2 (en) 2007-08-09 2022-04-26 Bruker Nano, Inc. Apparatus and method for contamination identification
TWI403830B (en) * 2008-09-26 2013-08-01 Charm & Ci Co Ltd Apparatus and method for repairing a mask
TWI484053B (en) * 2013-07-08 2015-05-11 Everdisplay Optronics Shanghai Ltd Apparatus for removing organic compounds or stains from mask

Also Published As

Publication number Publication date
WO2004044964A1 (en) 2004-05-27
US6829035B2 (en) 2004-12-07
AU2003290871A1 (en) 2004-06-03
US20040090605A1 (en) 2004-05-13

Similar Documents

Publication Publication Date Title
US6829035B2 (en) Advanced mask cleaning and handling
US6566169B1 (en) Method and apparatus for local vectorial particle cleaning
US6949147B2 (en) In situ module for particle removal from solid-state surfaces
US11740563B2 (en) Mask cleaning
JP4564742B2 (en) Exposure apparatus and device manufacturing method
US6344115B1 (en) Pattern forming method using charged particle beam process and charged particle beam processing system
US20090219504A1 (en) Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US20080002164A1 (en) Apparatus and method for immersion lithography
JP2006222284A (en) Pattern forming method and manufacturing method for semiconductor device
JP2007194609A (en) Lithography device and method therefor
US20080264441A1 (en) Method for removing residuals from photomask
US6908567B2 (en) Contaminant removal by laser-accelerated fluid
US11143974B1 (en) Cleaning method and cleaning system for reticle pod
WO2004065027A1 (en) Iced film substrate cleaning
US7379151B2 (en) Exposure apparatus comprising cleaning apparatus for cleaning mask with laser beam
JP2007165699A (en) Particle removal method and particle removal device for electrostatic chuck, and exposure apparatus
US20040224508A1 (en) Apparatus and method for cleaning a substrate using a homogenized and non-polarized radiation beam
WO2003062806A1 (en) Inspection device using scanning electron microscope
US20060243300A1 (en) Method for cleaning lithographic apparatus
US20120154771A1 (en) Immersion multiple-exposure method and immersion exposure system for separately performing multiple exposure of micropatterns and non-micropatterns
EP1335801B1 (en) Apparatus and method for removing particles from solid-state surfaces
US20050109278A1 (en) Method to locally protect extreme ultraviolet multilayer blanks used for lithography
JPH1140478A (en) Electron beam projection aligner

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION