US20050106865A1 - Integration of ALD tantalum nitride for copper metallization - Google Patents

Integration of ALD tantalum nitride for copper metallization Download PDF

Info

Publication number
US20050106865A1
US20050106865A1 US10/865,042 US86504204A US2005106865A1 US 20050106865 A1 US20050106865 A1 US 20050106865A1 US 86504204 A US86504204 A US 86504204A US 2005106865 A1 US2005106865 A1 US 2005106865A1
Authority
US
United States
Prior art keywords
tantalum
layer
chamber
deposition
tantalum nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/865,042
Other versions
US7049226B2 (en
Inventor
Hua Chung
Nirmalya Maity
Jick Yu
Roderick Mosely
Mei Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/965,373 external-priority patent/US6936906B2/en
Priority claimed from US09/965,370 external-priority patent/US20030059538A1/en
Priority claimed from US09/965,369 external-priority patent/US20030057526A1/en
Priority claimed from US10/193,333 external-priority patent/US20030082307A1/en
Priority to US10/865,042 priority Critical patent/US7049226B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of US20050106865A1 publication Critical patent/US20050106865A1/en
Priority to US11/368,191 priority patent/US20060148253A1/en
Publication of US7049226B2 publication Critical patent/US7049226B2/en
Application granted granted Critical
Priority to US12/627,977 priority patent/US8324095B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Definitions

  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to a system and process of utilizing ALD tantalum nitride layer in the formation of metal interconnect structures.
  • Multilevel interconnect technology provides the conductive paths throughout an IC device, and are formed in high aspect ratio features including contacts, plugs, vias, lines, wires, and other features.
  • a typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature.
  • a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer.
  • the interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and the continued effort to increase circuit density and quality on individual substrates.
  • Copper is a choice metal for filling sub-micron high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum.
  • copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers. The diffused copper can form a conductive path between layers thereby reducing the reliability of the overall circuit and may even result in device failure.
  • barrier layers are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms.
  • Barrier layers typically are refractory metals such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
  • the barrier layer is typically deposited on the bottom of the feature as well as the sidewalls thereof. Adequate deposition of the barrier layer on sidewalls typically results in excess deposition on the bottom. The excess amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
  • One embodiment of the present invention provides a method of forming a metal interconnect on a semiconductor substrate, comprising cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition; depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C.
  • a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber; plasma etching the tantalum layer and the tantalum nitride in a fourth process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material; optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and depositing a seed layer over the conductive material and the tantalum layer in a fifth processing chamber, wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
  • An apparatus for forming a metal interconnect on a semiconductor substrate comprising a first processing chamber for cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to the first process chamber which contains the substrate, and contacting the features formed in the dielectric layer prior to a barrier layer deposition; a second process chamber for depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C.; a third process chamber for depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer; a fourth process chamber for plasma etching the tantalum layer and the tantalum nitride to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material and optionally depositing additional tantalum or copper by physical vapor
  • FIG. 1 illustrates one embodiment of a process of utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • FIG. 2 illustrates another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • FIG. 3A illustrates yet another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • FIG. 3B illustrates an embodiment of a process utilizing two ALD tantalum nitride deposition steps in the formation of metal interconnect structures.
  • FIG. 4 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform the interconnect fabrication sequence described herein.
  • FIG. 5 illustrates a typical pre-clean chamber.
  • FIG. 6 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin barrier layer according to a cyclical deposition technique.
  • FIG. 7 illustrates a schematic, partial cross section of an exemeplary processing chamber for forming a thin metal layer according to a physical vapor deposition technique.
  • FIG. 8 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin seed layer or adhesion layer.
  • FIGS. 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of FIG. 1 .
  • FIG. 10 is a schematic representation of an exemplary substrate structure at a stage in the process of FIG. 2 .
  • FIG. 11 is a schematic representation of an exemplary substrate structure at a stage in the process of FIG. 3A .
  • FIG. 12 compares cumulative probability as a function of time to failure for ALD tantanlum nitride with copper- aluminum seed and PVD barrier with copper seed.
  • FIG. 13 compares cumulative probability as a function of time to fail for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough, ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash.
  • FIG. 1 illustrates one embodiment of a process of utilizing ALD tantalum nitride deposition in the formation of metal interconnect structures.
  • preconditioning occurs to prepare the surface for additional modification.
  • the preconditioning options include nitrogen plasma, water plasma, hydrogen and helium plasma, low energy plasma, pre-flash with titanium or aluminum, or other precleaning process.
  • tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • a tantalum layer is deposited by physical vapor deposition over the tantalum nitride formed in step 102 .
  • a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 102 and to remove a portion of the tantalum deposited in step 104 .
  • an optional titanium flash step may be performed to deposit tantalum by physical vapor deposition over the resulting substrate structure of step 106 .
  • a seed layer is formed over the resulting substrate structure of step 106 or step 108 .
  • an optional adhesion layer deposition step 112 or copper or other deposition step 114 may occur.
  • FIG. 4 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform processes as disclosed herein.
  • a processing system 600 may be an EnduraTM system, commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • EnduraTM system commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • a similar multi-chamber processing system is disclosed in U.S. Pat. No. 5,186,718, entitled “Stage Vacuum Wafer Processing System and Method,” issued on Feb. 16, 1993, which is incorporated by reference herein.
  • the system 400 generally includes load lock chambers 402 and 404 for the transfer of substrates into and out from the system 400 .
  • the load lock chambers 402 and 404 may “pump down” the substrates introduced into the system 400 .
  • a first robot 410 may transfer the substrates between the load lock chambers 402 and 404 and a first set of one or more substrate processing chambers 412 , 414 , 416 , and 418 (four are shown).
  • Each processing chamber 412 , 414 , 416 , and 418 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, de-gas, orientation and other substrate processes.
  • the first robot 410 also transfers substrates to or from one or more transfer chambers 422 and 424 .
  • the transfer chambers 422 and 424 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 400 .
  • a second robot 430 may transfer the substrates between the transfer chambers 422 and 424 and a second set of one or more processing chambers 432 , 434 , 436 , and 438 .
  • the processing chambers 432 , 434 , 436 , and 438 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation. Any of the substrate processing chambers 412 , 414 , 416 , 418 , 432 , 434 , 436 , and 438 may be removed from the system 400 if not necessary for a particular process to be performed by the system 400 .
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical
  • the processing system includes one or more atomic layer deposition (ALD) chambers configured to deposit barrier layers and one or more PVD chambers configured to deposit seed layers.
  • ALD atomic layer deposition
  • one configuration of the processing system includes two ALD chambers configured to deposit barrier layers and two PVD chambers configured to deposit seed layers disposed in connection to the back-end central transfer chamber.
  • the processing chambers 434 and 436 may be a tantalum nitride ALD chamber
  • processing chamber 432 and 438 may be a copper or tantalum PVD chamber.
  • processing chamber 434 may be a tantalum nitride atomic layer deposition chamber to perform step 102 ; processing chamber 432 may be a tantalum physical vapor deposition chamber to perform steps 104 , 106 , and 108 ; and processing chamber 412 may be a copper physical vapor deposition chamber to perform step 110 and possibly an etch step.
  • chambers 436 , 438 , and 414 can mirror chambers 434 , 432 , and 412 respectively so that two sets of substrates may be processed in the integrated system.
  • the present invention provides a method for precleaning features on a semiconductor substrate to remove contaminants prior to metallization.
  • the method includes removal of silicon dioxide from the bottom of contacts without damaging the silicon, removal of aluminum oxide or copper oxide from the bottom of vias without redeposition of the metal onto sidewalls, removal of a thin layer of silicon from the bottom of contact holes, and removal of contaminants from the sidewalls of the features.
  • the invention provides a suitable method for precleaning vias, contacts, and other features etched into a dielectric layer, such as a silicon dioxide layer, which is deposited on a conductive or semi-conductive sublayer, such as Ge, Si, Al, Cu, or TiN sublayers.
  • a dielectric layer such as a silicon dioxide layer
  • a conductive or semi-conductive sublayer such as Ge, Si, Al, Cu, or TiN sublayers.
  • the feature typically exposes the sublayer so that the feature can be filled with a conductive or semi-conductive material which connects the sublayer and a subsequent metal interconnect layer to be deposited on the dielectric layer.
  • Etching of the features in the dielectric typically leaves contaminants which should be removed to improve filling of the features and ultimately improve the integrity and reliability of the devices formed.
  • the features can have damaged silicon or metal residues within the features from over-etching of the dielectric layer.
  • the features can also contain residual photoresist on the feature surfaces from the photoresist stripping or ashing process or residual polymer from the dielectric etch step.
  • the features may also contain redeposited material on the feature surfaces following a sputter etch preclean process. These contaminants can migrate into the dielectric layer or can interfere with the selectivity of metallization by promoting uneven distribution of the depositing metal. The presence of the contaminants also can increase the resistance of the deposited metal by substantially narrowing the width of the feature, creating a narrowed portion in the metal forming the via, contact line, or other conductive feature.
  • the precleaning method of the invention is especially useful for cleaning of submicron features having copper sublayers at the bottom of the features since copper is easily sputtered to the side walls in a conventional ICP or sputter etch based preclean chamber.
  • the sputtered copper diffuses into the dielectric material causing device failure.
  • the present invention cleans the via without sputtering of the base of the via.
  • the process for pre-cleaning the substrate 526 in the pre-clean chamber 510 may involve a reactive process or a sputter-etching process using the substrate 526 as the sputtering target.
  • the reactive pre-clean process (step 101 ) may be performed on the substrate by introducing helium or a pre-clean gas mixture comprising hydrogen (less than about 10%) and helium into the chamber and providing RF power (between about 300 W and about 600 W at about 1 to 4 MHz) to a plasma generation coil.
  • the substrate support may be biased between about 10 and 100 W.
  • the chamber pressure may be maintained between about 40 mTorr and 200 mTorr during the pre-clean process.
  • the reactive pre-clean process may be carried out for between about 30 seconds and 120 seconds.
  • the substrate is transferred to a chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
  • the reactive pre-clean process (step 101 ) is performed on the substrate by introducing a pre-clean gas mixture comprising 5% hydrogen and 95% helium into the chamber and providing RF power to a coil at about 450 W at about 2.0 MHz.
  • the substrate support is biased at about 1-200 W.
  • the chamber pressure is maintained at about 80 mTorr during the pre-clean process.
  • the reactive pre-clean process is carried out for about 60 seconds.
  • the substrate is transferred to a high density plasma physical vapor deposition chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
  • the precleaning process of the present invention is preferably conducted on a remote plasma source (RPS) chamber such as the Etch RPS chamber which is available from Applied Materials, Inc., Santa Clara, Calif.
  • RPS remote plasma source
  • reactive H radicals are formed by a remote plasma source and are introduced into the processing region as primarily neutral species, i.e., not having an electric charge and therefore not an ion, thereby preventing generation of self bias and bombardment of the wafer surface by ions.
  • RPS remote plasma source
  • “Atomic layer deposition” refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface.
  • the two or more compounds are sequentially introduced into a reaction zone of a processing chamber. Each compound is separated by a time delay or pause to allow each compound to adhere to or react on the substrate surface.
  • a first compound, compound A is dosed/pulsed into the reaction zone followed by a first time delay or pause.
  • a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay.
  • FIGS. 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of FIG. 1 .
  • FIG. 9A shows a dielectric layer 904 formed over one or more underlying layers 902 .
  • the dielectric layer 904 may be any dielectric material including a low k dielectric material (k ⁇ 4.0), whether presently known or yet to be discovered.
  • the dielectric layer 904 may be a silicon oxide or a carbon doped silicon oxide material.
  • the dielectric layer has been patterned and etched to form an aperture 905 using conventional and well-known techniques.
  • the aperture 905 may be used to form a plug, via, contact, line, worn, or any other interconnect component. As shown in FIG.
  • the aperture 905 may be used to form an interconnect component in a dual damascene structure.
  • the processes as disclosed herein may be used to particular advantage over an aperture 905 have a lower opening size 905 A of about 0.22 ⁇ m or less and having a lower aspect ratio 905 B of about 4:1 or greater, such as about 6:1.
  • the aperture 905 exposes at least a conductive portion 902 A of a part of a lower level metal interconnect feature, such as a plug, via, contact, line, wire, metal gate electrode, etc.
  • the conductive portion 902 A may comprise any conductive material, such as aluminum, copper, tungsten, or combinations. The process as disclosed herein may be performed to advantage over a conductive portion 902 A comprising copper, which will be discussed herein in greater detail.
  • FIG. 9B shows a tantalum nitride layer 912 conformally deposited by atomic layer deposition, such as after step 102 .
  • the tantalum nitride layer is deposited to a thickness of about 50 ⁇ or less, preferably between about 5 ⁇ and about 20 ⁇ .
  • One of the advantages of atomic layer deposition over other conventional deposition techniques such as physical vapor deposition and chemical vapor deposition for tantalum nitride is the ability to deposit a conformal layer of tantalum nitride over the small openings, high aspect ratio, and varied topography of apertures, such as aperture 905 , in the formation of interconnect structures.
  • the heater temperature of the substrate support is maintained at a low temperature between about 100° C. and 300° C.
  • the low deposition temperature helps provide a more conformal tantalum nitride layer.
  • Another of the advantages of the formation of an ALD tantalum nitride layer 912 over dielectric layer 904 is the good adhesion of the ALD tantalum nitride over dielectric materials.
  • the tantalum nitride layer deposited according to atomic layer deposition methods described herein shows evidence of an epitaxial growth phenomenon.
  • the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer.
  • a substantially single crystal is grown such that there is no void formation at an interface between the tantalum nitride layer and the underlying layer.
  • an additional tantalum layer deposited over the tantalum layer exhibits the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface.
  • the resulting structure resembling a single crystal eliminates void formation, thereby substantially increasing device reliability.
  • the single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
  • Tantalum nitride may be deposited by atomic layer deposition by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 sccm and about 3,000 sccm for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 sccm and about 3,000 sccm for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
  • Exemplary tantalum-containing compounds include: t-butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamiflo) tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET) t-butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3); bis( methylcYcloPentadieflYI) tantalum trihydride ((CpMe)2TaH3); derivatives thereof; and combinations thereof.
  • TBTDET t-butylimino tris(diethylamino) tantalum
  • PEMAT pentaki
  • the tantalum-containing compound comprises PDMAT.
  • nitrogen-containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; t-butylhydrazine; phenylhydrazine; azoisobutafle ethylazide; derivatives thereof; and combinations thereof.
  • the nitrogen-containing compound comprises ammonia.
  • these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature.
  • PDMAT is a solid at room temperature
  • TBTDET is a liquid at room temperature.
  • a carrier gas such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
  • a tantalum nitride layer is formed by atomic layer deposition by cyclically introducing PDMAT and ammonia to the substrate surface.
  • a carrier/inert gas such as argon is introduced into the processing chamber 600 to stabilize the pressure and temperature therein.
  • the carrier gas is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound.
  • a first pulse of PDMAT is provided from the gas source 613 at a flow rate between about 400 sccm and about 1000 sccm, with a pulse time of about 2.0 seconds or less after the chamber temperature and pressure have been stabilized at about 200° C. to about 300° and about 1 Torr to about 5 Torr.
  • a pulse of ammonia is then provided at a flow rate between about 500 sccm and about 3000 sccm, with a pulse time of about 2.0 seconds or less.
  • a pause between pulses of PDMAT and ammonia is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. In various aspects, a reduction in time between pulses at least provides higher throughput. As a result, a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • Argon gas flowing between about 1,000 sccm and about 10,000 sccm, such as between about 3,000 sccm and about 6,000 sccm, is continuously provided.
  • a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
  • the heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr.
  • Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 ⁇ and about 1.0 ⁇ per cycle.
  • the alternating sequence may be repeated until the desired thickness is achieved.
  • a “pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • a particular compound may include a single compound or a combination of two or more compounds.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto.
  • the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. Typically, the duration for each pulse/dose or “dose time” is typically about 1.0 second or less. However, a dose time can range from microseconds to milliseconds to seconds, and even to minutes. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb or chemisorb onto the entire surface of the substrate and form a layer of the compound thereon.
  • FIG. 6 illustrates a schematic, partial cross section of an exemplary processing chamber 600 for forming a barrier layer according to embodiments of the present invention.
  • a processing chamber 600 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description thereof follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition”, filed on Dec. 21, 2001, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • the processing chamber 600 may be integrated into an integrated processing platform, such as an EnduraTM platform also available from Applied Materials, Inc. Details of the EnduraTM platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • FIG. 6 is a schematic cross-sectional view of one embodiment of a substrate processing chamber 610 including one or more valve assemblies 600 mounted below a chamber body 620 of the substrate processing chamber 610 .
  • the valve assemblies 600 are coupled to gas lines 655 plumbed through the chamber body 620 .
  • the gas lines 655 are, in turn, coupled to gas conduits 650 to provide one or more gases into the chamber body 620 .
  • the valve assemblies may also be mounted to other substrate processing chambers and may be mounted to other chamber components.
  • each valve assembly 600 includes a valve body 610 and a diaphragm assembly 630 .
  • the valve body 610 includes a valve chamber 611 in fluid communication with three ports including a reactant inlet 612 , a purge inlet 614 , and an outlet 616 .
  • the reactant inlet 612 is in fluid communication with a reactant source 613 to supply a reactant through the valve chamber 611 , through the outlet 616 , through the gas line 655 , through the gas conduit 650 , and into the chamber body 620 .
  • the purge inlet 614 is in fluid communication with a purge gas source 615 and is adapted to supply a purge gas through the valve chamber 611 , through the outlet 616 , through the gas line 655 , through the gas conduit 650 , and into the chamber body 620 . If the substrate processing chamber 610 includes two or more valve assemblies 600 , the purge inlet 614 of each valve assembly 600 is preferably coupled to separate purge gas sources 615 . In other embodiments, the purge inlet 614 of each valve assembly 600 may be coupled to a common purge gas source.
  • an electronically controlled valve 652 such as a solenoid valve, may be mounted to the diaphragm assembly 630 to selectively provide a pressurized gas from a pressurized gas supply 650 , such as air or other gas, coupled to the electronically controlled valve 652 through a gas line 651 .
  • Programmable logic controllers PLC
  • PLC Programmable logic controllers
  • the programmable logic controllers are in turn coupled to a main controller which controls the programmable logic controller.
  • an electronically controlled valve provides pressurized gas to the diaphragm assembly 630
  • the valve assembly 600 is a pneumatically actuated valve.
  • argon is used as the carrier gas at a flow rate 500 sccm, ammonia enters the chamber at a flow rate of 1500 sccm, and the argon purge flow is at a flow rate 8000 sccm.
  • the substrate may be treated with a plasma, seed layer deposition, or adhesion layer deposition before the bulk metal deposition step.
  • the plasma treatment may comprise argon, nitrogen, or hydrogen plasma.
  • the seed layer deposition may comprise copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, aluminum, another metal, or combinations of metals. Methods of deposition include ALD, CVD, PVD, electroplating or electroless plating.
  • the adhesion layer may comprise rubidium, tantalum, titanium, aluminum, or tungsten.
  • FIG. 9C shows a tantalum layer 922 deposited over the tantalum nitride layer 912 , such as after step 104 .
  • the tantalum layer 922 and the tantalum nitride layer 912 together make up barrier layer 924 .
  • the tantalum layer 922 provides good adhesion with the seed layer 942 ( FIG. 9F ).
  • tantalum nitride 912 and tantalum 922 have good adhesion with each other.
  • the temperature of the substrate support is unheated (i.e. room temperature).
  • a RF substrate bias of between about 100 Watts and about 1000 Watts may be provided to the substrate support during deposition of the tantalum layer 922 .
  • the DC power supplied to the ALD deposition may be 40 kW with an RF power of 2 kW.
  • the tantalum layer is deposited to a thickness of about 75 ⁇ or less, preferably between about 40 ⁇ and about 60 ⁇ .
  • the conformal ALD tantalum nitride layer 912 helps cause growth of low resistivity alpha-phase tantalum at least over portions thereover, such as on the bottom of the aperture or over field areas, during physical vapor deposition. It is also believed that the wafer bias during physical vapor deposition helps in the formation of low resistivity alpha-phase tantalum
  • FIG. 9D shows a punch through step performed to remove at least a portion of tantalum nitride and tantalum at the bottom of the aperture 905 .
  • etch step is performed to remove tantalum nitride and tantalum at the bottom of the aperture 905 to reveal conductive portion 902 A of the underlying layer 902 .
  • the tantalum nitride and tantalum remaining at the sidewalls prevent copper diffusion of the sputtered conductive material, such as copper, from a conductive portion 902 A, such as a copper conductive portion, into the dielectric layer 904 .
  • the punch-through step also removes an oxide formation, residues (such as patterning residues), and other contaminants which may have formed over the conductive portion 902 A.
  • the etch preferably comprises an argon plasma etch.
  • a directional argon plasma etch is used to ensure that the plasma etch will reach the bottom of the aperture 905 .
  • the conditions for the etch are dependent on the design parameters of the chamber and the substrate support.
  • RF wafer bias is between about 100 Watts and about 1000 Watts and is performed for a time period of between about 1 second and about 20 seconds depending on the desired thickness of the tantalum nitride and tantalum to be removed.
  • the DC power supplied to the system is 0 W.
  • the RF power is 2000 W
  • the DC coil has a power of 800 W
  • the wafer bias is 600 W.
  • Argon is used as the carrier gas.
  • FIG. 9E shows an optional tantalum physical vapor deposition flash step. It is intended that the tantalum physical vapor deposition flash step deposits tantalum at the bevel corners 932 to help build up tantalum at the bevel corners 932 which may have been etched during the punch-through step.
  • the tantalum flash step is preferably performed with a low wafer bias to reduce tantalum deposition at the bottom of the aperture 905 .
  • FIG. 9F shows a seed layer 942 deposited over the substrate structure of FIG. 9D or FIG. 9E .
  • the seed layer 942 may comprise a copper seed layer, a copper alloy seed layer, another metal seed layer, and combinations thereof.
  • the seed layer 942 comprises a copper seed layer, a copper alloy seed layer, or combinations thereof
  • the punch-through step reduces or removes the thickness of tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905 , the resistance of the interconnect structure is reduced.
  • a copper-to-copper interface may be provided between the seed layer 942 comprising copper and a conductive portion 902 A comprising copper.
  • the punch-through step reduces or removes the tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905 a thicker tantalum nitride layer 912 may be initially deposited. For these reasons and other reasons discussed herein, device performance and reliability are improved.
  • PVD chamber such as an ionized metal plasma (IMP) PVD chamber.
  • IMP PVD chambers include a Self-Ionized Plasma 51pTM chamber or an EnCoReTM Ta chamber, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the punch-through step 106 may be performed in a suitable plasma-processing chamber.
  • the tantalum physical vapor deposition step 108 may be performed in a suitable PVD chamber. For through-put and particle generation concerns, steps 104 - 108 are preferably performed in the same processing chamber.
  • a seed layer is at least partially deposited on the barrier layer, as shown at step 110 .
  • the seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating electroless plating
  • the seed layer may be deposited in an IMP PVD chamber.
  • the seed layer is a conventional copper seed layer.
  • the seed layer is a copper alloy seed layer.
  • the seed layer is a multi-layer seed layer of the same or different metals and alloys
  • FIG. 2 illustrates another embodiment of a process of utilizing ALD tantalum layer in one stage in the formation of metal interconnect structures.
  • step 202 tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • step 204 a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 202 .
  • step 206 an adhesion layer, such as a tantalum layer or a suitable metal, is deposited over the resulting substrate structure of step 204 .
  • a seed layer is formed over the adhesion layer. All or a partial number of steps 202 through 208 may be performed on an integrated processing system, such as the system of FIG. 4 .
  • FIG. 10 is a schematic representation of an example of a resulting substrate structure after step 208 of FIG. 2 .
  • the tantalum nitride layer 1001 is deposited in step 202 to a thickness of about 50 A or less, preferably between about 5 A and about 30 A.
  • the tantalum nitride layer 1001 protects the sidewalls of the dielectric layer 1002 from sputter conductive material 1004 , such as copper, from a conductive portion of an underlying layer 1003 below the dielectric layer 1002 .
  • the punch-through step is performed for preferably about 10 seconds or less.
  • One advantage of the present process is that the punch-through step need only remove a portion of the tantalum nitride in order to expose a conductive portion of the underlying layer.
  • FIG. 3A illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • the tantalum nitride layer is exposed to a non-nitrogen plasma.
  • the non-nitrogen plasma comprises a noble gas, such as argon.
  • the non-nitrogen plasma may further include hydrogen or other non-nitrogen gases.
  • steps 302 and 304 may be repeated.
  • a non-nitrogen plasma treatment may be performed after a number cycles, for example after every 20 cycles, until a desired amount of tantalum nitride has been deposited. Multiple non-nitrogen plasma treatments help to treat the tantalum nitride at the bottom of the aperture.
  • an optional tantalum layer may be deposited over the plasma-treated tantalum nitride layer.
  • a seed layer is formed over the resultant substrate structure of step 304 or 306 . All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of FIG. 4 .
  • FIG. 11 is a schematic representation of an example of a resulting substrate structure after step 308 of FIG. 3A .
  • the non-nitrogen plasma treatment reduces the nitrogen content of the ALD tantalum nitride layer 1101 . Since the nitrogen content of the ALD tantalum nitride layer 1101 is reduced, the resistivity of thereof is reduced and thus the contact resistance is reduced.
  • FIG. 3B illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • tantalum nitride is deposited by atomic layer deposition over a substrate structure.
  • the tantalum nitride layer is exposed to a punch through step.
  • An additional tantalum nitride layer is deposited in step 305 A.
  • an optional tantalum layer may be deposited over the plasma-treated tantalum nitride layer.
  • a seed layer is formed over the resultant substrate structure of step 304 or 306 . All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of FIG. 4 .
  • FIG. 12 illustrates the cumulative probability as a function of time to failure for ALD tantalum nitride barrier with a copper aluminum seed and for a PVD barrier with a copper seed. The lifetime distribution is improved with the copper aluminum alloy seed used with an ALD tantalum nitride barrier.
  • FIG. 13 compares cumulative probability as a function of time to failure for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash.
  • the temperature was 350° C.
  • Flow was proportional to ( ⁇ m) via/link size.
  • Old punchthrough had DC power less than 150 W and a wafer bias of 600 W.
  • New punchthrough had DC power of 3000 W and a wafer bias of 800 W. This dramatic increase in time to failure illustrates the desirable properties of the improvement.
  • a bulk metal layer is at least partially deposited on the seed layer.
  • the metal layer may also be deposited using any conventional deposition technique, such as electroplating, electroless plating, chemical vapor deposition (CVD), or physical vapor deposition (PVD).
  • the metal layer preferably includes any conductive material such as copper, aluminum, tungsten, or combinations thereof.
  • the metal layer preferably comprises a bulk copper layer.
  • the bulk copper layer is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • an electroplating cell such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • a copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein.
  • the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75.
  • the electroplating bath may also contain various additives as is well known in the art.
  • the temperature of the bath is between about 15° C. and about 250°.
  • the bias is between about ⁇ 15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts
  • an anneal treatment may be performed following the metal layer deposition.
  • the wafer may be subjected to a temperature between about 100° C. and about 400° C. for between about 1 minute to about I hour.
  • a carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm.
  • the chamber pressure is maintained between about 2 Torr and about 10 Torr.
  • the top portion of the resulting structure may be planarized.
  • a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, Calif., for example.
  • CMP chemical mechanical polishing
  • the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.

Abstract

A method and apparatus for depositing a tantalum nitride barrier layer is provided for use in an integrated processing tool. The tantalum nitride is deposited by atomic layer deposition. The tantalum nitride is removed from the bottom of features in dielectric layers to reveal the conductive material under the deposited tantalum nitride. Optionally, a tantalum layer may be deposited by physical vapor deposition after the tantalum nitride deposition. Optionally, the tantalum nitride deposition and the tantalum deposition may occur in the same processing chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent application Ser. No. 60/478,663, filed Jun. 13, 2003, and titled, “Integration of ALD Tantalum Nitride for Copper Metallization.” This application is a continuation in part of U.S. patent application Ser. No. 10/193,333, filed Jul. 10, 2002, which claims the benefit of U.S. Provisional Patent Application Ser. No. 60/346,086, filed on Oct. 26, 2001, and is a continuation-in-part of U.S. patent application Ser. No. 09/965,370, filed on Sep. 26, 2001, U.S. patent application Ser. No. 09/965,373, filed on Sep. 26, 2001 and U.S. patent application Ser. No. 09/965,369, filed on Sep. 26, 2001, which are incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to a system and process of utilizing ALD tantalum nitride layer in the formation of metal interconnect structures.
  • 2. Description of the Related Art
  • As the structure size of integrated circuit (IC) devices is scaled down to sub-quarter micron dimensions, electrical resistance and current densities have become an area for concern and improvement. Multilevel interconnect technology provides the conductive paths throughout an IC device, and are formed in high aspect ratio features including contacts, plugs, vias, lines, wires, and other features. A typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature. Typically, a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer. The interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and the continued effort to increase circuit density and quality on individual substrates.
  • Copper is a choice metal for filling sub-micron high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum. However, copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers. The diffused copper can form a conductive path between layers thereby reducing the reliability of the overall circuit and may even result in device failure. Hence, barrier layers are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms. Barrier layers typically are refractory metals such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
  • To deposit a barrier layer within a feature, the barrier layer is typically deposited on the bottom of the feature as well as the sidewalls thereof. Adequate deposition of the barrier layer on sidewalls typically results in excess deposition on the bottom. The excess amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
  • There is a need, therefore, for an improved method for forming metal interconnect structures which minimizes the electrical resistance of the interconnect.
  • SUMMARY OF THE INVENTION
  • One embodiment of the present invention provides a method of forming a metal interconnect on a semiconductor substrate, comprising cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition; depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C. in a second process chamber; depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber; plasma etching the tantalum layer and the tantalum nitride in a fourth process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material; optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and depositing a seed layer over the conductive material and the tantalum layer in a fifth processing chamber, wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
  • An apparatus for forming a metal interconnect on a semiconductor substrate, comprising a first processing chamber for cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to the first process chamber which contains the substrate, and contacting the features formed in the dielectric layer prior to a barrier layer deposition; a second process chamber for depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C.; a third process chamber for depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer; a fourth process chamber for plasma etching the tantalum layer and the tantalum nitride to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material and optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and a fifth processing chamber for depositing a seed layer over the conductive material and the tantalum layer, wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 illustrates one embodiment of a process of utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • FIG. 2 illustrates another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • FIG. 3A illustrates yet another embodiment of a process utilizing an ALD tantalum nitride layer in one stage in the formation of metal interconnect structures.
  • FIG. 3B illustrates an embodiment of a process utilizing two ALD tantalum nitride deposition steps in the formation of metal interconnect structures.
  • FIG. 4 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform the interconnect fabrication sequence described herein.
  • FIG. 5 illustrates a typical pre-clean chamber.
  • FIG. 6 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin barrier layer according to a cyclical deposition technique.
  • FIG. 7 illustrates a schematic, partial cross section of an exemeplary processing chamber for forming a thin metal layer according to a physical vapor deposition technique.
  • FIG. 8 illustrates a schematic, partial cross section of an exemplary processing chamber for forming a thin seed layer or adhesion layer.
  • FIGS. 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of FIG. 1.
  • FIG. 10 is a schematic representation of an exemplary substrate structure at a stage in the process of FIG. 2.
  • FIG. 11 is a schematic representation of an exemplary substrate structure at a stage in the process of FIG. 3A.
  • FIG. 12 compares cumulative probability as a function of time to failure for ALD tantanlum nitride with copper- aluminum seed and PVD barrier with copper seed.
  • FIG. 13 compares cumulative probability as a function of time to fail for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough, ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Barrier Deposition Process
  • FIG. 1 illustrates one embodiment of a process of utilizing ALD tantalum nitride deposition in the formation of metal interconnect structures. In step 101, preconditioning occurs to prepare the surface for additional modification. The preconditioning options include nitrogen plasma, water plasma, hydrogen and helium plasma, low energy plasma, pre-flash with titanium or aluminum, or other precleaning process. In step 102, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 104, a tantalum layer is deposited by physical vapor deposition over the tantalum nitride formed in step 102. In step 106, a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 102 and to remove a portion of the tantalum deposited in step 104. In step 108, an optional titanium flash step may be performed to deposit tantalum by physical vapor deposition over the resulting substrate structure of step 106. In step 110, a seed layer is formed over the resulting substrate structure of step 106 or step 108. After step 110, an optional adhesion layer deposition step 112 or copper or other deposition step 114 may occur.
  • Deposition Apparatus
  • FIG. 4 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform processes as disclosed herein. Such a processing system 600 may be an EnduraTM system, commercially available from Applied Materials, Inc., of Santa Clara, Calif. A similar multi-chamber processing system is disclosed in U.S. Pat. No. 5,186,718, entitled “Stage Vacuum Wafer Processing System and Method,” issued on Feb. 16, 1993, which is incorporated by reference herein.
  • The system 400 generally includes load lock chambers 402 and 404 for the transfer of substrates into and out from the system 400. Typically, since the system 400 is under vacuum, the load lock chambers 402 and 404 may “pump down” the substrates introduced into the system 400. A first robot 410 may transfer the substrates between the load lock chambers 402 and 404 and a first set of one or more substrate processing chambers 412, 414, 416, and 418 (four are shown). Each processing chamber 412, 414, 416, and 418 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, de-gas, orientation and other substrate processes. The first robot 410 also transfers substrates to or from one or more transfer chambers 422 and 424.
  • The transfer chambers 422 and 424 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 400. A second robot 430 may transfer the substrates between the transfer chambers 422 and 424 and a second set of one or more processing chambers 432, 434, 436, and 438. Similar to processing chambers 412, 414, 416, and 418, the processing chambers 432, 434, 436, and 438 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation. Any of the substrate processing chambers 412, 414, 416, 418, 432, 434, 436, and 438 may be removed from the system 400 if not necessary for a particular process to be performed by the system 400.
  • Referring to FIG. 4, the processing system includes one or more atomic layer deposition (ALD) chambers configured to deposit barrier layers and one or more PVD chambers configured to deposit seed layers. To enhance efficiency and throughput of the system, one configuration of the processing system includes two ALD chambers configured to deposit barrier layers and two PVD chambers configured to deposit seed layers disposed in connection to the back-end central transfer chamber. In one configuration, the processing chambers 434 and 436 may be a tantalum nitride ALD chamber, processing chamber 432 and 438 may be a copper or tantalum PVD chamber.
  • In an additional configuration to perform the method of FIG. 1, processing chamber 434 may be a tantalum nitride atomic layer deposition chamber to perform step 102; processing chamber 432 may be a tantalum physical vapor deposition chamber to perform steps 104, 106, and 108; and processing chamber 412 may be a copper physical vapor deposition chamber to perform step 110 and possibly an etch step. In another example, chambers 436, 438, and 414 can mirror chambers 434, 432, and 412 respectively so that two sets of substrates may be processed in the integrated system. These particular arrangements of the system 400 are provided to illustrate the invention and should not be used to limit the scope of the invention unless specifically set forth in the claims.
  • The Precleaning Process
  • The present invention provides a method for precleaning features on a semiconductor substrate to remove contaminants prior to metallization. The method includes removal of silicon dioxide from the bottom of contacts without damaging the silicon, removal of aluminum oxide or copper oxide from the bottom of vias without redeposition of the metal onto sidewalls, removal of a thin layer of silicon from the bottom of contact holes, and removal of contaminants from the sidewalls of the features.
  • The invention provides a suitable method for precleaning vias, contacts, and other features etched into a dielectric layer, such as a silicon dioxide layer, which is deposited on a conductive or semi-conductive sublayer, such as Ge, Si, Al, Cu, or TiN sublayers. The feature typically exposes the sublayer so that the feature can be filled with a conductive or semi-conductive material which connects the sublayer and a subsequent metal interconnect layer to be deposited on the dielectric layer. Etching of the features in the dielectric typically leaves contaminants which should be removed to improve filling of the features and ultimately improve the integrity and reliability of the devices formed.
  • After etching of the dielectric layer, the features can have damaged silicon or metal residues within the features from over-etching of the dielectric layer. The features can also contain residual photoresist on the feature surfaces from the photoresist stripping or ashing process or residual polymer from the dielectric etch step. The features may also contain redeposited material on the feature surfaces following a sputter etch preclean process. These contaminants can migrate into the dielectric layer or can interfere with the selectivity of metallization by promoting uneven distribution of the depositing metal. The presence of the contaminants also can increase the resistance of the deposited metal by substantially narrowing the width of the feature, creating a narrowed portion in the metal forming the via, contact line, or other conductive feature.
  • The precleaning method of the invention is especially useful for cleaning of submicron features having copper sublayers at the bottom of the features since copper is easily sputtered to the side walls in a conventional ICP or sputter etch based preclean chamber. The sputtered copper diffuses into the dielectric material causing device failure. The present invention cleans the via without sputtering of the base of the via.
  • Referring to FIG. 5, the process for pre-cleaning the substrate 526 in the pre-clean chamber 510 may involve a reactive process or a sputter-etching process using the substrate 526 as the sputtering target. Generally, the reactive pre-clean process (step 101) may be performed on the substrate by introducing helium or a pre-clean gas mixture comprising hydrogen (less than about 10%) and helium into the chamber and providing RF power (between about 300 W and about 600 W at about 1 to 4 MHz) to a plasma generation coil. The substrate support may be biased between about 10 and 100 W. The chamber pressure may be maintained between about 40 mTorr and 200 mTorr during the pre-clean process. The reactive pre-clean process may be carried out for between about 30 seconds and 120 seconds. After the pre-clean process, the substrate is transferred to a chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
  • In one embodiment of the invention, the reactive pre-clean process (step 101) is performed on the substrate by introducing a pre-clean gas mixture comprising 5% hydrogen and 95% helium into the chamber and providing RF power to a coil at about 450 W at about 2.0 MHz. The substrate support is biased at about 1-200 W. The chamber pressure is maintained at about 80 mTorr during the pre-clean process. The reactive pre-clean process is carried out for about 60 seconds. After the pre-clean process, the substrate is transferred to a high density plasma physical vapor deposition chamber for deposition of a barrier layer and a seed layer over the surfaces of the substrate.
  • A Preferred Precleaning Apparatus
  • The precleaning process of the present invention is preferably conducted on a remote plasma source (RPS) chamber such as the Etch RPS chamber which is available from Applied Materials, Inc., Santa Clara, Calif. In a RPS chamber, reactive H radicals are formed by a remote plasma source and are introduced into the processing region as primarily neutral species, i.e., not having an electric charge and therefore not an ion, thereby preventing generation of self bias and bombardment of the wafer surface by ions. Experiments with RPS chambers show that a 2.45 GHz microwave source is more efficient and can generate more hydrogen radicals than lower frequency RF sources.
  • Barrier Layer Deposition
  • “Atomic layer deposition” as used herein refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface. The two or more compounds are sequentially introduced into a reaction zone of a processing chamber. Each compound is separated by a time delay or pause to allow each compound to adhere to or react on the substrate surface. In one aspect, a first compound, compound A, is dosed/pulsed into the reaction zone followed by a first time delay or pause. Next, a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay. These sequential tandems of a pulse of reactive compound followed by a time delay may be repeated indefinitely until a desired film or film thickness is formed on the substrate surface
  • FIGS. 9A-9F are schematic representations of an exemplary substrate structure at various stages in the process of FIG. 1. FIG. 9A shows a dielectric layer 904 formed over one or more underlying layers 902. The dielectric layer 904 may be any dielectric material including a low k dielectric material (k<4.0), whether presently known or yet to be discovered. For example, the dielectric layer 904 may be a silicon oxide or a carbon doped silicon oxide material. The dielectric layer has been patterned and etched to form an aperture 905 using conventional and well-known techniques. The aperture 905 may be used to form a plug, via, contact, line, wore, or any other interconnect component. As shown in FIG. 2A, the aperture 905 may be used to form an interconnect component in a dual damascene structure. The processes as disclosed herein may be used to particular advantage over an aperture 905 have a lower opening size 905A of about 0.22 μm or less and having a lower aspect ratio 905B of about 4:1 or greater, such as about 6:1.
  • The aperture 905 exposes at least a conductive portion 902A of a part of a lower level metal interconnect feature, such as a plug, via, contact, line, wire, metal gate electrode, etc. The conductive portion 902A may comprise any conductive material, such as aluminum, copper, tungsten, or combinations. The process as disclosed herein may be performed to advantage over a conductive portion 902A comprising copper, which will be discussed herein in greater detail.
  • FIG. 9B shows a tantalum nitride layer 912 conformally deposited by atomic layer deposition, such as after step 102. The tantalum nitride layer is deposited to a thickness of about 50 Å or less, preferably between about 5 Å and about 20 Å. One of the advantages of atomic layer deposition over other conventional deposition techniques such as physical vapor deposition and chemical vapor deposition for tantalum nitride is the ability to deposit a conformal layer of tantalum nitride over the small openings, high aspect ratio, and varied topography of apertures, such as aperture 905, in the formation of interconnect structures. The heater temperature of the substrate support is maintained at a low temperature between about 100° C. and 300° C. In one aspect, it is believed that the low deposition temperature helps provide a more conformal tantalum nitride layer. Another of the advantages of the formation of an ALD tantalum nitride layer 912 over dielectric layer 904 is the good adhesion of the ALD tantalum nitride over dielectric materials.
  • The tantalum nitride layer deposited according to atomic layer deposition methods described herein shows evidence of an epitaxial growth phenomenon. In other words, the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer. As a result, a substantially single crystal is grown such that there is no void formation at an interface between the tantalum nitride layer and the underlying layer. Likewise, an additional tantalum layer deposited over the tantalum layer exhibits the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface. The resulting structure resembling a single crystal eliminates void formation, thereby substantially increasing device reliability. The single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
  • Tantalum nitride may be deposited by atomic layer deposition by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 sccm and about 3,000 sccm for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 sccm and about 3,000 sccm for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
  • Exemplary tantalum-containing compounds include: t-butylimino tris(diethylamino) tantalum (TBTDET); pentakis (ethylmethylamiflo) tantalum (PEMAT); pentakis (dimethylamino) tantalum (PDMAT); pentakis (diethylamino) tantalum (PDEAT); t-butylimino tris(diethyl methylamino) tantalum(TBTMET) t-butylimino tris(dimethyl amino) tantalum (TBTDMT); bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3); bis( methylcYcloPentadieflYI) tantalum trihydride ((CpMe)2TaH3); derivatives thereof; and combinations thereof. Preferably, the tantalum-containing compound comprises PDMAT. Exemplary nitrogen-containing compounds include: ammonia; hydrazine; methylhydrazine; dimethylhydrazine; t-butylhydrazine; phenylhydrazine; azoisobutafle ethylazide; derivatives thereof; and combinations thereof. Preferably, the nitrogen-containing compound comprises ammonia.
  • It is to be understood that these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature. For example, PDMAT is a solid at room temperature and TBTDET is a liquid at room temperature. Accordingly, the non-gas phase precursors are subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber. A carrier gas, such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
  • In a particular embodiment, a tantalum nitride layer is formed by atomic layer deposition by cyclically introducing PDMAT and ammonia to the substrate surface. To initiate the deposition of the tantalum nitride layer, a carrier/inert gas such as argon is introduced into the processing chamber 600 to stabilize the pressure and temperature therein. The carrier gas is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound. A first pulse of PDMAT is provided from the gas source 613 at a flow rate between about 400 sccm and about 1000 sccm, with a pulse time of about 2.0 seconds or less after the chamber temperature and pressure have been stabilized at about 200° C. to about 300° and about 1 Torr to about 5 Torr. A pulse of ammonia is then provided at a flow rate between about 500 sccm and about 3000 sccm, with a pulse time of about 2.0 seconds or less.
  • A pause between pulses of PDMAT and ammonia is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. In various aspects, a reduction in time between pulses at least provides higher throughput. As a result, a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. Argon gas flowing between about 1,000 sccm and about 10,000 sccm, such as between about 3,000 sccm and about 6,000 sccm, is continuously provided. In one aspect, a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
  • The heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 Å and about 1.0 Å per cycle. The alternating sequence may be repeated until the desired thickness is achieved.
  • A “pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. A particular compound may include a single compound or a combination of two or more compounds. The durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. Typically, the duration for each pulse/dose or “dose time” is typically about 1.0 second or less. However, a dose time can range from microseconds to milliseconds to seconds, and even to minutes. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb or chemisorb onto the entire surface of the substrate and form a layer of the compound thereon.
  • A Preferred Atomic Layer Deposition Apparatus
  • FIG. 6 illustrates a schematic, partial cross section of an exemplary processing chamber 600 for forming a barrier layer according to embodiments of the present invention. Such a processing chamber 600 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description thereof follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition”, filed on Dec. 21, 2001, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • The processing chamber 600 may be integrated into an integrated processing platform, such as an EnduraTM platform also available from Applied Materials, Inc. Details of the EnduraTM platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • FIG. 6 is a schematic cross-sectional view of one embodiment of a substrate processing chamber 610 including one or more valve assemblies 600 mounted below a chamber body 620 of the substrate processing chamber 610. The valve assemblies 600 are coupled to gas lines 655 plumbed through the chamber body 620. The gas lines 655 are, in turn, coupled to gas conduits 650 to provide one or more gases into the chamber body 620. The valve assemblies may also be mounted to other substrate processing chambers and may be mounted to other chamber components.
  • Referring to FIG. 6, each valve assembly 600 includes a valve body 610 and a diaphragm assembly 630. The valve body 610 includes a valve chamber 611 in fluid communication with three ports including a reactant inlet 612, a purge inlet 614, and an outlet 616. The reactant inlet 612 is in fluid communication with a reactant source 613 to supply a reactant through the valve chamber 611, through the outlet 616, through the gas line 655, through the gas conduit 650, and into the chamber body 620. The purge inlet 614 is in fluid communication with a purge gas source 615 and is adapted to supply a purge gas through the valve chamber 611, through the outlet 616, through the gas line 655, through the gas conduit 650, and into the chamber body 620. If the substrate processing chamber 610 includes two or more valve assemblies 600, the purge inlet 614 of each valve assembly 600 is preferably coupled to separate purge gas sources 615. In other embodiments, the purge inlet 614 of each valve assembly 600 may be coupled to a common purge gas source.
  • Referring to FIG. 6, an electronically controlled valve 652, such as a solenoid valve, may be mounted to the diaphragm assembly 630 to selectively provide a pressurized gas from a pressurized gas supply 650, such as air or other gas, coupled to the electronically controlled valve 652 through a gas line 651. Programmable logic controllers (PLC) are coupled to the electronically controlled valves 652 to control electrical signals to the electronically controlled valve 652. The programmable logic controllers are in turn coupled to a main controller which controls the programmable logic controller. Although an electronically controlled valve provides pressurized gas to the diaphragm assembly 630, the valve assembly 600 is a pneumatically actuated valve.
  • In one embodiment, argon is used as the carrier gas at a flow rate 500 sccm, ammonia enters the chamber at a flow rate of 1500 sccm, and the argon purge flow is at a flow rate 8000 sccm.
  • Post-Deposition Treatment Options
  • After the dielectric deposition, the substrate may be treated with a plasma, seed layer deposition, or adhesion layer deposition before the bulk metal deposition step. The plasma treatment may comprise argon, nitrogen, or hydrogen plasma. The seed layer deposition may comprise copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, aluminum, another metal, or combinations of metals. Methods of deposition include ALD, CVD, PVD, electroplating or electroless plating. The adhesion layer may comprise rubidium, tantalum, titanium, aluminum, or tungsten.
  • Optional Tantalum Layer
  • FIG. 9C shows a tantalum layer 922 deposited over the tantalum nitride layer 912, such as after step 104. The tantalum layer 922 and the tantalum nitride layer 912 together make up barrier layer 924. In one aspect, the tantalum layer 922 provides good adhesion with the seed layer 942 (FIG. 9F). In another aspect tantalum nitride 912 and tantalum 922 have good adhesion with each other. In one embodiment, the temperature of the substrate support is unheated (i.e. room temperature). In one embodiment, a RF substrate bias of between about 100 Watts and about 1000 Watts may be provided to the substrate support during deposition of the tantalum layer 922. The DC power supplied to the ALD deposition may be 40 kW with an RF power of 2 kW. The tantalum layer is deposited to a thickness of about 75 Å or less, preferably between about 40 Å and about 60 Å.
  • Not wishing to be bound by theory unless explicitly set forth in the claims, it is believed that the conformal ALD tantalum nitride layer 912 helps cause growth of low resistivity alpha-phase tantalum at least over portions thereover, such as on the bottom of the aperture or over field areas, during physical vapor deposition. It is also believed that the wafer bias during physical vapor deposition helps in the formation of low resistivity alpha-phase tantalum
  • Punch-Through
  • FIG. 9D shows a punch through step performed to remove at least a portion of tantalum nitride and tantalum at the bottom of the aperture 905. Preferably, etch step is performed to remove tantalum nitride and tantalum at the bottom of the aperture 905 to reveal conductive portion 902A of the underlying layer 902. In one aspect, the tantalum nitride and tantalum remaining at the sidewalls prevent copper diffusion of the sputtered conductive material, such as copper, from a conductive portion 902A, such as a copper conductive portion, into the dielectric layer 904. The punch-through step also removes an oxide formation, residues (such as patterning residues), and other contaminants which may have formed over the conductive portion 902A.
  • The etch preferably comprises an argon plasma etch. A directional argon plasma etch is used to ensure that the plasma etch will reach the bottom of the aperture 905. The conditions for the etch are dependent on the design parameters of the chamber and the substrate support. RF wafer bias is between about 100 Watts and about 1000 Watts and is performed for a time period of between about 1 second and about 20 seconds depending on the desired thickness of the tantalum nitride and tantalum to be removed.
  • In one embodiment, the DC power supplied to the system is 0 W. The The RF power is 2000 W, the DC coil has a power of 800 W, and the wafer bias is 600 W. Argon is used as the carrier gas.
  • Optional Tantalum Flash
  • FIG. 9E shows an optional tantalum physical vapor deposition flash step. It is intended that the tantalum physical vapor deposition flash step deposits tantalum at the bevel corners 932 to help build up tantalum at the bevel corners 932 which may have been etched during the punch-through step. The tantalum flash step is preferably performed with a low wafer bias to reduce tantalum deposition at the bottom of the aperture 905.
  • Optional Seed Layer
  • FIG. 9F shows a seed layer 942 deposited over the substrate structure of FIG. 9D or FIG. 9E. The seed layer 942 may comprise a copper seed layer, a copper alloy seed layer, another metal seed layer, and combinations thereof. Preferably, the seed layer 942 comprises a copper seed layer, a copper alloy seed layer, or combinations thereof
  • Because the punch-through step reduces or removes the thickness of tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905, the resistance of the interconnect structure is reduced. In one embodiment, a copper-to-copper interface may be provided between the seed layer 942 comprising copper and a conductive portion 902A comprising copper. In addition, because the punch-through step reduces or removes the tantalum nitride layer 912 and tantalum layer 922 at the bottom of the aperture 905 a thicker tantalum nitride layer 912 may be initially deposited. For these reasons and other reasons discussed herein, device performance and reliability are improved.
  • Referring to FIG. 1, physical vapor deposition of tantalum of step 104 is performed in a PVD chamber, such as an ionized metal plasma (IMP) PVD chamber. Examples of IMP PVD chambers include a Self-Ionized Plasma 51pTM chamber or an EnCoReTM Ta chamber, available from Applied Materials, Inc. of Santa Clara, Calif. The punch-through step 106 may be performed in a suitable plasma-processing chamber. The tantalum physical vapor deposition step 108 may be performed in a suitable PVD chamber. For through-put and particle generation concerns, steps 104-108 are preferably performed in the same processing chamber.
  • Continuing to refer to FIG. 1, a seed layer is at least partially deposited on the barrier layer, as shown at step 110. The seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating. For example, the seed layer may be deposited in an IMP PVD chamber. In one aspect, the seed layer is a conventional copper seed layer. In another aspect, the seed layer is a copper alloy seed layer. In still another aspect, the seed layer is a multi-layer seed layer of the same or different metals and alloys
  • Alternative Embodiment
  • FIG. 2 illustrates another embodiment of a process of utilizing ALD tantalum layer in one stage in the formation of metal interconnect structures. In step 202, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 204, a punch-through step is performed to remove a portion of the tantalum nitride deposited in step 202. In step 206, an adhesion layer, such as a tantalum layer or a suitable metal, is deposited over the resulting substrate structure of step 204. In step 208, a seed layer is formed over the adhesion layer. All or a partial number of steps 202 through 208 may be performed on an integrated processing system, such as the system of FIG. 4.
  • FIG. 10 is a schematic representation of an example of a resulting substrate structure after step 208 of FIG. 2. In one aspect, the tantalum nitride layer 1001 is deposited in step 202 to a thickness of about 50 A or less, preferably between about 5 A and about 30 A. The tantalum nitride layer 1001 protects the sidewalls of the dielectric layer 1002 from sputter conductive material 1004, such as copper, from a conductive portion of an underlying layer 1003 below the dielectric layer 1002. The punch-through step is performed for preferably about 10 seconds or less. One advantage of the present process is that the punch-through step need only remove a portion of the tantalum nitride in order to expose a conductive portion of the underlying layer.
  • Additional Alternative Embodiment
  • FIG. 3A illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures. In step 302, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 304, the tantalum nitride layer is exposed to a non-nitrogen plasma. Preferably, the non-nitrogen plasma comprises a noble gas, such as argon. The non-nitrogen plasma may further include hydrogen or other non-nitrogen gases. Optionally, steps 302 and 304 may be repeated. For example, a non-nitrogen plasma treatment may be performed after a number cycles, for example after every 20 cycles, until a desired amount of tantalum nitride has been deposited. Multiple non-nitrogen plasma treatments help to treat the tantalum nitride at the bottom of the aperture. In step 306, an optional tantalum layer may be deposited over the plasma-treated tantalum nitride layer. In step 308, a seed layer is formed over the resultant substrate structure of step 304 or 306. All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of FIG. 4.
  • FIG. 11 is a schematic representation of an example of a resulting substrate structure after step 308 of FIG. 3A. In one aspect, it is believed that the non-nitrogen plasma treatment reduces the nitrogen content of the ALD tantalum nitride layer 1101. Since the nitrogen content of the ALD tantalum nitride layer 1101 is reduced, the resistivity of thereof is reduced and thus the contact resistance is reduced.
  • Multiple ALD TaN Deposition Steps
  • FIG. 3B illustrates yet another embodiment of a process of utilizing ALD tantalum nitride layer in one stage in the formation of metal interconnect structures. In step 302, tantalum nitride is deposited by atomic layer deposition over a substrate structure. In step 305, the tantalum nitride layer is exposed to a punch through step. An additional tantalum nitride layer is deposited in step 305A. In step 306, an optional tantalum layer may be deposited over the plasma-treated tantalum nitride layer. In step 308, a seed layer is formed over the resultant substrate structure of step 304 or 306. All or a partial number of steps 302 through 308 may be performed on an integrated processing system, such as the system of FIG. 4.
  • Experimental Results
  • FIG. 12 illustrates the cumulative probability as a function of time to failure for ALD tantalum nitride barrier with a copper aluminum seed and for a PVD barrier with a copper seed. The lifetime distribution is improved with the copper aluminum alloy seed used with an ALD tantalum nitride barrier.
  • FIG. 13 compares cumulative probability as a function of time to failure for ALD tantalum nitride with punchthrough and ALD tantalum nitride layers; ALD tantalum nitride with new punchthrough ALD tantalum nitride layers, and argon treatment; and a baseline with tantalum nitride, tantalum, and tantalum flash. The temperature was 350° C. There was 1.5 ma/cm2 link current density. There was upstream direction current. Flow was proportional to (μm) via/link size. Old punchthrough had DC power less than 150 W and a wafer bias of 600 W. New punchthrough had DC power of 3000 W and a wafer bias of 800 W. This dramatic increase in time to failure illustrates the desirable properties of the improvement.
  • Final Steps
  • Referring to FIG. 1, 2, 3A, or 3B subsequent to seed layer formation in step 410, step 708, or step 808, a bulk metal layer is at least partially deposited on the seed layer. The metal layer may also be deposited using any conventional deposition technique, such as electroplating, electroless plating, chemical vapor deposition (CVD), or physical vapor deposition (PVD). The metal layer preferably includes any conductive material such as copper, aluminum, tungsten, or combinations thereof. The metal layer preferably comprises a bulk copper layer.
  • In one embodiment, preferably, the bulk copper layer is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif. A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein. Typically, the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75. The electroplating bath may also contain various additives as is well known in the art. The temperature of the bath is between about 15° C. and about 250°. The bias is between about −15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about −0.1 to about −10 volts.
  • Optionally, an anneal treatment may be performed following the metal layer deposition. For example, the wafer may be subjected to a temperature between about 100° C. and about 400° C. for between about 1 minute to about I hour. A carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm. The chamber pressure is maintained between about 2 Torr and about 10 Torr.
  • Following deposition, the top portion of the resulting structure may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, Calif., for example. Optionally, the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (45)

1. A method of forming a metal interconnect on a semiconductor substrate, comprising;
cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition;
depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C. in a second process chamber;
depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber;
plasma etching the tantalum layer and the tantalum nitride in a fourth process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material;
optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and
depositing a seed layer over the conductive material and the tantalum layer in a fifth processing chamber,
wherein the first processing chamber, the second processing chamber, the third processing chamber, the fourth processing chamber, and the fifth processing chamber are located in an integrated tool.
2. The method of claim 1, wherein the cleaning is performed at 40 to 200 mTorr for 30-120 seconds.
3. The method of claim 1, wherein the cleaning is performed with a plasma comprising RF power of 1 to 600 W, wafer bias is 10 to 100 W, and frequency is 1 to 4
4. The method of claim 1, wherein the cleaning is performed with a feed gas consisting of 0 to about 10 percent hydrogen and about 90 to 100 percent helium.
5. The method of claim 1, wherein the tantalum nitride deposition is performed at 100-300° C. heater temperature and 1 to 5 Torr.
6. The method of claim 1, wherein the tantalum nitride deposition is performed for one minute or less time.
7. The method of claim 1, wherein the tantalum nitride deposition is performed with a tantalum containing precursor selected from the group comprising t-butylimino-tris(diethylamino)tantalum, pentakis (ethylmethylamino)tantalum, pentakis(dimethylamino)tantalum, pentakis (diethylamino)tantalum, t-butyliminotris(diethyl methylamino)tantalum, t-butylimino-tris(dimethylamino)tantalum, bis(cyclopentadienyl)tantalum trihydride, and bis(methylcyclopentadienyl)tantalum trihydride.
8. The method of claim 1, wherein the tantalum nitride deposition is performed with a nitrogen containing precursor selected from the group comprising ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, and ethylazide.
9. The method of claim 1, wherein the tantalum nitride deposition is performed with the tantalum containing precursor pulsed into the chamber at 100 to 3,000 sccm for 2.0 seconds or less.
10. The method of claim 1, wherein the tantalum nitride deposition is performed with the nitrogen containing precursor pulsed into the chamber at 100 to 3,000 sccm for 2.0 seconds or less.
11. The method of claim 1, wherein the tantalum nitride deposition is performed with argon flowing continuously into the chamber at 1,000 to 10,000 sccm.
12. The method of claim 1, wherein the tantalum layer deposition is performed at 10 to 50° C. and wafer bias is 100 to 1000 W.
13. The method of claim 1, wherein the plasma etching is performed with the gas selected from the group comprising argon, nitrogen, or hydrogen.
14. The method of claim 1, wherein the plasma etching is performed with RF power of 100 to 1000 W for 1 to 20 seconds.
15. The method of claim 1, wherein the plasma etching is performed with a directional argon plasma.
16. The method of claim 1, further comprising depositing additional metal by physical vapor deposition on the tantalum layer.
17. The method of claim 16, further comprising depositing a bulk metal layer.
18. The method of claim 1, wherein the third and fourth process chambers are the same chamber.
19. The method of claim 1, wherein the fourth and fifth process chambers are the same chamber.
20. The method of claim 16, wherein the metal is selected from the group comprising copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, and aluminum.
21. The method of claim 16, wherein the deposition is performed at 10 to 50° C. and wafer bias of 100 to 1000 W.
22. The method of claim 1, wherein the seed layer is deposited by a method selected from the group comprising chemical vapor deposition, physical vapor deposition, electroplating, and electroless plating.
23. The method of claim 1, wherein the seed layer comprises a metal selected from the group comprising copper, copper aluminum, copper tin, tantalum, tungsten, thallium, cobalt, titanium, and aluminum.
24. The method of claim 1, further comprising a preliminary substrate surface cleaning with nitrogen before cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition.
25. The method of claim 1, wherein the plasma etching is performed with a noble gas.
26. A method of forming a metal interconnect on a semiconductor substrate, comprising;
cleaning features formed in a dielectric layer and exposing a conductive material underlying the dielectric layer by generating a plasma in a remote plasma source, delivering radicals from the plasma to a first process chamber which contains the substrate, and contacting the features formed in the dielectric layer with the radicals prior to a barrier layer deposition;
depositing a tantalum nitride layer by atomic layer deposition within the features at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C. in a second process chamber;
depositing a tantalum layer by physical vapor deposition over the tantalum nitride layer in a third process chamber;
plasma etching the tantalum layer and the tantalum nitride in the third process chamber to remove at least a portion of the tantalum layer and the tantalum nitride layer at the bottom of the feature to reveal the conductive material;
optionally depositing additional tantalum or copper by physical vapor deposition on the tantalum layer; and
depositing a seed layer over the conductive material and the tantalum layer in a fourth processing chamber,
wherein the first processing chamber, the second processing chamber, the third processing chamber, and the fourth processing chamber are located in an integrated tool.
27. The method of claim 26, wherein the cleaning is performed at 40 to 200 mTorr for 30-120 seconds.
28. The method of claim 26, wherein the cleaning is performed with a plasma comprising RF power of 1 to 600 W, wafer bias is 10 to 100 W, and frequency is 1 to 4 MHz.
29. The method of claim 26, wherein the cleaning is performed with a feed gas consisting of 0 to about 10 percent hydrogen and about 90 to 100 percent helium.
30. The method of claim 26, wherein the tantalum nitride deposition is performed at 100-300° C. heater temperature and 1 to 5 Torr.
31. The method of claim 26, wherein the tantalum nitride deposition is performed for one minute or less time.
32. The method of claim 26, wherein the tantalum nitride deposition is performed with the tantalum containing precursor pulsed into the chamber at 100 to 3,000 sccm for 2.0 seconds or less.
33. The method of claim 26, wherein the tantalum nitride deposition is performed with the nitrogen containing precursor pulsed into the chamber at 100 to 3,000 sccm for 2.0 seconds or less.
34. The method of claim 26, wherein the tantalum nitride deposition is performed with argon flowing continuously into the chamber at 1,000 to 10,000 sccm.
35. The method of claim 26, wherein the tantalum layer deposition is performed at 10 to 50° C. and wafer bias is 100 to 1000 W.
36. The method of claim 26, wherein the plasma etching is performed with RF power is 100 to 1000 W for 1 to 20 seconds.
37. The method of claim 26, wherein the plasma etching is performed with a directional argon plasma.
38. The method of claim 26, further comprising depositing additional metal by physical vapor deposition to the tantalum layer.
39. The method of claim 26, wherein the second and third process chambers are the same chamber.
40. The method of claim 26, wherein the third and fourth process chambers are the same chamber.
41. The method of claim 26, wherein the seed layer is deposited by a method selected from the group comprising chemical vapor deposition, physical vapor deposition, electroplating, and electroless plating.
42. The method of claim 26, further comprising depositing additional metal by physical vapor deposition to the tantalum layer.
43. The method of claim 42, further comprising depositing a bulk metal layer.
44. An apparatus for forming a metal interconnect on a semiconductor substrate, comprising;
a preclean chamber for cleaning features formed in a dielectric layer, the preclean chamber comprising a remote plasma source;
an atomic layer deposition chamber for depositing a tantalum nitride layer at a pressure between 1 and 10 Torr at a temperature between 200 and 300° C.;
a physical vapor deposition chamber for depositing a tantalum layer;
a plasma etching chamber for plasma etching features in the tantalum layer and the tantalum nitride; and
a seed layer deposition chamber for depositing a seed layer in the features;
wherein the preclean chamber, the atomic layer deposition chamber, the physical vapor deposition chamber, the plasma etching chamber, and the seed layer deposition chamber are located in an integrated tool.
45. The apparatus of claim 44, further comprising a second physical vapor deposition chamber for depositing a bulk metal layer on the tantalum layer.
US10/865,042 2001-09-26 2004-06-10 Integration of ALD tantalum nitride for copper metallization Expired - Lifetime US7049226B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/865,042 US7049226B2 (en) 2001-09-26 2004-06-10 Integration of ALD tantalum nitride for copper metallization
US11/368,191 US20060148253A1 (en) 2001-09-26 2006-03-03 Integration of ALD tantalum nitride for copper metallization
US12/627,977 US8324095B2 (en) 2001-09-26 2009-11-30 Integration of ALD tantalum nitride for copper metallization

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/965,373 US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,369 US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,370 US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US34608601P 2001-10-26 2001-10-26
US10/193,333 US20030082307A1 (en) 2001-10-26 2002-07-10 Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US47866303P 2003-06-13 2003-06-13
US10/865,042 US7049226B2 (en) 2001-09-26 2004-06-10 Integration of ALD tantalum nitride for copper metallization

Related Parent Applications (4)

Application Number Title Priority Date Filing Date
US09/965,370 Continuation-In-Part US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,369 Continuation-In-Part US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 Continuation-In-Part US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US10/193,333 Continuation-In-Part US20030082307A1 (en) 2001-09-26 2002-07-10 Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/368,191 Continuation US20060148253A1 (en) 2001-09-26 2006-03-03 Integration of ALD tantalum nitride for copper metallization

Publications (2)

Publication Number Publication Date
US20050106865A1 true US20050106865A1 (en) 2005-05-19
US7049226B2 US7049226B2 (en) 2006-05-23

Family

ID=46302168

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/865,042 Expired - Lifetime US7049226B2 (en) 2001-09-26 2004-06-10 Integration of ALD tantalum nitride for copper metallization
US11/368,191 Abandoned US20060148253A1 (en) 2001-09-26 2006-03-03 Integration of ALD tantalum nitride for copper metallization
US12/627,977 Expired - Lifetime US8324095B2 (en) 2001-09-26 2009-11-30 Integration of ALD tantalum nitride for copper metallization

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/368,191 Abandoned US20060148253A1 (en) 2001-09-26 2006-03-03 Integration of ALD tantalum nitride for copper metallization
US12/627,977 Expired - Lifetime US8324095B2 (en) 2001-09-26 2009-11-30 Integration of ALD tantalum nitride for copper metallization

Country Status (1)

Country Link
US (3) US7049226B2 (en)

Cited By (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050186793A1 (en) * 2004-01-26 2005-08-25 Seiichi Omoto Manufacturing method of semiconductor device
US20050195966A1 (en) * 2004-03-03 2005-09-08 Sigma Dynamics, Inc. Method and apparatus for optimizing the results produced by a prediction model
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US20060216928A1 (en) * 2001-12-07 2006-09-28 Hua Chung Cyclical deposition of refractory metal silicon nitride
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US20070054046A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US20070054047A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US20070292603A1 (en) * 2005-08-31 2007-12-20 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20070292604A1 (en) * 2005-08-31 2007-12-20 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20080057182A1 (en) * 2006-08-30 2008-03-06 John Boyd Method for gap fill in controlled ambient system
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
WO2008042691A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080199601A1 (en) * 2005-03-03 2008-08-21 Narishi Gonohe Method for Forming Tantalum Nitride Film
US20080260940A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for integrated surface treatment and deposition for copper interconnect
US20080277271A1 (en) * 2005-04-19 2008-11-13 Sdc Materials, Inc Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US20090104775A1 (en) * 2005-03-03 2009-04-23 Narishi Gonohe Method for Forming Tantalum Nitride Film
US20090159431A1 (en) * 2005-03-03 2009-06-25 Narishi Gonohe Method for Forming Tantalum Nitride Film
US20090162565A1 (en) * 2005-03-03 2009-06-25 Narishi Gonohe Method for Forming Tantalum Nitride Film
GB2455993A (en) * 2007-12-28 2009-07-01 Hauzer Techno Coating Bv Article coated by ALD and CVD/PVD
US20090246375A1 (en) * 2005-03-03 2009-10-01 Narishi Gonohe Method for forming tantalum nitride film
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100009533A1 (en) * 2003-04-11 2010-01-14 Novellus Systems, Inc. Conformal Films on Semiconductor Substrates
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20100099251A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Method for nitridation pretreatment
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20100140802A1 (en) * 2007-06-04 2010-06-10 Tokyo Electron Limited Film forming method and film forming apparatus
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20110008602A1 (en) * 2007-12-28 2011-01-13 Hauzer Techno Coating Bv Method of Giving an Article a Colored Appearance and an Article Having a Colored Appearance
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US20110143930A1 (en) * 2009-12-15 2011-06-16 SDCmaterials, Inc. Tunable size of nano-active material on nano-support
US20110143041A1 (en) * 2009-12-15 2011-06-16 SDCmaterials, Inc. Non-plugging d.c. plasma gun
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
CN102277570A (en) * 2011-08-19 2011-12-14 上海交通大学 Method for preparing ZnO/Cu/ZnO transparent conductive thin film
US8298936B1 (en) 2007-02-01 2012-10-30 Novellus Systems, Inc. Multistep method of depositing metal seed layers
TWI393186B (en) * 2006-08-30 2013-04-11 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
US8470112B1 (en) 2009-12-15 2013-06-25 SDCmaterials, Inc. Workflow for novel composite materials
US8481449B1 (en) 2007-10-15 2013-07-09 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8545652B1 (en) 2009-12-15 2013-10-01 SDCmaterials, Inc. Impact resistant material
US8557727B2 (en) 2009-12-15 2013-10-15 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8652992B2 (en) 2009-12-15 2014-02-18 SDCmaterials, Inc. Pinning and affixing nano-active material
US8669202B2 (en) 2011-02-23 2014-03-11 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US8668803B1 (en) 2009-12-15 2014-03-11 SDCmaterials, Inc. Sandwich of impact resistant material
US8679433B2 (en) 2011-08-19 2014-03-25 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US8679972B1 (en) 2001-03-13 2014-03-25 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
TWI447831B (en) * 2006-08-30 2014-08-01 Lam Res Corp Controlled ambient system for interface engineering
US8858763B1 (en) 2006-11-10 2014-10-14 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20150132946A1 (en) * 2006-08-30 2015-05-14 Lam Research Corporation Methods for barrier interface preparation of copper interconnect
US9115426B2 (en) 2012-02-15 2015-08-25 Picosun Oy Coated article of martensitic steel and a method of forming a coated article of steel
US9115755B2 (en) 2012-02-15 2015-08-25 Picosun Oy Current insulated bearing components and bearings
US9126191B2 (en) 2009-12-15 2015-09-08 SDCmaterials, Inc. Advanced catalysts for automotive applications
US9149797B2 (en) 2009-12-15 2015-10-06 SDCmaterials, Inc. Catalyst production method and system
US9156025B2 (en) 2012-11-21 2015-10-13 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US9427732B2 (en) 2013-10-22 2016-08-30 SDCmaterials, Inc. Catalyst design for heavy-duty diesel combustion engines
US9511352B2 (en) 2012-11-21 2016-12-06 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9517448B2 (en) 2013-10-22 2016-12-13 SDCmaterials, Inc. Compositions of lean NOx trap (LNT) systems and methods of making and using same
US9586179B2 (en) 2013-07-25 2017-03-07 SDCmaterials, Inc. Washcoats and coated substrates for catalytic converters and methods of making and using same
US9687811B2 (en) 2014-03-21 2017-06-27 SDCmaterials, Inc. Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US20170271512A1 (en) * 2016-03-21 2017-09-21 International Business Machines Corporation Liner-less contact metallization
CN110573654A (en) * 2017-05-02 2019-12-13 皮考逊公司 apparatus having a valve and method of operation
US11164767B2 (en) 2016-09-15 2021-11-02 Applied Materials, Inc. Integrated system for semiconductor process
US11177168B2 (en) * 2012-07-31 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for reducing contact resistance of a metal
CN113690178A (en) * 2021-08-23 2021-11-23 长江先进存储产业创新中心有限责任公司 Method for manufacturing metal conductive structure
US20220411919A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Transition metal nitride deposition method

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
KR100660915B1 (en) * 2006-02-03 2006-12-26 삼성전자주식회사 Method for fabricating interconnection of semiconductor device having improved interconnection reliability
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
KR100807066B1 (en) * 2006-08-31 2008-02-25 동부일렉트로닉스 주식회사 Apparatus for manufacturing a semiconductor device and method of manufactruing a semiconductor device using the apparatus
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
WO2008094457A1 (en) * 2007-01-30 2008-08-07 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US8703605B2 (en) 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8039394B2 (en) * 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US8962473B2 (en) 2013-03-15 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming hybrid diffusion barrier layer and semiconductor device thereof
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343294B2 (en) * 2014-04-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having air gap and method of forming the same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20230051311A (en) 2014-09-12 2023-04-17 어플라이드 머티어리얼스, 인코포레이티드 Controller for treatment of semiconductor processing equipment effluent
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102235612B1 (en) 2015-01-29 2021-04-02 삼성전자주식회사 Semiconductor device having work-function metal and method of forming the same
US10002834B2 (en) 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10312142B2 (en) 2016-11-28 2019-06-04 Northrop Grumman Systems Corporation Method of forming superconductor structures
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11739418B2 (en) 2019-03-22 2023-08-29 Applied Materials, Inc. Method and apparatus for deposition of metal nitrides
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US9991330B1 (en) 2017-01-11 2018-06-05 International Business Machines Corporation Resistors with controlled resistivity
US10283583B2 (en) 2017-01-11 2019-05-07 International Business Machines Corporation 3D resistor structure with controlled resistivity
US9972672B1 (en) 2017-01-11 2018-05-15 International Business Machines Corporation Tunable resistor with curved resistor elements
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10563304B2 (en) 2017-04-07 2020-02-18 Applied Materials, Inc. Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10510549B2 (en) 2017-12-25 2019-12-17 United Microelectronics Corp. Method of fabricating a metal layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
CN111937122A (en) 2018-03-30 2020-11-13 朗姆研究公司 Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10930550B2 (en) 2018-05-06 2021-02-23 Applied Materials, Inc. Barrier for copper metallization and methods of forming
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7113670B2 (en) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 ALD film forming method and ALD film forming apparatus
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11069566B2 (en) 2018-10-11 2021-07-20 International Business Machines Corporation Hybrid sidewall barrier facilitating low resistance interconnection
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
CN113614274A (en) 2019-03-22 2021-11-05 应用材料公司 Method and apparatus for depositing multilayer device with superconducting film
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TWI780579B (en) 2020-02-03 2022-10-11 美商應用材料股份有限公司 Snspd with integrated aluminum nitride seed or waveguide layer
TWI753759B (en) 2020-02-03 2022-01-21 美商應用材料股份有限公司 Snspd with integrated aluminum nitride seed or waveguide layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
CN115734826A (en) 2020-07-03 2023-03-03 应用材料公司 Method for refurbishing aircraft components
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2430520A (en) * 1945-03-06 1947-11-11 Glass Science Inc Deposition of metal on glass from metal formates
US3594216A (en) * 1969-06-19 1971-07-20 Westinghouse Electric Corp Vapor phase deposition of metal from a metal-organic beta-ketoamine chelate
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US5085731A (en) * 1991-02-04 1992-02-04 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5464666A (en) * 1995-02-06 1995-11-07 Air Products And Chemicals, Inc. Process for chemical vapor codeposition of copper and aluminum alloys
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6139700A (en) * 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US6143659A (en) * 1997-11-18 2000-11-07 Samsung Electronics, Co., Ltd. Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method
US6144060A (en) * 1997-07-31 2000-11-07 Samsung Electronics Co., Ltd. Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6242808B1 (en) * 1998-04-09 2001-06-05 Fujitsu Limited Semiconductor device with copper wiring and semiconductor device manufacturing method
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6335240B1 (en) * 1998-01-06 2002-01-01 Samsung Electronics Co., Ltd. Capacitor for a semiconductor device and method for forming the same
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020086507A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of forming a metal gate in a semiconductor device
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6415822B1 (en) * 1998-06-12 2002-07-09 J. Gregory Hollingshead Modular chemical delivery blocks
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020115886A1 (en) * 2000-12-25 2002-08-22 Sakiko Yasuhara Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for MOCVD using the same, and a method of forming a tantalum nitride film using the same
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6468924B2 (en) * 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030060042A1 (en) * 2001-09-21 2003-03-27 Samsung Electronics Co. Ltd. Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same
US6548424B2 (en) * 2000-04-14 2003-04-15 Asm Microchemistry Oy Process for producing oxide thin films
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6596602B2 (en) * 2001-01-29 2003-07-22 Nec Corporation Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD
US6599572B2 (en) * 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6620956B2 (en) * 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6632279B1 (en) * 1999-10-14 2003-10-14 Asm Microchemistry, Oy Method for growing thin oxide films
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US6686271B2 (en) * 2000-05-15 2004-02-03 Asm International N.V. Protective layers prior to alternating layer deposition
US20040023486A1 (en) * 2001-11-26 2004-02-05 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040048491A1 (en) * 2002-09-10 2004-03-11 Hyung-Suk Jung Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US20040048161A1 (en) * 2000-03-22 2004-03-11 Takuya Sunagawa Rechargeable battery using nonaqeous electorlyte
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040053484A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040051152A1 (en) * 2002-09-13 2004-03-18 Semiconductor Technology Academic Research Center Semiconductor device and method for manufacturing same
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20040077183A1 (en) * 2002-06-04 2004-04-22 Hua Chung Titanium tantalum nitride silicide layer
US20040087143A1 (en) * 2002-11-05 2004-05-06 Norman John Anthony Thomas Process for atomic layer deposition of metal films
US20040187304A1 (en) * 2003-01-07 2004-09-30 Applied Materials, Inc. Enhancement of Cu line reliability using thin ALD TaN film to cap the Cu line
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6803272B1 (en) * 2001-12-31 2004-10-12 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20050003075A1 (en) * 2002-01-18 2005-01-06 Bradley Alexander Zak Volatile copper(II) complexes for deposition of copper films by atomic layer deposition
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3356527A (en) 1964-04-23 1967-12-05 Ross W Moshier Vapor-plating metals from fluorocarbon keto metal compounds
US3291456A (en) 1964-07-01 1966-12-13 Combustion Eng Self-agitating, stabilized flow mixing vessel
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US4732110A (en) 1983-04-29 1988-03-22 Hughes Aircraft Company Inverted positive vertical flow chemical vapor deposition reactor chamber
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0766910B2 (en) 1984-07-26 1995-07-19 新技術事業団 Semiconductor single crystal growth equipment
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
WO1987003740A1 (en) 1985-12-09 1987-06-18 Nippon Telegraph And Telephone Corporation Process for forming thin film of compound semiconductor
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (en) 1986-08-26 1996-03-29 セイコー電子工業株式会社 Method for growing compound semiconductor thin film
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JP2587623B2 (en) 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JP2929291B2 (en) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 Method of manufacturing insulated gate field effect transistor
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
DE3704505A1 (en) 1987-02-13 1988-08-25 Leybold Ag INSERT UNIT FOR VACUUM SYSTEMS
JPH0727861B2 (en) 1987-03-27 1995-03-29 富士通株式会社 Method for growing group III compound semiconductor crystal
JPH0812844B2 (en) 1987-03-27 1996-02-07 日本電気株式会社 (III) -Group V compound semiconductor and method for forming the same
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3884682T2 (en) 1987-07-01 1994-05-05 Nec Corp Process for growing a III-V group compound semiconductor crystal on a Si substrate.
JPH0666274B2 (en) 1987-07-01 1994-08-24 日本電気株式会社 (III) -Method for forming group V compound semiconductor
FI81926C (en) 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
DE3851701T2 (en) 1988-06-03 1995-03-30 Ibm Process for the production of artificial high-temperature superconductors with a multilayer structure.
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US4907534A (en) 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5196365A (en) 1989-07-05 1993-03-23 Fujitsu Limited Method of making semiconductor memory device having stacked capacitor
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JP2506451B2 (en) 1989-08-18 1996-06-12 富士通株式会社 Chemical vapor deposition apparatus and chemical vapor deposition method
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (en) 1990-01-31 1995-11-13 新技術事業団 Semiconductor device and manufacturing method thereof
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (en) 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
DE4027628A1 (en) 1990-08-31 1992-03-05 Wolters Peter Fa DEVICE FOR CONTROLLING OR CONTROLLING LAEPP, HONING OR POLISHING MACHINES
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5273775A (en) 1990-09-12 1993-12-28 Air Products And Chemicals, Inc. Process for selectively depositing copper aluminum alloy onto a substrate
US5221449A (en) 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
WO1992007968A1 (en) 1990-10-26 1992-05-14 International Business Machines Corporation STRUCTURE AND METHOD OF MAKING ALPHA-Ta IN THIN FILMS
EP0491521B1 (en) 1990-12-15 1997-03-12 Fujitsu Limited Process for producing diamond film
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5204145A (en) 1991-03-04 1993-04-20 General Electric Company Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (en) 1991-11-30 1999-12-06 科学技術振興事業団 Method for epitaxial growth of semiconductor crystal
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JP2763222B2 (en) 1991-12-13 1998-06-11 三菱電機株式会社 Chemical vapor deposition method, chemical vapor deposition processing system and chemical vapor deposition apparatus therefor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
JP2987663B2 (en) 1992-03-10 1999-12-06 株式会社日立製作所 Substrate processing equipment
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
RU94046132A (en) 1992-05-22 1996-09-27 Миннесота Майнинг энд Мануфакчуринг Компани (US) Method for controlling molecular beam epitaxial system in manufacturing electric fluorescent devices around semiconductor compound ii-vi and laser diodes ii-vi
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3405466B2 (en) * 1992-09-17 2003-05-12 富士通株式会社 Fluid switching valve and semiconductor device manufacturing apparatus
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (en) * 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd High density dram and manufacture thereof
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JP3124861B2 (en) 1993-03-24 2001-01-15 富士通株式会社 Thin film growth method and semiconductor device manufacturing method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
JP3181171B2 (en) * 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5641984A (en) * 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
JP3288200B2 (en) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
US5747113A (en) * 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
JP2923753B2 (en) * 1996-08-21 1999-07-26 工業技術院長 Method for forming group III atomic layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US5888303A (en) * 1997-04-07 1999-03-30 R.E. Dixon Inc. Gas inlet apparatus and method for chemical vapor deposition reactors
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
JPH11297681A (en) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp Cvd apparatus for forming high permittivity thin film and method of forming high permittivity thin film
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (en) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
KR100332314B1 (en) * 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (en) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TWI223867B (en) 2001-10-26 2004-11-11 Applied Materials Inc Method for forming a metal interconnect on a substrate
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP4198906B2 (en) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ Semiconductor device and manufacturing method of semiconductor device
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
TWI230544B (en) * 2002-07-25 2005-04-01 Veutron Corp Light source control method and apparatus of image scanner

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2430520A (en) * 1945-03-06 1947-11-11 Glass Science Inc Deposition of metal on glass from metal formates
US3594216A (en) * 1969-06-19 1971-07-20 Westinghouse Electric Corp Vapor phase deposition of metal from a metal-organic beta-ketoamine chelate
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5085731A (en) * 1991-02-04 1992-02-04 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5464666A (en) * 1995-02-06 1995-11-07 Air Products And Chemicals, Inc. Process for chemical vapor codeposition of copper and aluminum alloys
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6144060A (en) * 1997-07-31 2000-11-07 Samsung Electronics Co., Ltd. Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6139700A (en) * 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US6143659A (en) * 1997-11-18 2000-11-07 Samsung Electronics, Co., Ltd. Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6335240B1 (en) * 1998-01-06 2002-01-01 Samsung Electronics Co., Ltd. Capacitor for a semiconductor device and method for forming the same
US6379748B1 (en) * 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6242808B1 (en) * 1998-04-09 2001-06-05 Fujitsu Limited Semiconductor device with copper wiring and semiconductor device manufacturing method
US6415822B1 (en) * 1998-06-12 2002-07-09 J. Gregory Hollingshead Modular chemical delivery blocks
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6451119B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6451695B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6632279B1 (en) * 1999-10-14 2003-10-14 Asm Microchemistry, Oy Method for growing thin oxide films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6599572B2 (en) * 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20040048161A1 (en) * 2000-03-22 2004-03-11 Takuya Sunagawa Rechargeable battery using nonaqeous electorlyte
US6548424B2 (en) * 2000-04-14 2003-04-15 Asm Microchemistry Oy Process for producing oxide thin films
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US6686271B2 (en) * 2000-05-15 2004-02-03 Asm International N.V. Protective layers prior to alternating layer deposition
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6468924B2 (en) * 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US20020115886A1 (en) * 2000-12-25 2002-08-22 Sakiko Yasuhara Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for MOCVD using the same, and a method of forming a tantalum nitride film using the same
US20020086507A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of forming a metal gate in a semiconductor device
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6596602B2 (en) * 2001-01-29 2003-07-22 Nec Corporation Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6893915B2 (en) * 2001-09-21 2005-05-17 Samsung Electronics, Co., Ltd Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same
US20030060042A1 (en) * 2001-09-21 2003-03-27 Samsung Electronics Co. Ltd. Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6620956B2 (en) * 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20040023486A1 (en) * 2001-11-26 2004-02-05 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US6803272B1 (en) * 2001-12-31 2004-10-12 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US20050003075A1 (en) * 2002-01-18 2005-01-06 Bradley Alexander Zak Volatile copper(II) complexes for deposition of copper films by atomic layer deposition
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040077183A1 (en) * 2002-06-04 2004-04-22 Hua Chung Titanium tantalum nitride silicide layer
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040048491A1 (en) * 2002-09-10 2004-03-11 Hyung-Suk Jung Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US20040051152A1 (en) * 2002-09-13 2004-03-18 Semiconductor Technology Academic Research Center Semiconductor device and method for manufacturing same
US20040053484A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040087143A1 (en) * 2002-11-05 2004-05-06 Norman John Anthony Thomas Process for atomic layer deposition of metal films
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US20040187304A1 (en) * 2003-01-07 2004-09-30 Applied Materials, Inc. Enhancement of Cu line reliability using thin ALD TaN film to cap the Cu line
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Cited By (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US9099535B1 (en) 2001-03-13 2015-08-04 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US9508593B1 (en) 2001-03-13 2016-11-29 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US8679972B1 (en) 2001-03-13 2014-03-25 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20060216928A1 (en) * 2001-12-07 2006-09-28 Hua Chung Cyclical deposition of refractory metal silicon nitride
US7892602B2 (en) * 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8765596B1 (en) 2003-04-11 2014-07-01 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US20100009533A1 (en) * 2003-04-11 2010-01-14 Novellus Systems, Inc. Conformal Films on Semiconductor Substrates
US9117884B1 (en) 2003-04-11 2015-08-25 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7399706B2 (en) * 2004-01-26 2008-07-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US20080272494A1 (en) * 2004-01-26 2008-11-06 Kabushiki Kaisha Toshiba Semiconductor device
US20050186793A1 (en) * 2004-01-26 2005-08-25 Seiichi Omoto Manufacturing method of semiconductor device
US20050195966A1 (en) * 2004-03-03 2005-09-08 Sigma Dynamics, Inc. Method and apparatus for optimizing the results produced by a prediction model
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7745348B2 (en) * 2004-09-17 2010-06-29 Dongbu Electronics Co., Ltd. Manufacturing method of a semiconductor device
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US20080199601A1 (en) * 2005-03-03 2008-08-21 Narishi Gonohe Method for Forming Tantalum Nitride Film
US20090159431A1 (en) * 2005-03-03 2009-06-25 Narishi Gonohe Method for Forming Tantalum Nitride Film
US20090162565A1 (en) * 2005-03-03 2009-06-25 Narishi Gonohe Method for Forming Tantalum Nitride Film
TWI392018B (en) * 2005-03-03 2013-04-01 Ulvac Inc Method for forming tantalum nitride film
US20090246375A1 (en) * 2005-03-03 2009-10-01 Narishi Gonohe Method for forming tantalum nitride film
US8158197B2 (en) * 2005-03-03 2012-04-17 Ulvac, Inc. Method for forming tantalum nitride film
US8158198B2 (en) * 2005-03-03 2012-04-17 Ulvac, Inc. Method for forming tantalum nitride film
US20090104775A1 (en) * 2005-03-03 2009-04-23 Narishi Gonohe Method for Forming Tantalum Nitride Film
US8796142B2 (en) * 2005-03-03 2014-08-05 Ulvac, Inc. Method for forming tantalum nitride film
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US9719727B2 (en) 2005-04-19 2017-08-01 SDCmaterials, Inc. Fluid recirculation system for use in vapor phase particle production system
US9599405B2 (en) 2005-04-19 2017-03-21 SDCmaterials, Inc. Highly turbulent quench chamber
US9132404B2 (en) * 2005-04-19 2015-09-15 SDCmaterials, Inc. Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US9180423B2 (en) 2005-04-19 2015-11-10 SDCmaterials, Inc. Highly turbulent quench chamber
US9216398B2 (en) 2005-04-19 2015-12-22 SDCmaterials, Inc. Method and apparatus for making uniform and ultrasmall nanoparticles
US20080277092A1 (en) * 2005-04-19 2008-11-13 Layman Frederick P Water cooling system and heat transfer system
US20080277271A1 (en) * 2005-04-19 2008-11-13 Sdc Materials, Inc Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US9023754B2 (en) 2005-04-19 2015-05-05 SDCmaterials, Inc. Nano-skeletal catalyst
WO2007028156A3 (en) * 2005-08-31 2009-05-22 Lam Res Corp System and method for forming patterned copper lines through electroless copper plating
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
WO2007028156A2 (en) * 2005-08-31 2007-03-08 Lam Research Corporation System and method for forming patterned copper lines through electroless copper plating
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070292603A1 (en) * 2005-08-31 2007-12-20 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20070292604A1 (en) * 2005-08-31 2007-12-20 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
TWI419258B (en) * 2005-08-31 2013-12-11 Lam Res Corp System and method for forming patterned copper lines through electroless copper plating
US20070054047A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US20070054046A1 (en) * 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20110290176A1 (en) * 2006-04-07 2011-12-01 Applied Materials, Inc. Cluster tool for epitaxial film formation
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
TWI447831B (en) * 2006-08-30 2014-08-01 Lam Res Corp Controlled ambient system for interface engineering
US20080057182A1 (en) * 2006-08-30 2008-03-06 John Boyd Method for gap fill in controlled ambient system
US20150132946A1 (en) * 2006-08-30 2015-05-14 Lam Research Corporation Methods for barrier interface preparation of copper interconnect
TWI393186B (en) * 2006-08-30 2013-04-11 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
WO2008042691A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
WO2008042691A3 (en) * 2006-09-29 2008-05-22 Tokyo Electron Ltd Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20090280640A1 (en) * 2006-10-09 2009-11-12 Applied Materials Incorporated Deposition and densification process for titanium nitride barrier layers
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US8858763B1 (en) 2006-11-10 2014-10-14 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8298936B1 (en) 2007-02-01 2012-10-30 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US20080260940A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for integrated surface treatment and deposition for copper interconnect
US20110006463A1 (en) * 2007-05-11 2011-01-13 Sdc Materials, Inc. Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US8524631B2 (en) 2007-05-11 2013-09-03 SDCmaterials, Inc. Nano-skeletal catalyst
US8906316B2 (en) 2007-05-11 2014-12-09 SDCmaterials, Inc. Fluid recirculation system for use in vapor phase particle production system
US20080277268A1 (en) * 2007-05-11 2008-11-13 Sdc Materials, Inc., A Corporation Of The State Of Delaware Fluid recirculation system for use in vapor phase particle production system
US8893651B1 (en) 2007-05-11 2014-11-25 SDCmaterials, Inc. Plasma-arc vaporization chamber with wide bore
US8663571B2 (en) 2007-05-11 2014-03-04 SDCmaterials, Inc. Method and apparatus for making uniform and ultrasmall nanoparticles
US20080280756A1 (en) * 2007-05-11 2008-11-13 Sdc Materials, Inc., A Corporation Of The State Of Delaware Nano-skeletal catalyst
US8604398B1 (en) 2007-05-11 2013-12-10 SDCmaterials, Inc. Microwave purification process
US8574408B2 (en) 2007-05-11 2013-11-05 SDCmaterials, Inc. Fluid recirculation system for use in vapor phase particle production system
US8956574B2 (en) * 2007-05-11 2015-02-17 SDCmaterials, Inc. Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US8449731B1 (en) 2007-05-24 2013-05-28 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8242015B2 (en) 2007-06-04 2012-08-14 Tokyo Electron Limited Film forming method and film forming apparatus
US20100140802A1 (en) * 2007-06-04 2010-06-10 Tokyo Electron Limited Film forming method and film forming apparatus
TWI416605B (en) * 2007-06-04 2013-11-21 Tokyo Electron Ltd Film forming method and film forming device
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US8507402B1 (en) 2007-10-15 2013-08-13 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US9592492B2 (en) 2007-10-15 2017-03-14 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US9302260B2 (en) 2007-10-15 2016-04-05 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US8575059B1 (en) 2007-10-15 2013-11-05 SDCmaterials, Inc. Method and system for forming plug and play metal compound catalysts
US9186663B2 (en) 2007-10-15 2015-11-17 SDCmaterials, Inc. Method and system for forming plug and play metal compound catalysts
US8481449B1 (en) 2007-10-15 2013-07-09 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US9089840B2 (en) 2007-10-15 2015-07-28 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US9597662B2 (en) 2007-10-15 2017-03-21 SDCmaterials, Inc. Method and system for forming plug and play metal compound catalysts
US9737878B2 (en) 2007-10-15 2017-08-22 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US8759248B2 (en) 2007-10-15 2014-06-24 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US8507401B1 (en) 2007-10-15 2013-08-13 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
GB2455993A (en) * 2007-12-28 2009-07-01 Hauzer Techno Coating Bv Article coated by ALD and CVD/PVD
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
US20110008602A1 (en) * 2007-12-28 2011-01-13 Hauzer Techno Coating Bv Method of Giving an Article a Colored Appearance and an Article Having a Colored Appearance
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US20120000422A1 (en) * 2008-07-03 2012-01-05 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20130008984A1 (en) * 2008-07-03 2013-01-10 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8747556B2 (en) * 2008-07-03 2014-06-10 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8293015B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9017776B2 (en) 2008-07-03 2015-04-28 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100099251A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Method for nitridation pretreatment
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20110143930A1 (en) * 2009-12-15 2011-06-16 SDCmaterials, Inc. Tunable size of nano-active material on nano-support
US8557727B2 (en) 2009-12-15 2013-10-15 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8545652B1 (en) 2009-12-15 2013-10-01 SDCmaterials, Inc. Impact resistant material
US8877357B1 (en) 2009-12-15 2014-11-04 SDCmaterials, Inc. Impact resistant material
US8865611B2 (en) 2009-12-15 2014-10-21 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8859035B1 (en) 2009-12-15 2014-10-14 SDCmaterials, Inc. Powder treatment for enhanced flowability
US8828328B1 (en) 2009-12-15 2014-09-09 SDCmaterails, Inc. Methods and apparatuses for nano-materials powder treatment and preservation
US9533289B2 (en) 2009-12-15 2017-01-03 SDCmaterials, Inc. Advanced catalysts for automotive applications
US9522388B2 (en) 2009-12-15 2016-12-20 SDCmaterials, Inc. Pinning and affixing nano-active material
US8821786B1 (en) 2009-12-15 2014-09-02 SDCmaterials, Inc. Method of forming oxide dispersion strengthened alloys
US9126191B2 (en) 2009-12-15 2015-09-08 SDCmaterials, Inc. Advanced catalysts for automotive applications
US8803025B2 (en) 2009-12-15 2014-08-12 SDCmaterials, Inc. Non-plugging D.C. plasma gun
US9149797B2 (en) 2009-12-15 2015-10-06 SDCmaterials, Inc. Catalyst production method and system
US8992820B1 (en) 2009-12-15 2015-03-31 SDCmaterials, Inc. Fracture toughness of ceramics
US8932514B1 (en) 2009-12-15 2015-01-13 SDCmaterials, Inc. Fracture toughness of glass
US8668803B1 (en) 2009-12-15 2014-03-11 SDCmaterials, Inc. Sandwich of impact resistant material
US8906498B1 (en) 2009-12-15 2014-12-09 SDCmaterials, Inc. Sandwich of impact resistant material
US20110143041A1 (en) * 2009-12-15 2011-06-16 SDCmaterials, Inc. Non-plugging d.c. plasma gun
US8652992B2 (en) 2009-12-15 2014-02-18 SDCmaterials, Inc. Pinning and affixing nano-active material
US9308524B2 (en) 2009-12-15 2016-04-12 SDCmaterials, Inc. Advanced catalysts for automotive applications
US9332636B2 (en) 2009-12-15 2016-05-03 SDCmaterials, Inc. Sandwich of impact resistant material
US8470112B1 (en) 2009-12-15 2013-06-25 SDCmaterials, Inc. Workflow for novel composite materials
US9216406B2 (en) 2011-02-23 2015-12-22 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US9433938B2 (en) 2011-02-23 2016-09-06 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PTPD catalysts
US8669202B2 (en) 2011-02-23 2014-03-11 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US8969237B2 (en) 2011-08-19 2015-03-03 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US9498751B2 (en) 2011-08-19 2016-11-22 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US8679433B2 (en) 2011-08-19 2014-03-25 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
CN102277570A (en) * 2011-08-19 2011-12-14 上海交通大学 Method for preparing ZnO/Cu/ZnO transparent conductive thin film
US9115755B2 (en) 2012-02-15 2015-08-25 Picosun Oy Current insulated bearing components and bearings
US9115426B2 (en) 2012-02-15 2015-08-25 Picosun Oy Coated article of martensitic steel and a method of forming a coated article of steel
US11177168B2 (en) * 2012-07-31 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for reducing contact resistance of a metal
US9533299B2 (en) 2012-11-21 2017-01-03 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9511352B2 (en) 2012-11-21 2016-12-06 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9156025B2 (en) 2012-11-21 2015-10-13 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9586179B2 (en) 2013-07-25 2017-03-07 SDCmaterials, Inc. Washcoats and coated substrates for catalytic converters and methods of making and using same
US9950316B2 (en) 2013-10-22 2018-04-24 Umicore Ag & Co. Kg Catalyst design for heavy-duty diesel combustion engines
US9427732B2 (en) 2013-10-22 2016-08-30 SDCmaterials, Inc. Catalyst design for heavy-duty diesel combustion engines
US9517448B2 (en) 2013-10-22 2016-12-13 SDCmaterials, Inc. Compositions of lean NOx trap (LNT) systems and methods of making and using same
US9566568B2 (en) 2013-10-22 2017-02-14 SDCmaterials, Inc. Catalyst design for heavy-duty diesel combustion engines
US10086356B2 (en) 2014-03-21 2018-10-02 Umicore Ag & Co. Kg Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US10413880B2 (en) 2014-03-21 2019-09-17 Umicore Ag & Co. Kg Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US9687811B2 (en) 2014-03-21 2017-06-27 SDCmaterials, Inc. Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US20170271512A1 (en) * 2016-03-21 2017-09-21 International Business Machines Corporation Liner-less contact metallization
US11164767B2 (en) 2016-09-15 2021-11-02 Applied Materials, Inc. Integrated system for semiconductor process
EP3619335A4 (en) * 2017-05-02 2021-03-10 Picosun Oy Ald apparatus, method and valve
EP3619336A4 (en) * 2017-05-02 2020-12-30 Picosun Oy Apparatus with a valve and method of operation
CN110582591A (en) * 2017-05-02 2019-12-17 皮考逊公司 atomic layer deposition apparatus, method and valve
CN110573654A (en) * 2017-05-02 2019-12-13 皮考逊公司 apparatus having a valve and method of operation
US11761082B2 (en) 2017-05-02 2023-09-19 Picosun Oy ALD apparatus, method and valve
US20220411919A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Transition metal nitride deposition method
US11885014B2 (en) * 2021-06-29 2024-01-30 Asm Ip Holding B.V. Transition metal nitride deposition method
CN113690178A (en) * 2021-08-23 2021-11-23 长江先进存储产业创新中心有限责任公司 Method for manufacturing metal conductive structure

Also Published As

Publication number Publication date
US7049226B2 (en) 2006-05-23
US8324095B2 (en) 2012-12-04
US20100075494A1 (en) 2010-03-25
US20060148253A1 (en) 2006-07-06

Similar Documents

Publication Publication Date Title
US7049226B2 (en) Integration of ALD tantalum nitride for copper metallization
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
US20030082307A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
WO2004114398A1 (en) Integration of ald tantalum nitride for copper metallization
US7204886B2 (en) Apparatus and method for hybrid chemical processing
US20030057526A1 (en) Integration of barrier layer and seed layer
US20030059538A1 (en) Integration of barrier layer and seed layer
US6297147B1 (en) Plasma treatment for ex-situ contact fill
WO2003028090A2 (en) Integration of barrier layer and seed layer
TWI223867B (en) Method for forming a metal interconnect on a substrate
TWI609095B (en) Methods for manganese nitride integration

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12