US20050098115A1 - Atmospheric substrate processing apparatus for depositing multiple layers on a substrate - Google Patents

Atmospheric substrate processing apparatus for depositing multiple layers on a substrate Download PDF

Info

Publication number
US20050098115A1
US20050098115A1 US10/992,005 US99200504A US2005098115A1 US 20050098115 A1 US20050098115 A1 US 20050098115A1 US 99200504 A US99200504 A US 99200504A US 2005098115 A1 US2005098115 A1 US 2005098115A1
Authority
US
United States
Prior art keywords
substrate
layer
chamber
atmospheric
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/992,005
Inventor
Michael Barnes
Michael Cox
Canfeng Lai
John Parks
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/992,005 priority Critical patent/US20050098115A1/en
Publication of US20050098115A1 publication Critical patent/US20050098115A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PARKS, JOHN, COX, MICHAEL S., LAI, CANFENG, BARNES, MICHAEL
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • a typical semiconductor fabrication facility can cost billions of dollars.
  • FIG. 1 shows a deposition module 120 that can be used to deposit layers on semiconductor substrates.
  • a loadlock chamber 124 in a front end staging area 122 is loaded with cassettes containing semiconductor substrates and is pumped down to near vacuum.
  • the front staging area 122 can be connected to another processing module (not shown).
  • a transfer chamber 126 adjacent to the staging area 122 is pumped down to vacuum or near vacuum using one or more vacuum pumps (not shown) disposed on the deposition module 120 . After vacuum pumping to a sufficiently low pressure, the vacuum doors 128 of the transfer chamber 126 open so that the transfer chamber 126 and the front end staging area 122 are in communication with each other. Movable arms on a substrate handler 127 in the transfer chamber 126 retrieve substrates from the loadlock chamber 124 . The substrate handler 127 in the transfer chamber 126 then transfers the substrates into the processing regions 618 , 620 of one of the processing chambers 130 .
  • the arms of the substrate handler 127 are withdrawn.
  • the slit valves 132 to the processing chamber 130 are then closed.
  • Other processing chambers may be loaded with substrates in a similar manner.
  • layers of material e.g., capping layers
  • PECVD plasma enhanced chemical vapor deposition
  • the slit valves 132 are opened and the arms of the substrate handler 127 retrieve the substrates from the processing regions 618 , 620 .
  • the substrates are then returned to the loadlock chamber 124 .
  • the substrate handler 127 retrieves another pair of substrates from the loadlock chamber 124 , and the processing continues in the same manner.
  • the slit valves 132 to the processing chambers 130 are closed.
  • the transfer chamber 126 is then vented to atmosphere pressure using an inert gas (e.g., argon) and the front vacuum doors 128 are opened.
  • an inert gas e.g., argon
  • Another substrate handler can then retrieve the processed substrates from the loadlock chamber 124 .
  • a significant amount of time is needed to evacuate and re-pressurize the processing chambers, the transfer chamber, and the loadlock chambers in the substrate processing apparatus. It would be desirable to reduce the time associated with one or more of these steps to reduce the amount of time needed to process the substrate. Doing so would increase processing efficiency and would reduce the cycle time associated with manufacturing, for example, semiconductor chips.
  • Embodiments of the invention address this and other problems.
  • Embodiments of the invention are directed to apparatuses and methods for processing substrates.
  • One embodiment of the invention is directed to an apparatus for processing a substrate, the apparatus comprising: (a) a first atmospheric deposition station; (b) a second atmospheric deposition station comprising an atmospheric pressure vapor deposition chamber, wherein the first atmospheric deposition station and the second atmospheric deposition station are coupled together; and (c) a substrate handling system adapted to transfer substrates between the atmospheric deposition station and the second atmospheric deposition station.
  • Another embodiment of the invention is directed to an apparatus for processing semiconductor substrates, the apparatus comprising: (a) an atmospheric chemical vapor deposition chamber; (b) a plasma system associated with the atmospheric chemical vapor deposition chamber; (c) a spin coating chamber coupled to the atmospheric deposition chamber; (d) a curing station coupled to the atmospheric deposition chamber; and (e) a substrate handling system adapted to transfer substrates between the atmospheric deposition chamber, the spin coating chamber, and the curing station.
  • Another embodiment of the invention is directed to a method for processing a substrate using a substrate processing apparatus, the method comprising: (a) depositing a first layer on a substrate at atmospheric pressure at a first atmospheric deposition station; (b) transferring the substrate to an atmospheric vapor deposition chamber at a second atmospheric deposition station using a substrate transfer system; and (c) depositing a second layer on the substrate at atmospheric pressure within the atmospheric vapor deposition chamber at atmospheric pressure.
  • FIG. 1 shows a top view of a deposition module including process chambers.
  • FIG. 2 shows a top view schematic view of a substrate processing apparatus according to an embodiment of the invention.
  • FIG. 3 shows a side schematic view of a pancake induction atmospheric pressure chemical vapor deposition reactor.
  • FIG. 4 shows a side schematic view of a horizontal conduction atmospheric pressure chemical vapor deposition reactor.
  • FIG. 5 shows a side schematic view of a continuous atmospheric pressure chemical vapor deposition reactor.
  • FIG. 6 shows side cross-sectional views of layers that can be deposited using an apparatus according to an embodiment of the invention.
  • Embodiments of the invention are directed to substrate processing apparatuses and methods for processing substrates.
  • the apparatus comprises a first atmospheric deposition station and a second atmospheric deposition station.
  • the second atmospheric deposition station comprises an atmospheric pressure vapor deposition chamber.
  • An atmospheric pressure vapor deposition process such as an atmospheric pressure chemical vapor deposition (APCVD) process can be performed in the chamber.
  • APCVD atmospheric pressure chemical vapor deposition
  • Substrates are transferred between the first and second atmospheric deposition stations using a substrate handling system.
  • the substrate handling system, or parts of the substrate handling system may be housed in one or more transfer chambers.
  • the substrates may be directly or indirectly transferred from one atmospheric deposition station to another atmospheric deposition station.
  • substrates can be processed at an intermediate processing station after being processed at a first atmospheric deposition station, but before being processed at a second atmospheric deposition station.
  • a spin coating process may be performed at a first atmospheric deposition station
  • a curing process may be performed at an intermediate processing station
  • an APCVD process may be performed at a second atmospheric deposition station.
  • the first atmospheric pressure deposition station may be directly or indirectly coupled to the second atmospheric deposition station.
  • the first and the second atmospheric deposition stations may be indirectly coupled together using one or more intervening process or transfer stations.
  • the intervening stations may include, for example, process chambers (e.g., curing chambers) or transfer chambers that are disposed between the first and second atmospheric deposition stations.
  • process chambers e.g., curing chambers
  • transfer chambers e.g., transfer chambers that are disposed between the first and second atmospheric deposition stations.
  • the first atmospheric deposition station, the second atmospheric deposition station, and any optional processing or transfer stations may form a cluster tool.
  • the first atmospheric deposition station can have a liquid dispenser to dispense liquids.
  • the first atmospheric deposition station may include, for example, a spin coater with a spin coating chamber, a spray coater (e.g., an ultrasonic spray coater), a roller coater, or a curtain coater.
  • the liquid dispenser may have one or more nozzles. The one or more nozzles can dispense streams or droplets of liquid (e.g., a spray) on a substrate to form a first layer on the substrate.
  • the second atmospheric deposition station can comprise an atmospheric pressure vapor deposition chamber.
  • a layer can be deposited using gas phase reactants.
  • an APCVD process or a plasma enhanced APCVD process may be performed at the second atmospheric deposition station to deposit a second layer on the substrate.
  • the deposited first and second layers may be in direct contact with each other on the substrate or may be coupled together through one or more intervening layers.
  • Embodiments of the invention have a number of advantages.
  • the apparatus comprises a number of atmospheric deposition stations, processing chambers at these stations need not be evacuated and re-pressurized. The time associated with evacuating and re-pressurizing many different chambers is eliminated or reduced. As a result, substrates can be processed quickly and efficiently.
  • the apparatus embodiments have fewer vacuum pumps than conventional substrate processing apparatuses. For example, in some embodiments, all processing stations in the apparatus can process substrates at atmospheric pressure and no vacuum pumps are present in the apparatus. Reducing the number of vacuum pumps and other hardware associated with the vacuum pumps reduces the overall complexity of the apparatus. In addition, by using the substrate handling system to transfer substrates between the various processing stations in the apparatus, substrates can be processed continuously and automatically. Embodiments of the invention can generally provide higher throughout, smaller footprint, and lower costs than other conventional substrate processing apparatuses.
  • FIG. 2 shows an apparatus including a first process module 101 and a second process module 210 .
  • the first process module 101 and the second process module 210 are coupled together via a curing chamber 116 .
  • a first layer can be deposited on a substrate at a first atmospheric deposition station in the first process module 101 .
  • the substrate is typically a semiconductor substrate (e.g., a silicon wafer) and the first layer may be, for example, a sol-gel layer. Other processing stations may process the sol-gel layer into a porous dielectric layer.
  • a second layer can be deposited on the substrate at a second atmospheric deposition station in the second process module 210 .
  • the second layer may be, for example, a capping layer.
  • the capping layer may be on the substrate and in contact with the porous dielectric layer.
  • Sol-gel layers, porous dielectric layers, and capping layers are examples of the many layers that may be deposited and formed using embodiments of the invention. These particular layers are described in greater detail below.
  • the first process module 101 includes a plurality of processing stations and a transfer chamber 108 . Each processing station may include a processing chamber.
  • the first process module 101 includes a cooling station comprising a cooling chamber 111 , a spin coating station having spin coating chambers 114 , a curing station having a curing chamber 116 , a stripping/annealing station having a stripping/annealing chamber 118 , and a silylation station having a silylation chamber 123 . Further details about exemplary process modules and the processing chambers in the first process module can be found in U.S.
  • the various process chambers 111 , 114 , 116 , 118 , 123 are arranged around the transfer chamber 108 .
  • Each process chamber 111 , 114 , 116 , 118 , 123 is in communication with the interior of the transfer chamber 108 through various slits 110 , 113 , 117 , 119 , 121 .
  • a substrate handler 112 with arms is present in the transfer chamber 108 .
  • the arms of the substrate handlers 112 can move in a radial direction to insert substrates into the various process chambers 111 , 114 , 116 , 118 , 123 or remove substrates from them.
  • the substrate handler 112 has two arms with independent rotational movement.
  • the two armed substrate handler 112 may have arms that move in tandem.
  • the spin coating station comprising the spin coating chambers 114 may be considered a first atmospheric deposition station.
  • a spin coating process can be used to deposit a liquid on a substrate at atmospheric pressure in each of the spin coating chambers 114 .
  • a liquid is dispensed onto a substrate and is initially deposited as a puddle or stream over one part of the substrate.
  • the substrate spins and centrifugal forces distribute the liquid evenly across the surface of the spinning substrate to form a coating on the substrate.
  • the coated substrate can then be baked or cured in the curing chamber 116 .
  • the baking or curing process may also be performed at atmospheric pressure. Accordingly, in some embodiments of the invention, some or all of the stations and chambers in the apparatus may operate at atmospheric pressure.
  • the first atmospheric deposition station can have an ultrasonic spray chamber (not shown).
  • An ultrasonic spraying process may be used to form a layer on a substrate.
  • an ultrasonic spray nozzle is positioned above the substrate and breaks up the process liquid into a fine mist.
  • the spray nozzle is on an arm that moves from the center to the edge of the wafer, or vice-versa.
  • a spray envelope extends over a broad area of the substrate so that the entire surface of the substrate can be covered with the sprayed liquid.
  • the substrate may or may not be rotated while spraying.
  • ultrasonic nozzles deliver a low-velocity spray.
  • the spray velocity is approximately ⁇ fraction (1/100) ⁇ th of that produced by an ordinary pressure nozzle so excess spraying is minimized.
  • Minimizing overspraying reduces the amount of liquid that is released into the environment and reduces the amount of liquid that is wasted during processing.
  • the amount of liquid deposited on the backside of the substrate is minimized. This can eliminate the need for, or reduce the time associated with, a subsequent back side rinse process.
  • a back side rinse process the back surface of a substrate is rinsed of any liquid that was previously deposited on the front surface of the substrate.
  • the stripping/annealing chamber 118 is capable of performing one or both of a non-reactive gas anneal and an oxidizing gas strip of a deposited layer.
  • An example of a stripping/annealing chamber 118 is the WxZTM chamber that is commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • Undesired substances may be removed from a deposited layer using an annealing or a stripping process.
  • surfactants can be removed from a cured sol-gel layer by annealing the cured layer and/or exposing the cured layer to an oxidizing atmosphere.
  • a high temperature anneal can also transform a cured sol-gel layer into a mesoporous oxide layer.
  • the silylation chamber 123 can be used to perform a silylation process.
  • a layer on a substrate is exposed to a silylating agent.
  • silylating agents include tetramethyl disilazane (TMDS), hexamethyl disilazane (HMDS), and dimethylaminotrimethyl silane, and combinations thereof.
  • the substrate may be at a temperature of about 25° C. to about 200° C.
  • Many mesoporous oxide layers, for example, are hydrophilic after they are formed.
  • Silylating a hydrophilic layer on a substrate can render the layer hydrophobic. Hydrophobic layers are less likely to retain moisture than hydrophilic layers. As explained in further detail below, moisture can affect the properties of dielectric and conductive layers in an interconnect structure.
  • the first process module 101 may also include a front staging area 102 coupled to the transfer chamber 108 .
  • Substrate handlers 104 are in the front staging area 102 .
  • the substrate handlers 104 can transfer substrates between substrate cassettes 106 that are coupled to the front staging area 102 and the cooling chamber 111 .
  • the substrate cassettes 106 are adapted to support a plurality of substrates mounted in a spaced vertical arrangement.
  • a substrate rest 103 is disposed between the handlers 104 to provide a cooling rest for substrates during substrate exchange between the cooling chamber 111 and the cassettes 106 . Alternatively, the substrate rest 103 may preheat the substrates for subsequent processing.
  • the cooling chamber 111 may cool the substrates for subsequent processing or prior to exiting the apparatus.
  • the second process module 210 includes one or more atmospheric pressure vapor deposition stations 205 that are coupled together through a transfer chamber 133 .
  • Each station 205 includes an atmospheric pressure vapor deposition chamber 202 and an optional remote plasma chamber 201 .
  • Each atmospheric pressure vapor deposition station 205 may have one or more gas distribution assemblies (not shown). The gas distribution assemblies may uniformly distribute process gases onto the substrates within the atmospheric pressure vapor deposition chambers 202 .
  • a substrate handler 127 is in the transfer chamber 133 and inserts substrates into or retrieves substrates from the atmospheric vapor deposition chambers 202 . Having the substrate handler 127 in the transfer chamber 133 reduces the likelihood that contamination from the outside environment may deposit on the substrates being handled.
  • the substrate handler 127 may be the same or different than the previously described substrate handlers.
  • the atmospheric pressure vapor deposition processes performed in the atmospheric vapor deposition chambers 202 may be non-reactive or reactive. Examples of non-reactive deposition processes include evaporation and sputtering. In other embodiments, a reactive deposition process may be performed in the processing chamber. Examples of reactive deposition processes include atmospheric pressure chemical vapor deposition (APCVD) processes and plasma enhanced APCVD processes. APCVD processes are especially suitable for forming compound layers, i.e. layers of materials formed from at least two different elements such as silicon nitride, silicon oxynitride, silicon dioxide, aluminum oxide, aluminum nitride, titanium oxide, etc.
  • APCVD atmospheric pressure chemical vapor deposition
  • a non-volatile solid layer is formed on a substrate by a surface reaction of gaseous reactants.
  • a typical APCVD process comprises (1) introducing gaseous reactants and inert carrier gas into a reaction chamber, (2) transporting gaseous reactants to the surface of the substrate, (3) adsorbing reagent species onto the substrate where they undergo migration and film forming reactions, and (4) removing gaseous reaction byproducts and unused reactants from each chamber.
  • the APCVD chamber is at or near atmospheric pressure during deposition.
  • APCVD processes have higher deposition rates than LPCVD (low pressure chemical vapor deposition) processes. Accordingly, APCVD processes can deposit a layer of material on a substrate faster than typical LPCVD processes.
  • the reactant gases in the chamber can be agitated and/or the substrate being processed can be moved during the deposition process.
  • many APCVD apparatuses have a moving substrate holder that supports and moves substrates during the deposition process.
  • the substrate can be heated in an APCVD process to drive the reaction at the surface of the substrate.
  • the substrates are typically heated to temperatures ranging from about 500° C. to as high as about 1600° C.
  • Heat is supplied to the substrate in any suitable manner.
  • heat can be supplied to the substrate by heating a susceptor that supports the substrate.
  • the susceptors can be heated by, for example, resistive or inductive heating.
  • Process parameters such as the process gas composition, the process gas flowrates, the substrate temperature, and the chamber wall temperatures may be adjusted according to the particular layers being deposited.
  • specific processing recipes can be created for the particular layers being deposited.
  • the particular recipes can be created and stored in a computer at the atmospheric deposition station and can be determined by those of ordinary skill in the art.
  • Any suitable APCVD reactor can be used in the atmospheric vapor deposition station 205 .
  • APCVD reactors include cold-wall induction APCVD reactors, pancake induction heated APCVD reactors, continuous conduction heated APCVD reactors, and horizontal conduction heated APCVD reactors. These reactors are well known in the art. Some examples of suitable APCVD reactors are shown in FIGS. 3 and 4 .
  • FIG. 3 shows an example of a pancake induction heated APCVD system.
  • semiconductor substrates 307 are on a rotating holder 308 of graphite. Both the substrates 307 and the rotating holder 308 are present within an APCVD chamber 303 .
  • the graphite holder 308 is heated by induction using an RF coil (not shown) below the holder 308 .
  • Reaction gases 309 are fed through a tube 305 under the holder 308 and exit the holder 308 above the substrates 307 .
  • the holder 308 rotates and the reactant gases 309 react at the surface of the substrates 307 to form layers of material.
  • the reactant gases flow vertically with respect to the substrate.
  • Vertical gas flow offers the advantage of a continuous supply of fresh reactants to the wafers, thus minimizing downstream depletion.
  • the combination of the substrate rotation and the vertical flow of the gases produces good uniformity in the deposited layer.
  • FIG. 4 shows an example of a horizontal conduction heated APCVD system.
  • gases 317 are mixed outside of the chamber 323 and the mixed gases 317 pass to a showerhead 315 .
  • the showerhead 315 distributes the gases 317 on the substrates 320 .
  • a hot plate holder 313 moves back and forth under the showerhead 315 .
  • the gases 317 react at the surfaces of the substrates 320 to form layers of material on the substrates 320 .
  • the APCVD process is a plasma enhanced APCVD process.
  • a plasma enhanced APCVD process energy is applied to reactant gases to form a plasma containing reactive ions.
  • the plasma may be generated in the deposition chamber or may be generated in a remote chamber.
  • the remote chamber is positioned upstream of the deposition chamber.
  • a plasma is formed in the remote plasma chamber 201 that is upstream of a corresponding deposition chamber 202 .
  • the plasma in the remote plasma chamber 201 may be generated using any suitable form of energy. For example, RF (radio frequency), RF resonant, microwave, or corona energy may be used to generate a plasma.
  • the formed gaseous ions can pass downstream of the remote plasma chamber 201 and into the atmospheric vapor deposition chamber 202 where they react at the surface of the substrate.
  • plasma enhanced processes can deposit layers on a substrate more quickly and at lower temperatures than non-plasma enhanced processes.
  • the substrate handling system may comprise any suitable combination of track systems, conveyor belts, armed substrate handlers, etc. Such components may operate dependently or independently of each other.
  • the substrate handling system includes a first substrate handler 112 and a second substrate handler 127 .
  • the first and second substrate handlers 112 , 127 may work independently or dependently to transfer substrates from the spin coating chambers 114 of the first process module 101 to the atmospheric deposition chambers 202 of the second process module 210 .
  • a plurality of different process stations may be separated from each other by conveyor belts and substrate handlers that transfer the substrates between adjacent stations.
  • a spin coating chamber, a curing chamber, a stripping/annealing chamber, and an APCVD chamber may form a process line.
  • Substrates can be transferred between adjacent chambers using conveyors and/or substrate handlers that are disposed between the chambers. Substrates can be sequentially processed in the spin coating chamber, curing chamber, stripping/annealing chamber, and the APCVD chamber.
  • a batch of substrates can be substantially continuously processed without manual intervention.
  • FIG. 5 shows a reaction chamber 510 that receives process gases 507 .
  • a noble gas e.g., argon or nitrogen
  • Curtain can be disposed on opposite sides of the reaction chamber 510 to confine the process gases 507 .
  • Substrates 513 can pass under the process gases 510 as they are transported by a conveyor belt 503 .
  • a heater 501 may be under the conveyor belt 503 to heat the substrates 513 on a conveyor belt 503 to a suitable process temperature. Using the apparatus shown in FIG. 5 , substrates can be processed in a truly continuous fashion.
  • substrates can be loaded at one end of the reactor and then unloaded at another end of the reactor using substrate handlers.
  • the substrates can be transferred to the reactor from a preceding process station and away from the reactor to another subsequent process station using conveyors.
  • one or more other process stations may be coupled to either end of the reactor so that more than one layer of material can be deposited on the substrates 513 in an automated processing sequence.
  • a spin coating station or an ultrasonic spray station may be precede and may be coupled to the reactor shown in FIG. 5 to form an apparatus that can deposit multiple layers on substrates.
  • a first layer may be formed on a substrate at the first atmospheric deposition station and a second layer may be formed on the substrate at the second atmospheric deposition station.
  • the first and second layers may have any suitable characteristics.
  • each of the first layer and the second layer may be a dielectric or conductive layer, or a precursor to a dielectric or conductive layer. Either layer may be porous or solid.
  • the dielectric layer may comprise materials such as silicon dioxide, silicon nitride, silicon oxynitride, metal oxides such as titanium oxide, etc.
  • the first layer and the second layer may both be layers with dielectric properties.
  • the first layer may be a dielectric layer while the second layer may be a dielectric capping or barrier layer.
  • the first layer may comprise a dielectric material while the second layer comprises a conductive material.
  • the first and the second layers may both be conductive.
  • the first and the second layers may also be precursors to a final layer or a final layer in a semiconductor chip.
  • the first layer may be a precursor layer to a porous dielectric layer such as a mesoporous oxide layer.
  • the precursor layer may be a sol-gel layer that is later formed into a dielectric mesoporous oxide layer using additional processes such as curing and stripping.
  • the second layer may be a layer such as a dielectric capping layer that is formed using an atmospheric vapor deposition process.
  • the dielectric mesoporous oxide layer and the capping layer may be in direct contact with each other.
  • Mesoporous oxide layers and capping layers can be used in an interconnect structure in a semiconductor chip.
  • An exemplary interconnect structure 400 is shown in FIG. 6 .
  • a first mesoporous oxide 408 is on a substrate 402 that has a pattern of conducting lines 404 .
  • a first capping layer 406 is between the first dielectric layer 408 and the substrate 402 .
  • the first dielectric layer 408 may comprise a mesoporous oxide.
  • a second capping layer 410 is on the first dielectric layer 408 and may have the same or different characteristics as the first capping layer 406 .
  • a second dielectric layer 414 may comprise a mesoporous oxide layer and is disposed over the second capping layer 410 .
  • a third capping layer 416 is on the second dielectric layer 408 .
  • a conductive via 417 and a barrier layer 420 may pass through the capping layers and the dielectric layers.
  • the conductive via 417 and the conducting lines 404 may comprise any suitable conductive material including copper, aluminum, or tungsten.
  • a fourth capping layer 424 may be on the third capping layer 416 .
  • the barrier layer and the capping layers may comprise any suitable material including, for example, refractory metal nitrides (e.g., tantalum nitride), refractory metals (e.g., tantalum, tungsten), silicon carbides (e.g., amorphous silicon carbide), silicon oxides (e.g., silicon dioxide), silicon nitrides, silicon oxynitrides, etc.
  • refractory metal nitrides e.g., tantalum nitride
  • refractory metals e.g., tantalum, tungsten
  • silicon carbides e.g., amorphous silicon carbide
  • silicon oxides e.g., silicon dioxide
  • silicon nitrides silicon oxynitrides, etc.
  • Mesoporous oxide layers are desirable as dielectric layers. They have a low dielectric constant and are suitable dielectric barriers between copper layers. However, mesoporous oxide layers are highly hydrophilic and are sensitive to moisture contamination. Moisture contamination can alter the dielectric constant of a dielectric layer. For example, if water, which has a dielectric constant (k) of about 78, is absorbed by the mesoporous oxide layer, then the low dielectric constant properties of the mesoporous oxide layer can be unintentionally altered.
  • k dielectric constant
  • moisture in a porous dielectric layer can be generated during formation of the porous dielectric layer and can remain within the pores of the layer.
  • the moisture can diffuse to the surface of an adjacent conductive metal layer and can increase its resistivity.
  • Porous dielectric layers such as mesoporous oxide layers may be annealed to remove moisture.
  • the capping layer may also serve as an etch stop layer or a hard mask during the fabrication of an interconnect structure.
  • substrate cassettes 106 containing substrates are coupled to the front staging area 102 .
  • the substrate handlers 104 index the substrates in each substrate cassette 106 . Once indexed, the substrate handlers 104 transfer the substrates to the cooling chamber 111 .
  • the substrate handler 112 retrieves substrates from the cooling chamber 110 and transfers the substrates to the spin coating chambers 114 .
  • sol-gel layers are deposited on the substrates using spin coating processes.
  • the sol-gel layers can be formed using spray coating processes (e.g., ultrasonic spray coating).
  • the sol-gel solution used to form the sol-gel layer can contain a mixture comprising silicon/oxygen compounds, water, and a surfactant in an organic solvent.
  • An exemplary sol-gel solution may be a mixture of tetraethylorthosilicate (TEOS), ethanol, water, and a polyethylene oxide surfactant.
  • TEOS tetraethylorthosilicate
  • An optional acid or base catalyst may be further used in the formation of the sol-gel solution.
  • silicon/oxygen compounds in the sol-gel solution are those conventionally used in the deposition of silicon containing layers in semiconductor manufacturing.
  • silicon/oxygen compounds include silica, tetraethoxysilane (TEOS), phenyltriethyloxy silane, methyltriethoxy silane, etc.
  • Surfactants are used to disperse the silicon/oxygen compounds in sol-gel solutions so that the concentration of materials in the formed sol-gel layer are uniform.
  • the surfactants may be anionic, cationic, or non-ionic.
  • Non-ionic surfactants have chemical functional groups that are uncharged or neutral hydrophilic groups while anionic and cationic surfactants have functional groups respectfully charged negatively and positively.
  • suitable surfactants include primary amines, polyoxyethylene oxides, ethylene glycol ethers, etc.
  • Any suitable solvent may be used in the sol-gel solution.
  • suitable solvents include organic solvents.
  • Organic solvents can be alcohols such as ethanol, n-propanol, iso-propanol, n-butanol, sec-butanol, tert-butanol, ethylene glycol, etc.
  • the substrate handler 112 retrieves the substrates and transfers the substrates to the curing chamber 116 .
  • the sol-gel layers on the substrates are then cured to remove solvent and water from the layers.
  • organic solvent in the layer evaporates and moisture in the layer is removed. This increases the concentration of non-volatile surfactant and silicon/oxygen compounds in the layer.
  • the curing process may take place between about 50° C. and about 450° C. and may be performed for about one to ten minutes.
  • the cured sol-gel layer for each substrate has interconnecting pores of uniform diameter.
  • a larger number of curing chambers 116 can be coupled to the transfer chamber 108 .
  • the substrate handler 112 may be programmed to fill up the curing chambers 116 with spin-on deposited substrates prior to processing or may be programmed to load and unload substrates in the curing chamber 116 as desired.
  • the substrates can be transferred to a substrate stripping/annealing chamber 118 .
  • Annealing can be performed in the chamber 118 to remove surfactant from the cured sol-gel layer and to form a mesoporous oxide layer.
  • the stripping/annealing chamber 118 can be maintained at or near atmospheric pressure.
  • the oxygen concentration inside the stripping/annealing chamber 118 can be controlled to less than about 100 ppm during annealing.
  • annealing can take place between about 200° C. and about 450° C. and for between about 30 seconds and about 30 minutes. In a typical rapid thermal annealing process, the temperature of the substrate can increase at a rate of at least 50° C. per second.
  • the cured sol-gel layer may also be exposed to an oxidizing environment to remove surfactant from the layer and to transform it into a mesoporous oxide layer.
  • the stripping/annealing chamber 118 can be maintained at about a pressure from about 1 Torr to about 10 Torr.
  • the cured sol-gel layer can be exposed to an oxidizing gas comprising, for example, oxygen, ozone, or oxygen ions at high temperatures.
  • the oxidizing gas flow into the stripper/annealing chamber 118 can be maintained at a high flow rate (e.g., greater than 20 liters/min) to thoroughly expose the layer to the gas.
  • the substrate may be heated to between about 200° C. to about 450° C. for between about 30 seconds and 30 minutes during stripping.
  • the oxidizing gas used in the stripping process may comprise oxygen ions.
  • the oxygen ions may be formed in a plasma chamber using an RF generator or a microwave generator to form a remote plasma.
  • the formed oxygen ions pass downstream of the plasma chamber into the stripping chamber.
  • the oxygen ions react with any surfactant and solvent in the layer to remove them from the layer.
  • the substrate can be exposed to the process gas for about 0.5 minutes to about 5 minutes to remove the surfactant.
  • the formed mesoporous oxide layer is highly porous, and may have a porosity of greater than 50%. It may also have a dielectric constant of less than 2.5.
  • the mesoporous oxide layer may have a dielectric constant of about 1.6 to about 2.2.
  • the mesoporous oxide layer may be silylated in the silylation chamber 123 .
  • the mesoporous oxide layer may be rendered hydrophobic by using a silylation process.
  • the substrate handler 112 retrieves the substrates from the stripping/annealing chamber 118 or the silylation chamber 123 .
  • the substrate handler 112 in the first process module 101 may then directly or indirectly transfer the substrates to the substrate handler 127 in the second process module 210 .
  • the substrate handler 127 in the second process module 210 then places the substrates in the APCVD chambers 202 .
  • capping layers can then be deposited over the mesoporous oxide layers on the substrates.
  • reactant gases for plasma enhanced APCVD processes may be fed to one of the plasma chambers 201 .
  • ionizing energy may be applied to the gases to form a plasma.
  • the ions from the plasma pass downstream of the plasma chamber 201 to the processing chamber 202 .
  • the ionized process gases are in the processing chamber 202 , they contact the surfaces of the substrates and react on the surfaces to form layers of material on the substrates.
  • the substrates may be moving during the deposition process to improve the thickness uniformity of the deposited layers.
  • Capping layers are then formed on the mesoporous oxide layers on the substrates.
  • the processing chamber 202 is at or near atmospheric pressure during the deposition process.
  • a plasma need not be formed in the APCVD process.
  • a silicon nitride capping layer can be formed on a mesoporous oxide layer without forming a plasma.
  • the silicon nitride capping layer may be formed using silane and ammonia process gases. These gases can be introduced to a processing chamber and can react at the surface of the mesoporous oxide layer on the substrate in the chamber.
  • the substrate temperature may be at about 700 to about 900° C. during deposition.
  • a silicon nitride capping layer is subsequently formed on the mesoporous oxide layer.
  • the reactant gases need not be ionized to form the capping layer on the substrate.
  • the chamber may contain inert gases and may be at or near atmospheric pressure.
  • mesoporous oxide layer and capping layers are described in detail above, it is understood that embodiments of the invention are not limited to the formation of such layers on a substrate. Embodiments of the invention can be used to form any suitable combination of layers on a substrate.

Abstract

A substrate processing apparatus is disclosed. In one embodiment, the apparatus includes a first atmospheric deposition station and a second atmospheric deposition station. The second atmospheric deposition station comprises an atmospheric pressure vapor deposition chamber. A substrate handling system is adapted to transfer substrates between the first and the second atmospheric deposition stations.

Description

    BACKGROUND OF THE INVENTION
  • A typical semiconductor fabrication facility can cost billions of dollars. In view of the high capital costs associated with building and maintaining a semiconductor fabrication facility, it would be desirable to decrease the time needed to process semiconductor wafers into chips. By reducing the cycle time for chip production, more chips can be produced in less time, thus maximizing the use of equipment in a fabrication facility.
  • One time-consuming processing step in a chip manufacturing process is the evacuation and re-pressurization of load-locks, transfer chambers, and processing chambers. For example, FIG. 1 shows a deposition module 120 that can be used to deposit layers on semiconductor substrates. In operation, a loadlock chamber 124 in a front end staging area 122 is loaded with cassettes containing semiconductor substrates and is pumped down to near vacuum. The front staging area 122 can be connected to another processing module (not shown).
  • A transfer chamber 126 adjacent to the staging area 122 is pumped down to vacuum or near vacuum using one or more vacuum pumps (not shown) disposed on the deposition module 120. After vacuum pumping to a sufficiently low pressure, the vacuum doors 128 of the transfer chamber 126 open so that the transfer chamber 126 and the front end staging area 122 are in communication with each other. Movable arms on a substrate handler 127 in the transfer chamber 126 retrieve substrates from the loadlock chamber 124. The substrate handler 127 in the transfer chamber 126 then transfers the substrates into the processing regions 618, 620 of one of the processing chambers 130.
  • Once the semiconductor substrates are placed in the processing chambers 130, the arms of the substrate handler 127 are withdrawn. The slit valves 132 to the processing chamber 130 are then closed. Other processing chambers may be loaded with substrates in a similar manner. In each processing chamber 130, layers of material (e.g., capping layers) are respectively deposited on the substrates using, for example, a plasma enhanced chemical vapor deposition (PECVD) process. After processing is finished, the slit valves 132 are opened and the arms of the substrate handler 127 retrieve the substrates from the processing regions 618, 620. The substrates are then returned to the loadlock chamber 124. Then, the substrate handler 127 retrieves another pair of substrates from the loadlock chamber 124, and the processing continues in the same manner.
  • After all of the substrates in the loadlock chamber 124 are processed, the slit valves 132 to the processing chambers 130 are closed. The transfer chamber 126 is then vented to atmosphere pressure using an inert gas (e.g., argon) and the front vacuum doors 128 are opened. Another substrate handler (not shown) can then retrieve the processed substrates from the loadlock chamber 124.
  • A significant amount of time is needed to evacuate and re-pressurize the processing chambers, the transfer chamber, and the loadlock chambers in the substrate processing apparatus. It would be desirable to reduce the time associated with one or more of these steps to reduce the amount of time needed to process the substrate. Doing so would increase processing efficiency and would reduce the cycle time associated with manufacturing, for example, semiconductor chips.
  • Embodiments of the invention address this and other problems.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention are directed to apparatuses and methods for processing substrates.
  • One embodiment of the invention is directed to an apparatus for processing a substrate, the apparatus comprising: (a) a first atmospheric deposition station; (b) a second atmospheric deposition station comprising an atmospheric pressure vapor deposition chamber, wherein the first atmospheric deposition station and the second atmospheric deposition station are coupled together; and (c) a substrate handling system adapted to transfer substrates between the atmospheric deposition station and the second atmospheric deposition station.
  • Another embodiment of the invention is directed to an apparatus for processing semiconductor substrates, the apparatus comprising: (a) an atmospheric chemical vapor deposition chamber; (b) a plasma system associated with the atmospheric chemical vapor deposition chamber; (c) a spin coating chamber coupled to the atmospheric deposition chamber; (d) a curing station coupled to the atmospheric deposition chamber; and (e) a substrate handling system adapted to transfer substrates between the atmospheric deposition chamber, the spin coating chamber, and the curing station.
  • Another embodiment of the invention is directed to a method for processing a substrate using a substrate processing apparatus, the method comprising: (a) depositing a first layer on a substrate at atmospheric pressure at a first atmospheric deposition station; (b) transferring the substrate to an atmospheric vapor deposition chamber at a second atmospheric deposition station using a substrate transfer system; and (c) depositing a second layer on the substrate at atmospheric pressure within the atmospheric vapor deposition chamber at atmospheric pressure.
  • These and other embodiments of the invention are described in further detail below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a top view of a deposition module including process chambers.
  • FIG. 2 shows a top view schematic view of a substrate processing apparatus according to an embodiment of the invention.
  • FIG. 3 shows a side schematic view of a pancake induction atmospheric pressure chemical vapor deposition reactor.
  • FIG. 4 shows a side schematic view of a horizontal conduction atmospheric pressure chemical vapor deposition reactor.
  • FIG. 5 shows a side schematic view of a continuous atmospheric pressure chemical vapor deposition reactor.
  • FIG. 6 shows side cross-sectional views of layers that can be deposited using an apparatus according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • Embodiments of the invention are directed to substrate processing apparatuses and methods for processing substrates. In one example, the apparatus comprises a first atmospheric deposition station and a second atmospheric deposition station. The second atmospheric deposition station comprises an atmospheric pressure vapor deposition chamber. An atmospheric pressure vapor deposition process such as an atmospheric pressure chemical vapor deposition (APCVD) process can be performed in the chamber.
  • Substrates are transferred between the first and second atmospheric deposition stations using a substrate handling system. The substrate handling system, or parts of the substrate handling system, may be housed in one or more transfer chambers. In the apparatus, the substrates may be directly or indirectly transferred from one atmospheric deposition station to another atmospheric deposition station. In a typical indirect transfer of substrates, substrates can be processed at an intermediate processing station after being processed at a first atmospheric deposition station, but before being processed at a second atmospheric deposition station. For example, a spin coating process may be performed at a first atmospheric deposition station, a curing process may be performed at an intermediate processing station, and an APCVD process may be performed at a second atmospheric deposition station.
  • In embodiments of the invention, the first atmospheric pressure deposition station may be directly or indirectly coupled to the second atmospheric deposition station. For example, the first and the second atmospheric deposition stations may be indirectly coupled together using one or more intervening process or transfer stations. The intervening stations may include, for example, process chambers (e.g., curing chambers) or transfer chambers that are disposed between the first and second atmospheric deposition stations. Together, the first atmospheric deposition station, the second atmospheric deposition station, and any optional processing or transfer stations may form a cluster tool.
  • Layers of material may be deposited at the first atmospheric station using any suitable process and any suitable process equipment. For example, the first atmospheric deposition station can have a liquid dispenser to dispense liquids. In this regard, the first atmospheric deposition station may include, for example, a spin coater with a spin coating chamber, a spray coater (e.g., an ultrasonic spray coater), a roller coater, or a curtain coater. In some embodiments, the liquid dispenser may have one or more nozzles. The one or more nozzles can dispense streams or droplets of liquid (e.g., a spray) on a substrate to form a first layer on the substrate.
  • The second atmospheric deposition station can comprise an atmospheric pressure vapor deposition chamber. In the chamber, a layer can be deposited using gas phase reactants. For example, an APCVD process or a plasma enhanced APCVD process may be performed at the second atmospheric deposition station to deposit a second layer on the substrate. The deposited first and second layers may be in direct contact with each other on the substrate or may be coupled together through one or more intervening layers.
  • Embodiments of the invention have a number of advantages. As the apparatus comprises a number of atmospheric deposition stations, processing chambers at these stations need not be evacuated and re-pressurized. The time associated with evacuating and re-pressurizing many different chambers is eliminated or reduced. As a result, substrates can be processed quickly and efficiently. Also, the apparatus embodiments have fewer vacuum pumps than conventional substrate processing apparatuses. For example, in some embodiments, all processing stations in the apparatus can process substrates at atmospheric pressure and no vacuum pumps are present in the apparatus. Reducing the number of vacuum pumps and other hardware associated with the vacuum pumps reduces the overall complexity of the apparatus. In addition, by using the substrate handling system to transfer substrates between the various processing stations in the apparatus, substrates can be processed continuously and automatically. Embodiments of the invention can generally provide higher throughout, smaller footprint, and lower costs than other conventional substrate processing apparatuses.
  • An example of a substrate processing apparatus according to an embodiment of the invention can be described with reference to FIG. 2. FIG. 2 shows an apparatus including a first process module 101 and a second process module 210. In this example, the first process module 101 and the second process module 210 are coupled together via a curing chamber 116.
  • When the apparatus processes a substrate, a first layer can be deposited on a substrate at a first atmospheric deposition station in the first process module 101. The substrate is typically a semiconductor substrate (e.g., a silicon wafer) and the first layer may be, for example, a sol-gel layer. Other processing stations may process the sol-gel layer into a porous dielectric layer. A second layer can be deposited on the substrate at a second atmospheric deposition station in the second process module 210. The second layer may be, for example, a capping layer. The capping layer may be on the substrate and in contact with the porous dielectric layer. Sol-gel layers, porous dielectric layers, and capping layers are examples of the many layers that may be deposited and formed using embodiments of the invention. These particular layers are described in greater detail below.
  • In the apparatus example shown in FIG. 2, the first process module 101 includes a plurality of processing stations and a transfer chamber 108. Each processing station may include a processing chamber. In this example, the first process module 101 includes a cooling station comprising a cooling chamber 111, a spin coating station having spin coating chambers 114, a curing station having a curing chamber 116, a stripping/annealing station having a stripping/annealing chamber 118, and a silylation station having a silylation chamber 123. Further details about exemplary process modules and the processing chambers in the first process module can be found in U.S. patent application Ser. No. 09/502,126, filed Feb. 10, 2000, which is assigned to the same assignee as the present invention and is herein incorporated by reference in its entirety for all purposes. In the example shown in FIG. 2, the various process chambers 111, 114, 116, 118, 123 are arranged around the transfer chamber 108. Each process chamber 111, 114, 116, 118, 123 is in communication with the interior of the transfer chamber 108 through various slits 110, 113, 117, 119, 121.
  • A substrate handler 112 with arms is present in the transfer chamber 108. The arms of the substrate handlers 112 can move in a radial direction to insert substrates into the various process chambers 111, 114, 116, 118, 123 or remove substrates from them. In this example, the substrate handler 112 has two arms with independent rotational movement. Alternatively, the two armed substrate handler 112 may have arms that move in tandem.
  • In the apparatus shown in FIG. 2, the spin coating station comprising the spin coating chambers 114 may be considered a first atmospheric deposition station. A spin coating process can be used to deposit a liquid on a substrate at atmospheric pressure in each of the spin coating chambers 114. In a typical spin coating process, a liquid is dispensed onto a substrate and is initially deposited as a puddle or stream over one part of the substrate. During or after liquid deposition, the substrate spins and centrifugal forces distribute the liquid evenly across the surface of the spinning substrate to form a coating on the substrate. The coated substrate can then be baked or cured in the curing chamber 116. The baking or curing process may also be performed at atmospheric pressure. Accordingly, in some embodiments of the invention, some or all of the stations and chambers in the apparatus may operate at atmospheric pressure.
  • In other embodiments, the first atmospheric deposition station can have an ultrasonic spray chamber (not shown). An ultrasonic spraying process may be used to form a layer on a substrate. In an ultrasonic spraying process, an ultrasonic spray nozzle is positioned above the substrate and breaks up the process liquid into a fine mist. The spray nozzle is on an arm that moves from the center to the edge of the wafer, or vice-versa. During spraying, a spray envelope extends over a broad area of the substrate so that the entire surface of the substrate can be covered with the sprayed liquid. The substrate may or may not be rotated while spraying.
  • Compared with conventional pressure spray nozzles, ultrasonic nozzles deliver a low-velocity spray. For example, in a typical ultrasonic spray process, the spray velocity is approximately {fraction (1/100)}th of that produced by an ordinary pressure nozzle so excess spraying is minimized. Minimizing overspraying reduces the amount of liquid that is released into the environment and reduces the amount of liquid that is wasted during processing. Also, because overspaying is minimized, the amount of liquid deposited on the backside of the substrate is minimized. This can eliminate the need for, or reduce the time associated with, a subsequent back side rinse process. In a back side rinse process, the back surface of a substrate is rinsed of any liquid that was previously deposited on the front surface of the substrate.
  • The stripping/annealing chamber 118 is capable of performing one or both of a non-reactive gas anneal and an oxidizing gas strip of a deposited layer. An example of a stripping/annealing chamber 118 is the WxZ™ chamber that is commercially available from Applied Materials, Inc., of Santa Clara, Calif. Undesired substances may be removed from a deposited layer using an annealing or a stripping process. For example, during the formation of a mesoporous oxide layer, surfactants can be removed from a cured sol-gel layer by annealing the cured layer and/or exposing the cured layer to an oxidizing atmosphere. A high temperature anneal can also transform a cured sol-gel layer into a mesoporous oxide layer.
  • The silylation chamber 123 can be used to perform a silylation process. In a silylation process, a layer on a substrate is exposed to a silylating agent. Examples of silylating agents include tetramethyl disilazane (TMDS), hexamethyl disilazane (HMDS), and dimethylaminotrimethyl silane, and combinations thereof. During silylation, the substrate may be at a temperature of about 25° C. to about 200° C. Many mesoporous oxide layers, for example, are hydrophilic after they are formed. Silylating a hydrophilic layer on a substrate can render the layer hydrophobic. Hydrophobic layers are less likely to retain moisture than hydrophilic layers. As explained in further detail below, moisture can affect the properties of dielectric and conductive layers in an interconnect structure.
  • The first process module 101 may also include a front staging area 102 coupled to the transfer chamber 108. Substrate handlers 104 are in the front staging area 102. The substrate handlers 104 can transfer substrates between substrate cassettes 106 that are coupled to the front staging area 102 and the cooling chamber 111. The substrate cassettes 106 are adapted to support a plurality of substrates mounted in a spaced vertical arrangement. A substrate rest 103 is disposed between the handlers 104 to provide a cooling rest for substrates during substrate exchange between the cooling chamber 111 and the cassettes 106. Alternatively, the substrate rest 103 may preheat the substrates for subsequent processing. The cooling chamber 111 may cool the substrates for subsequent processing or prior to exiting the apparatus.
  • The second process module 210 includes one or more atmospheric pressure vapor deposition stations 205 that are coupled together through a transfer chamber 133. Each station 205 includes an atmospheric pressure vapor deposition chamber 202 and an optional remote plasma chamber 201. Each atmospheric pressure vapor deposition station 205 may have one or more gas distribution assemblies (not shown). The gas distribution assemblies may uniformly distribute process gases onto the substrates within the atmospheric pressure vapor deposition chambers 202. A substrate handler 127 is in the transfer chamber 133 and inserts substrates into or retrieves substrates from the atmospheric vapor deposition chambers 202. Having the substrate handler 127 in the transfer chamber 133 reduces the likelihood that contamination from the outside environment may deposit on the substrates being handled. The substrate handler 127 may be the same or different than the previously described substrate handlers.
  • The atmospheric pressure vapor deposition processes performed in the atmospheric vapor deposition chambers 202 may be non-reactive or reactive. Examples of non-reactive deposition processes include evaporation and sputtering. In other embodiments, a reactive deposition process may be performed in the processing chamber. Examples of reactive deposition processes include atmospheric pressure chemical vapor deposition (APCVD) processes and plasma enhanced APCVD processes. APCVD processes are especially suitable for forming compound layers, i.e. layers of materials formed from at least two different elements such as silicon nitride, silicon oxynitride, silicon dioxide, aluminum oxide, aluminum nitride, titanium oxide, etc.
  • In an APCVD process, a non-volatile solid layer is formed on a substrate by a surface reaction of gaseous reactants. A typical APCVD process comprises (1) introducing gaseous reactants and inert carrier gas into a reaction chamber, (2) transporting gaseous reactants to the surface of the substrate, (3) adsorbing reagent species onto the substrate where they undergo migration and film forming reactions, and (4) removing gaseous reaction byproducts and unused reactants from each chamber. The APCVD chamber is at or near atmospheric pressure during deposition.
  • In general, APCVD processes have higher deposition rates than LPCVD (low pressure chemical vapor deposition) processes. Accordingly, APCVD processes can deposit a layer of material on a substrate faster than typical LPCVD processes. In order to improve the uniformity of the layers deposited using APCVD processes, the reactant gases in the chamber can be agitated and/or the substrate being processed can be moved during the deposition process. For example, many APCVD apparatuses have a moving substrate holder that supports and moves substrates during the deposition process.
  • The substrate can be heated in an APCVD process to drive the reaction at the surface of the substrate. For faster reaction rates, the substrates are typically heated to temperatures ranging from about 500° C. to as high as about 1600° C. Heat is supplied to the substrate in any suitable manner. For example, heat can be supplied to the substrate by heating a susceptor that supports the substrate. The susceptors can be heated by, for example, resistive or inductive heating.
  • Process parameters such as the process gas composition, the process gas flowrates, the substrate temperature, and the chamber wall temperatures may be adjusted according to the particular layers being deposited. In this regard, specific processing recipes can be created for the particular layers being deposited. The particular recipes can be created and stored in a computer at the atmospheric deposition station and can be determined by those of ordinary skill in the art.
  • Any suitable APCVD reactor can be used in the atmospheric vapor deposition station 205. Examples of APCVD reactors include cold-wall induction APCVD reactors, pancake induction heated APCVD reactors, continuous conduction heated APCVD reactors, and horizontal conduction heated APCVD reactors. These reactors are well known in the art. Some examples of suitable APCVD reactors are shown in FIGS. 3 and 4.
  • FIG. 3 shows an example of a pancake induction heated APCVD system. In the illustrated APCVD system, semiconductor substrates 307 are on a rotating holder 308 of graphite. Both the substrates 307 and the rotating holder 308 are present within an APCVD chamber 303. The graphite holder 308 is heated by induction using an RF coil (not shown) below the holder 308. Reaction gases 309 are fed through a tube 305 under the holder 308 and exit the holder 308 above the substrates 307. The holder 308 rotates and the reactant gases 309 react at the surface of the substrates 307 to form layers of material.
  • In a pancake induction heated APCVD system, the reactant gases flow vertically with respect to the substrate. Vertical gas flow offers the advantage of a continuous supply of fresh reactants to the wafers, thus minimizing downstream depletion. The combination of the substrate rotation and the vertical flow of the gases produces good uniformity in the deposited layer.
  • FIG. 4 shows an example of a horizontal conduction heated APCVD system. In this embodiment, gases 317 are mixed outside of the chamber 323 and the mixed gases 317 pass to a showerhead 315. The showerhead 315 distributes the gases 317 on the substrates 320. As this distribution occurs, a hot plate holder 313 moves back and forth under the showerhead 315. The gases 317 react at the surfaces of the substrates 320 to form layers of material on the substrates 320.
  • In some embodiments, the APCVD process is a plasma enhanced APCVD process. In a plasma enhanced APCVD process, energy is applied to reactant gases to form a plasma containing reactive ions. The plasma may be generated in the deposition chamber or may be generated in a remote chamber. The remote chamber is positioned upstream of the deposition chamber. For example, in the embodiment illustrated in FIG. 2, a plasma is formed in the remote plasma chamber 201 that is upstream of a corresponding deposition chamber 202. The plasma in the remote plasma chamber 201 may be generated using any suitable form of energy. For example, RF (radio frequency), RF resonant, microwave, or corona energy may be used to generate a plasma. The formed gaseous ions can pass downstream of the remote plasma chamber 201 and into the atmospheric vapor deposition chamber 202 where they react at the surface of the substrate. In general, plasma enhanced processes can deposit layers on a substrate more quickly and at lower temperatures than non-plasma enhanced processes.
  • Any suitable substrate handling system can be used in the apparatus to facilitate the movement and transfer of the substrates between the processing stations and chambers within the apparatus. For example, the substrate handling system may comprise any suitable combination of track systems, conveyor belts, armed substrate handlers, etc. Such components may operate dependently or independently of each other. For instance, in the apparatus shown in FIG. 2, the substrate handling system includes a first substrate handler 112 and a second substrate handler 127. The first and second substrate handlers 112, 127 may work independently or dependently to transfer substrates from the spin coating chambers 114 of the first process module 101 to the atmospheric deposition chambers 202 of the second process module 210.
  • In other embodiments, a plurality of different process stations may be separated from each other by conveyor belts and substrate handlers that transfer the substrates between adjacent stations. Illustratively, a spin coating chamber, a curing chamber, a stripping/annealing chamber, and an APCVD chamber may form a process line. Substrates can be transferred between adjacent chambers using conveyors and/or substrate handlers that are disposed between the chambers. Substrates can be sequentially processed in the spin coating chamber, curing chamber, stripping/annealing chamber, and the APCVD chamber. In these and other embodiments, a batch of substrates can be substantially continuously processed without manual intervention.
  • An example of an APCVD reactor that can be used in a continuous process line is shown in FIG. 5. FIG. 5 shows a reaction chamber 510 that receives process gases 507. A noble gas (e.g., argon or nitrogen) “curtain” 505 can be disposed on opposite sides of the reaction chamber 510 to confine the process gases 507. Substrates 513 can pass under the process gases 510 as they are transported by a conveyor belt 503. A heater 501 may be under the conveyor belt 503 to heat the substrates 513 on a conveyor belt 503 to a suitable process temperature. Using the apparatus shown in FIG. 5, substrates can be processed in a truly continuous fashion. For example, substrates can be loaded at one end of the reactor and then unloaded at another end of the reactor using substrate handlers. The substrates can be transferred to the reactor from a preceding process station and away from the reactor to another subsequent process station using conveyors. Thus, one or more other process stations may be coupled to either end of the reactor so that more than one layer of material can be deposited on the substrates 513 in an automated processing sequence. For example, a spin coating station or an ultrasonic spray station may be precede and may be coupled to the reactor shown in FIG. 5 to form an apparatus that can deposit multiple layers on substrates.
  • As noted, a first layer may be formed on a substrate at the first atmospheric deposition station and a second layer may be formed on the substrate at the second atmospheric deposition station. The first and second layers may have any suitable characteristics. For example, each of the first layer and the second layer may be a dielectric or conductive layer, or a precursor to a dielectric or conductive layer. Either layer may be porous or solid. In addition, if the first or the second layer comprises or is formed into a dielectric layer, the dielectric layer may comprise materials such as silicon dioxide, silicon nitride, silicon oxynitride, metal oxides such as titanium oxide, etc.
  • In some embodiments, the first layer and the second layer may both be layers with dielectric properties. For example, the first layer may be a dielectric layer while the second layer may be a dielectric capping or barrier layer. In other embodiments, the first layer may comprise a dielectric material while the second layer comprises a conductive material. In yet other embodiments, the first and the second layers may both be conductive.
  • The first and the second layers may also be precursors to a final layer or a final layer in a semiconductor chip. For example, the first layer may be a precursor layer to a porous dielectric layer such as a mesoporous oxide layer. The precursor layer may be a sol-gel layer that is later formed into a dielectric mesoporous oxide layer using additional processes such as curing and stripping. The second layer may be a layer such as a dielectric capping layer that is formed using an atmospheric vapor deposition process. In this example, the dielectric mesoporous oxide layer and the capping layer may be in direct contact with each other.
  • Mesoporous oxide layers and capping layers can be used in an interconnect structure in a semiconductor chip. An exemplary interconnect structure 400 is shown in FIG. 6. In FIG. 6, a first mesoporous oxide 408 is on a substrate 402 that has a pattern of conducting lines 404. A first capping layer 406 is between the first dielectric layer 408 and the substrate 402. The first dielectric layer 408 may comprise a mesoporous oxide. A second capping layer 410 is on the first dielectric layer 408 and may have the same or different characteristics as the first capping layer 406. A second dielectric layer 414 may comprise a mesoporous oxide layer and is disposed over the second capping layer 410. A third capping layer 416 is on the second dielectric layer 408. A conductive via 417 and a barrier layer 420 may pass through the capping layers and the dielectric layers. The conductive via 417 and the conducting lines 404 may comprise any suitable conductive material including copper, aluminum, or tungsten. A fourth capping layer 424 may be on the third capping layer 416. The barrier layer and the capping layers may comprise any suitable material including, for example, refractory metal nitrides (e.g., tantalum nitride), refractory metals (e.g., tantalum, tungsten), silicon carbides (e.g., amorphous silicon carbide), silicon oxides (e.g., silicon dioxide), silicon nitrides, silicon oxynitrides, etc.
  • Mesoporous oxide layers are desirable as dielectric layers. They have a low dielectric constant and are suitable dielectric barriers between copper layers. However, mesoporous oxide layers are highly hydrophilic and are sensitive to moisture contamination. Moisture contamination can alter the dielectric constant of a dielectric layer. For example, if water, which has a dielectric constant (k) of about 78, is absorbed by the mesoporous oxide layer, then the low dielectric constant properties of the mesoporous oxide layer can be unintentionally altered.
  • In general, moisture in a porous dielectric layer can be generated during formation of the porous dielectric layer and can remain within the pores of the layer. The moisture can diffuse to the surface of an adjacent conductive metal layer and can increase its resistivity. Accordingly, it is desirable to remove moisture from porous dielectric layers such as mesoporous oxide layers. Porous dielectric layers such as mesoporous oxide layers may be annealed to remove moisture. However, it is more desirable to deposit a capping layer on the porous layer and/or make the porous layer hydrophobic. By doing so, additional moisture is inhibited from entering the pores of the porous layer. In addition to serving as a moisture barrier, the capping layer may also serve as an etch stop layer or a hard mask during the fabrication of an interconnect structure.
  • A method of forming mesoporous oxide layers and capping layers on substrates using an apparatus embodiment can be described with reference to FIG. 2. Referring to FIG. 2, substrate cassettes 106 containing substrates are coupled to the front staging area 102. The substrate handlers 104 index the substrates in each substrate cassette 106. Once indexed, the substrate handlers 104 transfer the substrates to the cooling chamber 111.
  • The substrate handler 112 retrieves substrates from the cooling chamber 110 and transfers the substrates to the spin coating chambers 114. In the spin-coating chambers 114, sol-gel layers are deposited on the substrates using spin coating processes. Alternatively, the sol-gel layers can be formed using spray coating processes (e.g., ultrasonic spray coating).
  • The sol-gel solution used to form the sol-gel layer can contain a mixture comprising silicon/oxygen compounds, water, and a surfactant in an organic solvent. An exemplary sol-gel solution may be a mixture of tetraethylorthosilicate (TEOS), ethanol, water, and a polyethylene oxide surfactant. An optional acid or base catalyst may be further used in the formation of the sol-gel solution.
  • The silicon/oxygen compounds in the sol-gel solution are those conventionally used in the deposition of silicon containing layers in semiconductor manufacturing. Examples of silicon/oxygen compounds include silica, tetraethoxysilane (TEOS), phenyltriethyloxy silane, methyltriethoxy silane, etc.
  • Surfactants are used to disperse the silicon/oxygen compounds in sol-gel solutions so that the concentration of materials in the formed sol-gel layer are uniform. The surfactants may be anionic, cationic, or non-ionic. Non-ionic surfactants have chemical functional groups that are uncharged or neutral hydrophilic groups while anionic and cationic surfactants have functional groups respectfully charged negatively and positively. Examples of suitable surfactants include primary amines, polyoxyethylene oxides, ethylene glycol ethers, etc.
  • Any suitable solvent may be used in the sol-gel solution. Examples of suitable solvents include organic solvents. Organic solvents can be alcohols such as ethanol, n-propanol, iso-propanol, n-butanol, sec-butanol, tert-butanol, ethylene glycol, etc.
  • Once the sol-gel solution has been deposited on the substrates, the substrate handler 112 retrieves the substrates and transfers the substrates to the curing chamber 116. The sol-gel layers on the substrates are then cured to remove solvent and water from the layers. During the curing step, organic solvent in the layer evaporates and moisture in the layer is removed. This increases the concentration of non-volatile surfactant and silicon/oxygen compounds in the layer. In some embodiments, the curing process may take place between about 50° C. and about 450° C. and may be performed for about one to ten minutes. The cured sol-gel layer for each substrate has interconnecting pores of uniform diameter.
  • Due to the length of curing process as compared to other processes, a larger number of curing chambers 116 can be coupled to the transfer chamber 108. For example, there may be eight curing chambers per two dual substrate spin coating chambers 114. The substrate handler 112 may be programmed to fill up the curing chambers 116 with spin-on deposited substrates prior to processing or may be programmed to load and unload substrates in the curing chamber 116 as desired.
  • After curing, the substrates can be transferred to a substrate stripping/annealing chamber 118. Annealing can be performed in the chamber 118 to remove surfactant from the cured sol-gel layer and to form a mesoporous oxide layer. For a high temperature non-reactive gas anneal, the stripping/annealing chamber 118 can be maintained at or near atmospheric pressure. The oxygen concentration inside the stripping/annealing chamber 118 can be controlled to less than about 100 ppm during annealing. In some embodiments, annealing can take place between about 200° C. and about 450° C. and for between about 30 seconds and about 30 minutes. In a typical rapid thermal annealing process, the temperature of the substrate can increase at a rate of at least 50° C. per second.
  • The cured sol-gel layer may also be exposed to an oxidizing environment to remove surfactant from the layer and to transform it into a mesoporous oxide layer. In a typical oxidation stripping process, the stripping/annealing chamber 118 can be maintained at about a pressure from about 1 Torr to about 10 Torr. The cured sol-gel layer can be exposed to an oxidizing gas comprising, for example, oxygen, ozone, or oxygen ions at high temperatures. The oxidizing gas flow into the stripper/annealing chamber 118 can be maintained at a high flow rate (e.g., greater than 20 liters/min) to thoroughly expose the layer to the gas. In some embodiments, the substrate may be heated to between about 200° C. to about 450° C. for between about 30 seconds and 30 minutes during stripping.
  • In some embodiments, the oxidizing gas used in the stripping process may comprise oxygen ions. The oxygen ions may be formed in a plasma chamber using an RF generator or a microwave generator to form a remote plasma. The formed oxygen ions pass downstream of the plasma chamber into the stripping chamber. In the stripping chamber, the oxygen ions react with any surfactant and solvent in the layer to remove them from the layer. In some embodiments, if oxygen ions are used in the stripping process, the substrate can be exposed to the process gas for about 0.5 minutes to about 5 minutes to remove the surfactant.
  • The formed mesoporous oxide layer is highly porous, and may have a porosity of greater than 50%. It may also have a dielectric constant of less than 2.5. For example, the mesoporous oxide layer may have a dielectric constant of about 1.6 to about 2.2.
  • Optionally, the mesoporous oxide layer may be silylated in the silylation chamber 123. As noted above, the mesoporous oxide layer may be rendered hydrophobic by using a silylation process.
  • After completing any stripping, annealing, or silylation processes, the substrate handler 112 retrieves the substrates from the stripping/annealing chamber 118 or the silylation chamber 123. The substrate handler 112 in the first process module 101 may then directly or indirectly transfer the substrates to the substrate handler 127 in the second process module 210. The substrate handler 127 in the second process module 210 then places the substrates in the APCVD chambers 202.
  • In the APVCD chambers 202, capping layers can then be deposited over the mesoporous oxide layers on the substrates. For example, reactant gases for plasma enhanced APCVD processes may be fed to one of the plasma chambers 201. When the gases are in the plasma chamber 201, ionizing energy may be applied to the gases to form a plasma. The ions from the plasma pass downstream of the plasma chamber 201 to the processing chamber 202. Once the ionized process gases are in the processing chamber 202, they contact the surfaces of the substrates and react on the surfaces to form layers of material on the substrates. The substrates may be moving during the deposition process to improve the thickness uniformity of the deposited layers. Capping layers are then formed on the mesoporous oxide layers on the substrates. The processing chamber 202 is at or near atmospheric pressure during the deposition process.
  • In other embodiments, a plasma need not be formed in the APCVD process. Illustratively, a silicon nitride capping layer can be formed on a mesoporous oxide layer without forming a plasma. The silicon nitride capping layer may be formed using silane and ammonia process gases. These gases can be introduced to a processing chamber and can react at the surface of the mesoporous oxide layer on the substrate in the chamber. The substrate temperature may be at about 700 to about 900° C. during deposition. A silicon nitride capping layer is subsequently formed on the mesoporous oxide layer. In this embodiment, the reactant gases need not be ionized to form the capping layer on the substrate. During the deposition process, the chamber may contain inert gases and may be at or near atmospheric pressure.
  • Although mesoporous oxide layer and capping layers are described in detail above, it is understood that embodiments of the invention are not limited to the formation of such layers on a substrate. Embodiments of the invention can be used to form any suitable combination of layers on a substrate.
  • The terms and expressions which have been employed herein are used as terms of description and not of limitation, and there is no intention in the use of such terms and expressions of excluding equivalents of the features shown and described, or portions thereof, it being recognized that various modifications are possible within the scope of the invention claimed. Moreover, any one or more features of any embodiment of the invention may be combined with any one or more other features of any other embodiment of the invention, without departing from the scope of the invention.

Claims (9)

1-15. (canceled)
16. A method for processing a substrate using a substrate processing apparatus, the method comprising:
(a) depositing a first layer on a substrate at atmospheric pressure at a first atmospheric deposition station;
(b) transferring the substrate to an atmospheric vapor deposition chamber at a second atmospheric deposition station using a substrate transfer system; and
(c) depositing a second layer on the substrate at atmospheric pressure within the atmospheric vapor deposition chamber at atmospheric pressure.
17. The method of claim 16 wherein the substrate is a semiconductor substrate.
18. The method of claim 16 wherein the first atmospheric deposition station comprises a spin coating chamber.
19. The method of claim 16 further comprising:
forming a porous dielectric layer from the deposited first layer, and wherein depositing the second layer on the substrate comprises depositing the second layer on the porous dielectric layer.
20. The method of claim 19 wherein the porous layer and the cap layer comprise dielectric materials.
21. The method of claim 16 further comprising:
curing the first layer at a curing station.
22. The method of claim 16 wherein the atmospheric vapor deposition chamber is an atmospheric chemical vapor deposition (APCVD) chamber.
23. The method of claim 16 wherein depositing the first layer comprises depositing a liquid on the substrate.
US10/992,005 2001-08-23 2004-11-17 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate Abandoned US20050098115A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/992,005 US20050098115A1 (en) 2001-08-23 2004-11-17 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/938,399 US6841006B2 (en) 2001-08-23 2001-08-23 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US10/992,005 US20050098115A1 (en) 2001-08-23 2004-11-17 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/938,399 Division US6841006B2 (en) 2001-08-23 2001-08-23 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate

Publications (1)

Publication Number Publication Date
US20050098115A1 true US20050098115A1 (en) 2005-05-12

Family

ID=25471366

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/938,399 Expired - Fee Related US6841006B2 (en) 2001-08-23 2001-08-23 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US10/992,005 Abandoned US20050098115A1 (en) 2001-08-23 2004-11-17 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/938,399 Expired - Fee Related US6841006B2 (en) 2001-08-23 2001-08-23 Atmospheric substrate processing apparatus for depositing multiple layers on a substrate

Country Status (1)

Country Link
US (2) US6841006B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060141290A1 (en) * 2002-07-30 2006-06-29 Saint-Gobain Glass Grance Titania coatings by cvd at atmospheric pressure
US20070292244A1 (en) * 2006-06-15 2007-12-20 Moore Robert B Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US20080099451A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Workpiece rotation apparatus for a plasma reactor system
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
US20090242019A1 (en) * 2007-12-19 2009-10-01 Silexos, Inc Method to create high efficiency, low cost polysilicon or microcrystalline solar cell on flexible substrates using multilayer high speed inkjet printing and, rapid annealing and light trapping
US20090263974A1 (en) * 2001-08-28 2009-10-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US20100081097A1 (en) * 2005-06-24 2010-04-01 Sokudo Co., Ltd. Substrate processing apparatus
US20100107136A1 (en) * 2008-10-23 2010-04-29 Ulf Fildebrandt Integrated development framework for composite applications
US20210343559A1 (en) * 2020-05-01 2021-11-04 Tokyo Electron Limited Processing system

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
US20060237398A1 (en) * 2002-05-08 2006-10-26 Dougherty Mike L Sr Plasma-assisted processing in a manufacturing line
US20060228497A1 (en) * 2002-05-08 2006-10-12 Satyendra Kumar Plasma-assisted coating
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
JP4056347B2 (en) * 2002-09-30 2008-03-05 ローム株式会社 Semiconductor light emitting device and manufacturing method thereof
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
CN1702849A (en) * 2004-05-26 2005-11-30 松下电器产业株式会社 Temperature abnormality detection method and semiconductor manufacturing apparatus
US7575979B2 (en) * 2004-06-22 2009-08-18 Hewlett-Packard Development Company, L.P. Method to form a film
US7918940B2 (en) * 2005-02-07 2011-04-05 Semes Co., Ltd. Apparatus for processing substrate
DE102005019686B3 (en) * 2005-04-22 2006-04-13 Schmid Technology Systems Gmbh Liquid spreading machine for producing thin even material layer on substrate has silicon substrates on conveyer belt and has ultrasonic generator in hopper producing mist falling on substrates
US20090017292A1 (en) * 2007-06-15 2009-01-15 Henry Hieslmair Reactive flow deposition and synthesis of inorganic foils
US20090011573A1 (en) * 2007-07-02 2009-01-08 Solyndra, Inc. Carrier used for deposition of materials on a non-planar surface
CN102209573A (en) * 2008-09-10 2011-10-05 透皮株式会社 Apparatus and method to dispense hpc-based viscous liquids into porous substrates, e.g., continuous web-based process
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8828776B2 (en) 2009-04-16 2014-09-09 Tp Solar, Inc. Diffusion furnaces employing ultra low mass transport systems and methods of wafer rapid diffusion processing
WO2010121190A1 (en) * 2009-04-16 2010-10-21 Tp Solar, Inc. A Corporation Of Ca Diffusion furnaces employing ultra low mass transport systems and methods of wafer rapid diffusion processing
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
CN102373435A (en) * 2010-08-17 2012-03-14 鸿富锦精密工业(深圳)有限公司 Film coating equipment
KR101147305B1 (en) * 2010-10-12 2012-05-18 나노씨엠에스(주) Silver thin-film spread apparatus by means of deposition of nano metallic silver
US8829396B2 (en) 2010-11-30 2014-09-09 Tp Solar, Inc. Finger drives for IR wafer processing equipment conveyors and lateral differential temperature profile methods
CN103250230B (en) 2010-12-13 2016-08-31 Tp太阳能公司 Adulterant coating system and be coated with vaporized doped compound in the method for photovoltaic solar wafer
US8816253B2 (en) 2011-01-21 2014-08-26 Tp Solar, Inc. Dual independent transport systems for IR conveyor furnaces and methods of firing thin work pieces
TWM415754U (en) * 2011-04-21 2011-11-11 Creating Nano Technologies Inc Atmospheric evaporation device and manufacturing apparatus of anti-smudge film
KR101905823B1 (en) 2011-07-27 2018-10-08 엘지이노텍 주식회사 Apparatus and method for fabrication wafer
TWI473290B (en) * 2011-08-19 2015-02-11 Tp Solar Inc Ultra low mass transport systems for diffusion furnaces employing anti-sag arresters and side wall heaters
JP5930852B2 (en) * 2012-06-04 2016-06-08 株式会社ユーテック Method for manufacturing ferroelectric crystal film
US20150064911A1 (en) * 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
JP2015138861A (en) * 2014-01-22 2015-07-30 ソニー株式会社 Solid state imaging element and manufacturing method, and electronic apparatus
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5151008A (en) * 1990-05-25 1992-09-29 Matsushita Electric Industrial Co., Ltd. Substrate transfer apparatus
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5501739A (en) * 1992-11-27 1996-03-26 Matsushita Electric Industrial Co., Ltd. Apparatus and method for forming thin film
US5522275A (en) * 1993-12-17 1996-06-04 Comau S.P.A. Industrial robot, particularly for moving pieces from one press to the other in a line of presses
US5540384A (en) * 1990-01-25 1996-07-30 Ultrasonic Systems, Inc. Ultrasonic spray coating system
US5562772A (en) * 1993-08-02 1996-10-08 Chartered Semiconductor Manufacturing Pte Ltd. Substrate coating apparatus
US5587130A (en) * 1993-03-15 1996-12-24 Arizona Board Of Regents Selected area adhesion and surface passivation of metal films
US5622752A (en) * 1995-04-24 1997-04-22 Ultrasonic Systems, Inc. Methods and system for applying a uniform coating to a moving workpiece using an ultrasonic spray head
US5627089A (en) * 1993-08-02 1997-05-06 Goldstar Co., Ltd. Method for fabricating a thin film transistor using APCVD
US5789819A (en) * 1994-05-20 1998-08-04 Texas Instruments Incorporated Low dielectric constant material for electronics applications
US5855957A (en) * 1997-02-18 1999-01-05 Watkins-Johnson Company Optimization of SiO2 film conformality in atmospheric pressure chemical vapor deposition
US5937856A (en) * 1997-07-31 1999-08-17 Jonasson; Hans Breathing device
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US6085575A (en) * 1997-10-10 2000-07-11 Heraeus Electro-Nite International N.V. Process for the determination of the exhaust gas temperature and of the air/fuel ratio lambda and a sensor arrangement for execution of the process
US6275744B1 (en) * 1997-08-01 2001-08-14 Kokusai Electric Co., Ltd. Substrate feed control
US6342275B1 (en) * 1993-12-24 2002-01-29 Seiko Epson Corporation Method and apparatus for atmospheric pressure plasma surface treatment, method of manufacturing semiconductor device, and method of manufacturing ink jet printing head
US6451118B1 (en) * 2000-11-14 2002-09-17 Anon, Inc. Cluster tool architecture for sulfur trioxide processing
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4003770A (en) * 1975-03-24 1977-01-18 Monsanto Research Corporation Plasma spraying process for preparing polycrystalline solar cells
EP0431951B1 (en) * 1989-12-07 1998-10-07 Research Development Corporation Of Japan An atmospheric plasma reaction method and a device therefor
US5658387A (en) 1991-03-06 1997-08-19 Semitool, Inc. Semiconductor processing spray coating apparatus
JP2640174B2 (en) * 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP2845773B2 (en) * 1995-04-27 1999-01-13 山形日本電気株式会社 Atmospheric pressure CVD equipment
US5849088A (en) * 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540384A (en) * 1990-01-25 1996-07-30 Ultrasonic Systems, Inc. Ultrasonic spray coating system
US5151008A (en) * 1990-05-25 1992-09-29 Matsushita Electric Industrial Co., Ltd. Substrate transfer apparatus
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5501739A (en) * 1992-11-27 1996-03-26 Matsushita Electric Industrial Co., Ltd. Apparatus and method for forming thin film
US5587130A (en) * 1993-03-15 1996-12-24 Arizona Board Of Regents Selected area adhesion and surface passivation of metal films
US5562772A (en) * 1993-08-02 1996-10-08 Chartered Semiconductor Manufacturing Pte Ltd. Substrate coating apparatus
US5627089A (en) * 1993-08-02 1997-05-06 Goldstar Co., Ltd. Method for fabricating a thin film transistor using APCVD
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5522275A (en) * 1993-12-17 1996-06-04 Comau S.P.A. Industrial robot, particularly for moving pieces from one press to the other in a line of presses
US6342275B1 (en) * 1993-12-24 2002-01-29 Seiko Epson Corporation Method and apparatus for atmospheric pressure plasma surface treatment, method of manufacturing semiconductor device, and method of manufacturing ink jet printing head
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5789819A (en) * 1994-05-20 1998-08-04 Texas Instruments Incorporated Low dielectric constant material for electronics applications
US5622752A (en) * 1995-04-24 1997-04-22 Ultrasonic Systems, Inc. Methods and system for applying a uniform coating to a moving workpiece using an ultrasonic spray head
US5855957A (en) * 1997-02-18 1999-01-05 Watkins-Johnson Company Optimization of SiO2 film conformality in atmospheric pressure chemical vapor deposition
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US5937856A (en) * 1997-07-31 1999-08-17 Jonasson; Hans Breathing device
US6275744B1 (en) * 1997-08-01 2001-08-14 Kokusai Electric Co., Ltd. Substrate feed control
US6085575A (en) * 1997-10-10 2000-07-11 Heraeus Electro-Nite International N.V. Process for the determination of the exhaust gas temperature and of the air/fuel ratio lambda and a sensor arrangement for execution of the process
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6451118B1 (en) * 2000-11-14 2002-09-17 Anon, Inc. Cluster tool architecture for sulfur trioxide processing

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090263974A1 (en) * 2001-08-28 2009-10-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US7597940B2 (en) * 2002-07-30 2009-10-06 Saint-Gobain Glass France Methods for preparing titania coatings by plasma CVD at atmospheric pressure
US20060141290A1 (en) * 2002-07-30 2006-06-29 Saint-Gobain Glass Grance Titania coatings by cvd at atmospheric pressure
US20100081097A1 (en) * 2005-06-24 2010-04-01 Sokudo Co., Ltd. Substrate processing apparatus
US20070292244A1 (en) * 2006-06-15 2007-12-20 Moore Robert B Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
WO2007146643A2 (en) * 2006-06-15 2007-12-21 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7997851B2 (en) 2006-06-15 2011-08-16 Applied Materials, Inc. Apparatus and method for a multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
WO2007146643A3 (en) * 2006-06-15 2008-10-23 Applied Materials Inc Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US20090092466A1 (en) * 2006-06-15 2009-04-09 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
EP1918977A2 (en) 2006-10-30 2008-05-07 Applied Materials, Inc. Workpiece rotation apparatus for a plasma reactor system
EP1918977A3 (en) * 2006-10-30 2009-12-02 Applied Materials, Inc. Workpiece rotation apparatus for a plasma reactor system
JP2008112963A (en) * 2006-10-30 2008-05-15 Applied Materials Inc Rotating device of workpiece for plasma reactor system
US20080099451A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Workpiece rotation apparatus for a plasma reactor system
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
US20090242019A1 (en) * 2007-12-19 2009-10-01 Silexos, Inc Method to create high efficiency, low cost polysilicon or microcrystalline solar cell on flexible substrates using multilayer high speed inkjet printing and, rapid annealing and light trapping
US20100107136A1 (en) * 2008-10-23 2010-04-29 Ulf Fildebrandt Integrated development framework for composite applications
US20210343559A1 (en) * 2020-05-01 2021-11-04 Tokyo Electron Limited Processing system

Also Published As

Publication number Publication date
US6841006B2 (en) 2005-01-11
US20030039766A1 (en) 2003-02-27

Similar Documents

Publication Publication Date Title
US6841006B2 (en) Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
US11060188B2 (en) Selective deposition of aluminum oxide on metal surfaces
US6365518B1 (en) Method of processing a substrate in a processing chamber
US11408075B2 (en) Batch curing chamber with gas distribution and individual pumping
US7989365B2 (en) Remote plasma source seasoning
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
CN108597983B (en) Selective deposition of silicon nitride on silicon oxide using catalyst control
US7381052B2 (en) Apparatus and method for heating substrates
KR100789858B1 (en) Method for forming insulating film, device for forming insulating film, and method for manufacturing semiconductor device
US20170114453A1 (en) Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
JP2000299287A (en) Thermal treatment method and apparatus therefor
US6365495B2 (en) Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
CN114641592A (en) Metal deposition
WO2020189288A1 (en) Film formation method and film formation apparatus
CN112740397A (en) Gap-filling with aluminum film
US9646818B2 (en) Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US6383949B1 (en) Method of depositing an ozone-TEOS oxide film to eliminate its base material dependence, and apparatus for forming such a film at several different temperatures
JP4194262B2 (en) Substrate processing apparatus and substrate processing method
US20210272840A1 (en) Method of manufacturing semiconductor device
CN115662924B (en) Cleaning control system and method for semiconductor substrate and cleaning equipment
TWI773910B (en) Batch curing chamber with gas distribution and individual pumping
US20080119059A1 (en) Low thermal budget chemical vapor deposition processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BARNES, MICHAEL;COX, MICHAEL S.;LAI, CANFENG;AND OTHERS;REEL/FRAME:021963/0781;SIGNING DATES FROM 20010815 TO 20010823

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION