US20050085072A1 - Formation of self-aligned contact plugs - Google Patents

Formation of self-aligned contact plugs Download PDF

Info

Publication number
US20050085072A1
US20050085072A1 US10/690,317 US69031703A US2005085072A1 US 20050085072 A1 US20050085072 A1 US 20050085072A1 US 69031703 A US69031703 A US 69031703A US 2005085072 A1 US2005085072 A1 US 2005085072A1
Authority
US
United States
Prior art keywords
transistor gate
forming
transistor
amorphous carbon
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/690,317
Inventor
Hyun Kim
Byron Burgess
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/690,317 priority Critical patent/US20050085072A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BURGESS, BYRON N., KIM, HYUN T.
Publication of US20050085072A1 publication Critical patent/US20050085072A1/en
Priority to US11/495,437 priority patent/US7279419B2/en
Priority to US11/495,652 priority patent/US20060264032A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Definitions

  • This invention relates to semiconductor fabrication processing and, more particularly, to methods for forming self-aligned contact plugs for semiconductor devices, such as dynamic random access memories (DRAMs).
  • DRAMs dynamic random access memories
  • circuit density is continuing to increase at a fairly constant rate.
  • semiconductor devices it may be advantageous to build contact plugs for interlayer connections having high aspect ratio structures, as circuit density will be enhanced.
  • the ability to precisely align contact plugs to their associated devices becomes a crucial requirement of semiconductor manufacturing technologies if future generations of expanded memory array devices are to be successfully manufactured.
  • Forming contact plugs to regions in a semiconductor device are well known. See for example U.S. Pat. No. 6,518,626 describing a self-aligned contact to a source/drain region of a transistor.
  • the contact is fabricated between transistor gate stacks having sidewall spacers, often formed of an oxide or nitride.
  • the process includes forming an insulating layer, for example an oxide such as BPSG, over the gate stacks and etching through the insulating layer.
  • the sidewall spacers on the gate stack protect the gate stack and allow for lateral margin during the etching process.
  • the etching process does remove some of the sidewall spacer. As the thickness of the spacer decreases with advances in semiconductor designs, removal of a portion of the spacer can create short circuits between the transistor gate stack and the conductive contact plug.
  • Prior art used a nitride sidewall spacer and a layer of oxide over the gate stack.
  • Prior self aligned contact processes used an etch process to remove the oxide (BPSG) selectively to nitride.
  • BPSG oxide
  • a polymer layer is re-deposited on the sidewall spacer during the etch operation. The higher the selectivity the thicker the polymer layer becomes. As such, if too high of a selectivity is used the contact opening can become blocked with the polymer layer. Balancing the thickness of the polymer layer, etch selectivity, contact width and the thickness of the sidewall spacer is challenging.
  • Prior etch processes have been limited to a selectivity of oxide to nitride in the range of less than 40:1.
  • Embodiments of the present invention describe methods to form self-aligned contact plugs that address the above challenges, the methods disclosed herein for use in the manufacture of semiconductor assemblies, which will become apparent to those skilled in the art from the following disclosure.
  • Exemplary implementations of the present invention include methods to form self-aligned contact plugs.
  • One method provides process steps that creates an inner dielectric isolation layer after the contact region is protected, which is followed by the formation of the self-aligned contact structures.
  • a second method provides process steps that create an inner dielectric isolation layer after the self-aligned contact structures are formed.
  • FIG. 1 is a cross-sectional view of a semiconductor substrate section depicting storage cell access transistors on a silicon substrate and an overlying patterned photoresist to cover the active region.
  • FIG. 2 is a subsequent cross-sectional view taken from FIG. 1 following the formation of an inner dielectric layer (IDL) to cover the semiconductor substrate section.
  • IDL inner dielectric layer
  • FIG. 3 is a subsequent cross-sectional view taken from FIG. 2 following the planarization of the IDL and the photoresist, with the planarization stopping on the top surfaces of the transistor gates of the storage cell access transistors.
  • FIG. 4 is a cross-sectional view taken from FIG. 5 following the removal of the remaining planarized photoresist.
  • FIG. 5 is a cross-sectional view taken from FIG. 4 following the formation of a conductor over the planarized IDL, over the transistor gates and into the opening between each transistor gate created by the removal of the planarized photoresist.
  • FIG. 6 is a cross-sectional view taken from FIG. 5 following the planarization of the conductor to form contact plugs between the transistor gates and connecting to underlying conductively doped source/drain regions.
  • FIG. 7 is a cross-sectional view taken from FIG. 6 following the formation of a second inner dielectric layer and an overlying patterned layer of photoresist.
  • FIG. 8 is a subsequent cross-sectional view taken from FIG. 7 after an etch step forms holes into the second IDL that provides access to the underlying conductive plugs followed by the formation of an overlying conductive layer that covers the second IDL and fills the holes to make contact with the underlying conductive plugs.
  • FIG. 9 is a cross-sectional view taken from FIG. 8 following the planarization of the second conductive layer to form a separate interconnect to each underlying conductive plug.
  • FIG. 10 is an overhead plan view of FIG. 9 showing a completed interconnect structure between transistor source/drain regions and a self-aligned contact plug depicting an embodiment of the present invention.
  • FIG. 11 is a cross-sectional view of a semiconductor substrate section depicting storage cell access transistors on a silicon substrate and an overlying amorphous carbon to cover the entire semiconductor substrate section.
  • FIG. 12 is a subsequent cross-sectional view taken from FIG. 11 following the formation of a patterned photoresist that covers the area lying outside of the underlying active area and exposed the amorphous carbon overlying the active area.
  • FIG. 13 is a subsequent cross-sectional view taken from FIG. 12 following the removal of the exposed amorphous carbon to provide an opening to give access to the underlying source/drain regions of the transistors.
  • FIG. 14 is a subsequent cross-sectional view taken from FIG. 13 following the formation of conductor overlying the surface of the remaining amorphous carbon, the transistor gates and filling the openings to make contact to the underlying source/drain regions of the transistors.
  • FIG. 15 is a cross-sectional view taken from FIG. 14 following the planarization of the conductor to form contact plugs between the transistor gates and connecting to underlying conductively doped source/drain regions.
  • FIG. 16 is a cross-sectional view taken from FIG. 15 following the removal of the remaining amorphous carbon.
  • FIG. 17 is a cross-section view taken from FIG. 16 depicting and option formation of a patterning photoresist overlying the active area.
  • FIG. 18 is a subsequent cross-sectional view taken from FIG. 17 following the formation of an inner dielectric layer (IDL) to cover the semiconductor substrate section.
  • IDL inner dielectric layer
  • FIG. 19 is a subsequent cross-sectional view taken from FIG. 18 following the planarization of the IDL, with the planarization stopping on the top surfaces of the transistor gates of the storage cell access transistors.
  • FIG. 20 is a cross-sectional view taken from FIG. 19 following the formation of a second inner dielectric layer (IDL) and an overlying patterned layer of photoresist.
  • IDL inner dielectric layer
  • FIG. 21 is a subsequent cross-sectional view taken from FIG. 20 after an etch step forms holes into the second IDL that provides access to the underlying conductive plugs followed by the formation of an overlying conductive layer that covers the second IDL and fills the holes to make contact with the underlying conductive plugs.
  • FIG. 22 is a cross-sectional view taken from FIG. 21 following the planarization of the second conductive layer to form a separate interconnect to each underlying conductive plug.
  • FIG. 23 is an overhead plan view of FIG. 22 showing a completed interconnect structure between transistor source/drain regions and a self-aligned contact plug depicting an embodiment of the present invention.
  • FIG. 24 is a cross-sectional view of a semiconductor substrate section depicting single contact plug formed in an amorphous carbon layer.
  • FIG. 25 is a cross-sectional view of a semiconductor substrate section depicting multiple contact plugs formed in an amorphous carbon layer.
  • FIG. 26 is a simplified block diagram of a semiconductor system comprising a processor and memory device to which the present invention may be applied.
  • Embodiments of the present invention provide methods of forming transistor contacts without degrading sidewall spacers.
  • a photoresist or amorphous carbon material is used to fill a region between transistor gate stacks.
  • the photoresist or amorphous carbon material can be removed to expose the source/drain contact area without substantial sacrificial removal of the sidewall spacer. That is, the photoresist or amorphous carbon material can be removed with greater selectivity to the spacer material than prior materials. For example, a dry develop process can be performed to remove the amorphous carbon material.
  • the present invention is not limited to photoresist or amorphous carbon material but can be implemented with other materials that can be removed without etching a sidewall spacer.
  • a filler material can be used that can be removed with a selectively to nitride greater than about 40:1.
  • the increased selectivity allows the formation of a self-aligned contact in a contact opening having an aspect ratio (depth:width) greater than 5:1 while using a nitride spacer.
  • the present invention is described herein with reference to a series of contacts between adjacent transistors, but can be implemented in stand alone, or discrete contacts.
  • Exemplary implementations of the present invention are directed to processes for forming self-aligned contact plugs in a semiconductor device as depicted in the embodiment of FIGS. 1-10 and the embodiment of FIGS. 11-22 .
  • wafer and “substrate” are to be understood as a semiconductor-based material including silicon, silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • SOI silicon-on-insulator
  • SOS silicon-on-sapphire
  • doped and undoped semiconductors epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • previous process steps may have been utilized to form regions or junctions in or over the base semiconductor structure or foundation.
  • the semiconductor need not be silicon-based, but could be based on silicon-germanium, silicon-on-insulator, silicon-on-saphire, germanium, or gallium arsenide, among others.
  • substrate 10 is prepared for processing steps of an embodiment of the present embodiment.
  • Substrate 10 may be a silicon material, such as a conductively doped silicon wafer.
  • Processing steps known by one skilled in the art can be used to form field effect transistors (FETs), comprising source/drain regions 18 that span between insulated transistor gate electrodes 17 .
  • Insulated transistor gate electrodes 17 are made up of transistor gate oxide 12 and conductive layers 13 and 14 , such as polysilicon and silicide, respectively, which are isolated by transistor gate cap insulator 15 and gate spacers 16 , made from dielectric materials such as nitride.
  • the field effect transistors (FETs) are isolated from adjacent structures by field oxide or trench isolation material 11 .
  • a masking layer 19 such as photoresist or preferably transparent amorphous carbon is placed and then patterned to cover the defined active region of the FETs into which the source/drain regions where formed.
  • an inner dielectric layer (IDL) 20 is formed to cover the entire semiconductor substrate section.
  • the IDL 20 be an oxide that is formed by a process which utilizes a low process temperature that is below 600° C. (a temperature of approximately 550° C. or lower is preferred), such as using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Processing the IDL at a low is important so that the integrity of the amorphous carbon is maintained. The amorphous carbon has weak carbon bonding, bonding that is susceptible to being broken if exposed to excessive heat. At a temperature below 600° C., the films' original characteristics remain without any substantial deformation, burning, volume change or reflow of the film.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • the IDL 20 and the masking layer 19 are planarized with the planarization step stopping on the transistor gate cap insulator 15 of each the storage cell access transistors.
  • planarized masking layer 19 is removed to expose source/drain regions 18 and also to leave sections of IDL 20 to isolate the access transistor structures where they overly the trench isolation material 11 .
  • masking layer 19 is photoresist it is stripped using conventional methods, if the masking layer is amorphous carbon, it is removed using dry development processing.
  • the dry development processing used to remove either the photoresist or the amorphous carbon can be a typical dry etch process known to those skilled in the art, such as a process utilizing an O 2 /SO 2 etch chemistry.
  • the process steps depicted in FIG. 1-4 allow for the subsequent formation of self-aligned contact plugs as described in FIG. 5 and 6 .
  • a conductor 50 such as a conductively doped polysilicon, which is preferred, is formed over the planarized IDL sections 20 , over the transistor gates 17 and into the opening between each transistor gate created by the removal of the planarized masking layer 19 (seen in FIG. 3 ).
  • Other conductors such as a metal or metal silicide can be used for conductor 50 if so desired.
  • conductor 50 is planarized such that this planarization step stops on transistor gate cap isolation 15 to form self-aligned contact plugs 60 that align between the transistor gates 17 and connect to underlying conductively doped source/drain regions 18 .
  • a second inner dielectric layer (IDL) 70 is formed to cover the sections of isolation regions 20 , transistor gate electrodes 17 and self-aligned contact plugs 60 and then planarized.
  • a second masking layer 71 such as photoresist is formed and patterned such that the patterned masking layer lines up with the underlying self-aligned contact plugs 60 .
  • an etch step forms holes into the second IDL 70 to provide access to the underlying conductive plugs 60 and the masking layer 71 is also stripped.
  • overlying conductive layer 80 is formed that covers the second IDL 70 and fills the holes to make contact with the underlying conductive plugs 60 .
  • the second conductive layer 80 is planarized to form a separate interconnect 90 to each underlying conductive plug 80 .
  • FIG. 10 is an overhead plan view of FIG. 9 showing a completed interconnect structure between transistor source/drain regions 18 and each self-aligned contact plug 60 (not seen) and the interconnects 90 , which depicts an embodiment of the present invention.
  • the semiconductor assembly is then completed using fabrication methods know to those skilled in the art.
  • substrate 110 is prepared for the processing steps of the present embodiment.
  • Substrate 110 may be a silicon material, such as a conductively doped silicon wafer.
  • Processing steps known by one skilled in the art, are used to form field effect transistors (FETs), comprising source/drain regions 118 that span between insulated transistor gate electrodes 117 .
  • Insulated transistor gate electrodes 117 are made up of transistor gate oxide 112 and conductive layers 113 and 114 , such as polysilicon and silicide, respectively, which are isolated by transistor gate cap insulator 115 and gate spacers 116 , made from dielectric materials such as nitride.
  • the field effect transistors (FETs) are isolated from adjacent structures by field oxide or trench isolation material 111 .
  • a transparent amorphous carbon layer 119 is placed to cover the entire semiconductor substrate section.
  • a photoresist 120 is placed and patterned such that the patterned photoresist 120 exposes the portion of the amorphous carbon 119 overlying the active area (also the future contact plug region) and covers the area lying outside of the underlying active area in which the source/drain regions 118 have been formed.
  • the exposed region of amorphous carbon 119 is removed to provide openings that give access to the underlying source/drain regions 118 of the access transistors.
  • the patterned photoresist 120 is stripped to leave sections of amorphous carbon 119 remaining that cover the portions of the access transistors that overly the trench isolation sections 111 .
  • Using dry development to open the contact plug regions is preferred as the amorphous carbon dry develop selectivity to nitride is near infinite. (The preferred material used to form transistor gate cap insulator 115 and transistor spacers 116 .)
  • the process steps depicted in FIG. 11-13 allow for the subsequent formation of self-aligned contact plugs as described in FIG. 14-16 .
  • a conductive layer 140 such as a preferred conductively doped polysilicon (or metal if desired), is formed to overly overlying the surface of the remaining amorphous carbon sections 119 , the transistor gate electrodes 117 and to fill the openings between the transistor gate electrodes and thus makes contact to the underlying source/drain regions 118 of the access transistors.
  • the conductive layer 140 be a conductively doped polysilicon that is formed by a process which utilizes a low process temperature that is below 600° C. (a temperature of approximately 550° C. or lower is preferred), such as using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • Processing the conductively doped polysilicon at a low temperature below 600° C. is important so that the integrity of the amorphous carbon is maintained.
  • the amorphous carbon has weak carbon bonding, bonding that is susceptible to being broken if exposed to excessive heat.
  • the films' original characteristics remain without any substantial deformation, burning, volume change or reflow of the film.
  • the conductive layer 140 and the remaining amorphous carbon sections 119 are planarized down to the transistor gate cap insulation 115 to form self-aligned contact plugs 150 that align between the transistor gate electrodes 117 and connect to underlying conductively doped source/drain regions 118 .
  • amorphous carbon 119 are removed using a fusion strip/wet clean process.
  • the fusion strip/wet clean processing used to remove the amorphous carbon can be a typical O 2 fusion strip, followed by a wet chemistry, such as tungsten ammonium hydroxide/hydrogen peroxide mixture (WAPM), an ammonium hydroxide/hydrogen peroxide mixture (APM) or HF (hydrofluoric acid)) to clean the wafer of any residual prior to the deposition of a subsequent inner dielectric layer.
  • WAPM tungsten ammonium hydroxide/hydrogen peroxide mixture
  • APIAM ammonium hydroxide/hydrogen peroxide mixture
  • HF hydrofluoric acid
  • FIG. 17 depicts the optional step of forming a patterning photoresist 170 overlying the self-aligned contact plugs 150 (and thus the active area defined for the access transistors) which will protect the self-aligned contact plugs 150 and prevent dishing that may result during a subsequent planarization step.
  • an inner dielectric layer (IDL) 180 such as oxide, to cover the entire semiconductor substrate section.
  • IDL inner dielectric layer
  • FIG. 19 the IDL 180 is planarized, with the planarization stopping on the transistor gate isolation cap 115 of the transistor gate electrodes for each storage cell access transistors.
  • the optional patterned photoresist 170 that is formed as depicted in FIG. 17 if so desired in order to prevent any dishing of the self-aligned contact plugs 150 , during the planarization of IDL 180 . If optional patterned photoresist 170 is present, it is now stripped.
  • a second inner dielectric layer (IDL) 200 is formed to cover the sections of isolation regions 180 , transistor gate electrodes 117 and self-aligned contact plugs 150 and then planarized.
  • a second masking layer 201 such as photoresist is formed and patterned such that the patterned masking layer lines up with the underlying self-aligned contact plugs 150 .
  • an etch step forms holes into the second IDL 200 to provide access to the underlying conductive plugs 150 and the masking layer 2011 is also stripped.
  • overlying conductive layer 210 is formed that covers the second IDL 200 and fills the holes to make contact with the underlying self-aligned conductive plugs 150 .
  • the second conductive layer 210 is planarized to form a separate interconnect 220 to each underlying conductive plug 150 .
  • FIG. 23 is an overhead plan view of FIG. 22 showing a completed interconnect structure between transistor source/drain regions 218 and each self-aligned contact plug 150 (not seen) and the interconnects 220 , which depicts a second embodiment of the present invention.
  • the semiconductor assembly is then completed using fabrication methods know to those skilled in the art.
  • FIGS. 25 and 26 in process semiconductors are illustrated to show discrete contacts.
  • a single contact plug 248 is formed in the amorphous carbon layer 247 .
  • the amorphous carbon layer 247 is patterned and removed as explained above to open the contact region and a conductive material, such as polysilicon, is used to form the plug 248 .
  • the amorphous carbon layer 247 and polysilicon can be planarized as explained in the previous embodiments. The planarization can stop on the top of the gate stack nitride 244 or leave some of the plug extending above the gate stacks as illustrated.
  • Subsequent process operations, not shown in FIG. 25 remove the remaining amorphous carbon layer 247 and replace it with a dielectric material, as explained in the previous embodiments.
  • multiple single contact plugs 258 are formed in the amorphous carbon layer 257 .
  • the amorphous carbon layer 257 is patterned and removed as explained above to open the contact regions and a conductive material, such as polysilicon, is used to form the plugs 258 .
  • the amorphous carbon layer and polysilicon can be planarized as explained in the previous embodiments. The planarization can stop on the top of the gate stack nitride 254 or leave some of the plug extending above the gate stacks as illustrated. Subsequent process operations, not shown in FIG. 26 , remove the remaining amorphous carbon layer 257 and replace it with an dielectric material, as explained in the previous embodiments.
  • FIG. 26 represents a general block diagram of a semiconductor system, the general operation of which is known to one skilled in the art, the semiconductor system comprising a processor 260 and a memory device 261 showing the basic sections of a memory integrated circuit, such as row and column address buffers, 263 and 264 , row and column decoders, 265 and 266 , sense amplifiers 267 , memory array 268 and data input/output 269 , which are manipulated by control/timing signals from the processor through control 262 .
  • a memory integrated circuit such as row and column address buffers, 263 and 264 , row and column decoders, 265 and 266 , sense amplifiers 267 , memory array 268 and data input/output 269 , which are manipulated by control/timing signals from the processor through control 262 .

Abstract

Methods of forming a contact structure for semiconductor assemblies are described. One method provides process steps to create an inner dielectric isolation layer after the contact region is protected, which is followed by the formation of the self-aligned contact structures. A second method provides process steps to create an inner dielectric isolation layer after the self-aligned contact structures are formed.

Description

    FIELD OF THE INVENTION
  • This invention relates to semiconductor fabrication processing and, more particularly, to methods for forming self-aligned contact plugs for semiconductor devices, such as dynamic random access memories (DRAMs).
  • BACKGROUND OF THE INVENTION
  • The continuing trend of scaling down integrated circuits has motivated the semiconductor industry to consider new techniques for fabricating precise components at sub-micron levels. One important area in semiconductor fabrication is forming the interconnecting structure within the integrated circuit and particularly connection between a transistor and other devices.
  • As is the case for most semiconductor integrated circuitry, circuit density is continuing to increase at a fairly constant rate. In semiconductor devices it may be advantageous to build contact plugs for interlayer connections having high aspect ratio structures, as circuit density will be enhanced. In that light, the ability to precisely align contact plugs to their associated devices becomes a crucial requirement of semiconductor manufacturing technologies if future generations of expanded memory array devices are to be successfully manufactured.
  • Forming contact plugs to regions in a semiconductor device are well known. See for example U.S. Pat. No. 6,518,626 describing a self-aligned contact to a source/drain region of a transistor. The contact is fabricated between transistor gate stacks having sidewall spacers, often formed of an oxide or nitride. The process includes forming an insulating layer, for example an oxide such as BPSG, over the gate stacks and etching through the insulating layer. The sidewall spacers on the gate stack protect the gate stack and allow for lateral margin during the etching process. The etching process, however, does remove some of the sidewall spacer. As the thickness of the spacer decreases with advances in semiconductor designs, removal of a portion of the spacer can create short circuits between the transistor gate stack and the conductive contact plug.
  • Removing doped oxide relative to un-doped oxide can be more difficult than removing an oxide relative to nitrite. Therefore, prior art used a nitride sidewall spacer and a layer of oxide over the gate stack. Prior self aligned contact processes used an etch process to remove the oxide (BPSG) selectively to nitride. Depending upon the selectivity used, a polymer layer is re-deposited on the sidewall spacer during the etch operation. The higher the selectivity the thicker the polymer layer becomes. As such, if too high of a selectivity is used the contact opening can become blocked with the polymer layer. Balancing the thickness of the polymer layer, etch selectivity, contact width and the thickness of the sidewall spacer is challenging. Prior etch processes have been limited to a selectivity of oxide to nitride in the range of less than 40:1.
  • Embodiments of the present invention describe methods to form self-aligned contact plugs that address the above challenges, the methods disclosed herein for use in the manufacture of semiconductor assemblies, which will become apparent to those skilled in the art from the following disclosure.
  • SUMMARY OF THE INVENTION
  • Exemplary implementations of the present invention include methods to form self-aligned contact plugs. One method provides process steps that creates an inner dielectric isolation layer after the contact region is protected, which is followed by the formation of the self-aligned contact structures. A second method provides process steps that create an inner dielectric isolation layer after the self-aligned contact structures are formed.
  • BRIEF DESCRIPTION OF THE DRAWING
  • FIG. 1 is a cross-sectional view of a semiconductor substrate section depicting storage cell access transistors on a silicon substrate and an overlying patterned photoresist to cover the active region.
  • FIG. 2 is a subsequent cross-sectional view taken from FIG. 1 following the formation of an inner dielectric layer (IDL) to cover the semiconductor substrate section.
  • FIG. 3 is a subsequent cross-sectional view taken from FIG. 2 following the planarization of the IDL and the photoresist, with the planarization stopping on the top surfaces of the transistor gates of the storage cell access transistors.
  • FIG. 4 is a cross-sectional view taken from FIG. 5 following the removal of the remaining planarized photoresist.
  • FIG. 5 is a cross-sectional view taken from FIG. 4 following the formation of a conductor over the planarized IDL, over the transistor gates and into the opening between each transistor gate created by the removal of the planarized photoresist.
  • FIG. 6 is a cross-sectional view taken from FIG. 5 following the planarization of the conductor to form contact plugs between the transistor gates and connecting to underlying conductively doped source/drain regions.
  • FIG. 7 is a cross-sectional view taken from FIG. 6 following the formation of a second inner dielectric layer and an overlying patterned layer of photoresist.
  • FIG. 8 is a subsequent cross-sectional view taken from FIG. 7 after an etch step forms holes into the second IDL that provides access to the underlying conductive plugs followed by the formation of an overlying conductive layer that covers the second IDL and fills the holes to make contact with the underlying conductive plugs.
  • FIG. 9 is a cross-sectional view taken from FIG. 8 following the planarization of the second conductive layer to form a separate interconnect to each underlying conductive plug.
  • FIG. 10 is an overhead plan view of FIG. 9 showing a completed interconnect structure between transistor source/drain regions and a self-aligned contact plug depicting an embodiment of the present invention.
  • FIG. 11 is a cross-sectional view of a semiconductor substrate section depicting storage cell access transistors on a silicon substrate and an overlying amorphous carbon to cover the entire semiconductor substrate section.
  • FIG. 12 is a subsequent cross-sectional view taken from FIG. 11 following the formation of a patterned photoresist that covers the area lying outside of the underlying active area and exposed the amorphous carbon overlying the active area.
  • FIG. 13 is a subsequent cross-sectional view taken from FIG. 12 following the removal of the exposed amorphous carbon to provide an opening to give access to the underlying source/drain regions of the transistors.
  • FIG. 14 is a subsequent cross-sectional view taken from FIG. 13 following the formation of conductor overlying the surface of the remaining amorphous carbon, the transistor gates and filling the openings to make contact to the underlying source/drain regions of the transistors.
  • FIG. 15 is a cross-sectional view taken from FIG. 14 following the planarization of the conductor to form contact plugs between the transistor gates and connecting to underlying conductively doped source/drain regions.
  • FIG. 16 is a cross-sectional view taken from FIG. 15 following the removal of the remaining amorphous carbon.
  • FIG. 17 is a cross-section view taken from FIG. 16 depicting and option formation of a patterning photoresist overlying the active area.
  • FIG. 18 is a subsequent cross-sectional view taken from FIG. 17 following the formation of an inner dielectric layer (IDL) to cover the semiconductor substrate section.
  • FIG. 19 is a subsequent cross-sectional view taken from FIG. 18 following the planarization of the IDL, with the planarization stopping on the top surfaces of the transistor gates of the storage cell access transistors.
  • FIG. 20 is a cross-sectional view taken from FIG. 19 following the formation of a second inner dielectric layer (IDL) and an overlying patterned layer of photoresist.
  • FIG. 21 is a subsequent cross-sectional view taken from FIG. 20 after an etch step forms holes into the second IDL that provides access to the underlying conductive plugs followed by the formation of an overlying conductive layer that covers the second IDL and fills the holes to make contact with the underlying conductive plugs.
  • FIG. 22 is a cross-sectional view taken from FIG. 21 following the planarization of the second conductive layer to form a separate interconnect to each underlying conductive plug.
  • FIG. 23 is an overhead plan view of FIG. 22 showing a completed interconnect structure between transistor source/drain regions and a self-aligned contact plug depicting an embodiment of the present invention.
  • FIG. 24 is a cross-sectional view of a semiconductor substrate section depicting single contact plug formed in an amorphous carbon layer.
  • FIG. 25 is a cross-sectional view of a semiconductor substrate section depicting multiple contact plugs formed in an amorphous carbon layer.
  • FIG. 26 is a simplified block diagram of a semiconductor system comprising a processor and memory device to which the present invention may be applied.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the present invention provide methods of forming transistor contacts without degrading sidewall spacers. In some embodiments either a photoresist or amorphous carbon material is used to fill a region between transistor gate stacks. The photoresist or amorphous carbon material can be removed to expose the source/drain contact area without substantial sacrificial removal of the sidewall spacer. That is, the photoresist or amorphous carbon material can be removed with greater selectivity to the spacer material than prior materials. For example, a dry develop process can be performed to remove the amorphous carbon material. It will be appreciated by those in the art with the benefit of the present disclosure that the present invention is not limited to photoresist or amorphous carbon material but can be implemented with other materials that can be removed without etching a sidewall spacer. For example, a filler material can be used that can be removed with a selectively to nitride greater than about 40:1. The increased selectivity allows the formation of a self-aligned contact in a contact opening having an aspect ratio (depth:width) greater than 5:1 while using a nitride spacer. The present invention is described herein with reference to a series of contacts between adjacent transistors, but can be implemented in stand alone, or discrete contacts.
  • Exemplary implementations of the present invention are directed to processes for forming self-aligned contact plugs in a semiconductor device as depicted in the embodiment of FIGS. 1-10 and the embodiment of FIGS. 11-22.
  • In the following description, the terms “wafer” and “substrate” are to be understood as a semiconductor-based material including silicon, silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures. Furthermore, when reference is made to a “wafer” or “substrate” in the following description, previous process steps may have been utilized to form regions or junctions in or over the base semiconductor structure or foundation. In addition, the semiconductor need not be silicon-based, but could be based on silicon-germanium, silicon-on-insulator, silicon-on-saphire, germanium, or gallium arsenide, among others.
  • Referring now to FIG. 1, substrate 10 is prepared for processing steps of an embodiment of the present embodiment. Substrate 10 may be a silicon material, such as a conductively doped silicon wafer. Processing steps known by one skilled in the art can be used to form field effect transistors (FETs), comprising source/drain regions 18 that span between insulated transistor gate electrodes 17. Insulated transistor gate electrodes 17 are made up of transistor gate oxide 12 and conductive layers 13 and 14, such as polysilicon and silicide, respectively, which are isolated by transistor gate cap insulator 15 and gate spacers 16, made from dielectric materials such as nitride. The field effect transistors (FETs) are isolated from adjacent structures by field oxide or trench isolation material 11. A masking layer 19, such as photoresist or preferably transparent amorphous carbon is placed and then patterned to cover the defined active region of the FETs into which the source/drain regions where formed.
  • Referring now to FIG. 2, an inner dielectric layer (IDL) 20 is formed to cover the entire semiconductor substrate section. It is preferred that the IDL 20 be an oxide that is formed by a process which utilizes a low process temperature that is below 600° C. (a temperature of approximately 550° C. or lower is preferred), such as using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Processing the IDL at a low is important so that the integrity of the amorphous carbon is maintained. The amorphous carbon has weak carbon bonding, bonding that is susceptible to being broken if exposed to excessive heat. At a temperature below 600° C., the films' original characteristics remain without any substantial deformation, burning, volume change or reflow of the film.
  • Referring now to FIG. 3 the IDL 20 and the masking layer 19 are planarized with the planarization step stopping on the transistor gate cap insulator 15 of each the storage cell access transistors.
  • Referring now to FIG. 4, the remaining sections of planarized masking layer 19 is removed to expose source/drain regions 18 and also to leave sections of IDL 20 to isolate the access transistor structures where they overly the trench isolation material 11. If masking layer 19 is photoresist it is stripped using conventional methods, if the masking layer is amorphous carbon, it is removed using dry development processing. The dry development processing used to remove either the photoresist or the amorphous carbon can be a typical dry etch process known to those skilled in the art, such as a process utilizing an O2/SO2 etch chemistry. The process steps depicted in FIG. 1-4 allow for the subsequent formation of self-aligned contact plugs as described in FIG. 5 and 6.
  • Referring now to FIG. 5 a conductor 50, such as a conductively doped polysilicon, which is preferred, is formed over the planarized IDL sections 20, over the transistor gates 17 and into the opening between each transistor gate created by the removal of the planarized masking layer 19 (seen in FIG. 3). Other conductors, such as a metal or metal silicide can be used for conductor 50 if so desired.
  • Referring now to FIG. 6 conductor 50 is planarized such that this planarization step stops on transistor gate cap isolation 15 to form self-aligned contact plugs 60 that align between the transistor gates 17 and connect to underlying conductively doped source/drain regions 18.
  • Referring now to FIG. 7 a second inner dielectric layer (IDL) 70 is formed to cover the sections of isolation regions 20, transistor gate electrodes 17 and self-aligned contact plugs 60 and then planarized. Next, a second masking layer 71, such as photoresist is formed and patterned such that the patterned masking layer lines up with the underlying self-aligned contact plugs 60.
  • Referring now to FIG. 8, an etch step forms holes into the second IDL 70 to provide access to the underlying conductive plugs 60 and the masking layer 71 is also stripped. Next, overlying conductive layer 80 is formed that covers the second IDL 70 and fills the holes to make contact with the underlying conductive plugs 60.
  • Referring now to FIG. 9, the second conductive layer 80 is planarized to form a separate interconnect 90 to each underlying conductive plug 80.
  • FIG. 10 is an overhead plan view of FIG. 9 showing a completed interconnect structure between transistor source/drain regions 18 and each self-aligned contact plug 60 (not seen) and the interconnects 90, which depicts an embodiment of the present invention. The semiconductor assembly is then completed using fabrication methods know to those skilled in the art.
  • A second embodiment of the present invention is depicted in FIG. 11-23. Referring now to FIG. 11, substrate 110 is prepared for the processing steps of the present embodiment. Substrate 110 may be a silicon material, such as a conductively doped silicon wafer. Processing steps, known by one skilled in the art, are used to form field effect transistors (FETs), comprising source/drain regions 118 that span between insulated transistor gate electrodes 117. Insulated transistor gate electrodes 117 are made up of transistor gate oxide 112 and conductive layers 113 and 114, such as polysilicon and silicide, respectively, which are isolated by transistor gate cap insulator 115 and gate spacers 116, made from dielectric materials such as nitride. The field effect transistors (FETs) are isolated from adjacent structures by field oxide or trench isolation material 111. A transparent amorphous carbon layer 119 is placed to cover the entire semiconductor substrate section.
  • Referring now to FIG. 12, a photoresist 120 is placed and patterned such that the patterned photoresist 120 exposes the portion of the amorphous carbon 119 overlying the active area (also the future contact plug region) and covers the area lying outside of the underlying active area in which the source/drain regions 118 have been formed.
  • Referring now to FIG. 13, the exposed region of amorphous carbon 119 is removed to provide openings that give access to the underlying source/drain regions 118 of the access transistors. The patterned photoresist 120 is stripped to leave sections of amorphous carbon 119 remaining that cover the portions of the access transistors that overly the trench isolation sections 111. Using dry development to open the contact plug regions is preferred as the amorphous carbon dry develop selectivity to nitride is near infinite. (The preferred material used to form transistor gate cap insulator 115 and transistor spacers 116.) The process steps depicted in FIG. 11-13 allow for the subsequent formation of self-aligned contact plugs as described in FIG. 14-16.
  • Referring now to FIG. 14, a conductive layer 140, such as a preferred conductively doped polysilicon (or metal if desired), is formed to overly overlying the surface of the remaining amorphous carbon sections 119, the transistor gate electrodes 117 and to fill the openings between the transistor gate electrodes and thus makes contact to the underlying source/drain regions 118 of the access transistors. It is preferred that the conductive layer 140 be a conductively doped polysilicon that is formed by a process which utilizes a low process temperature that is below 600° C. (a temperature of approximately 550° C. or lower is preferred), such as using a Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Processing the conductively doped polysilicon at a low temperature below 600° C. is important so that the integrity of the amorphous carbon is maintained. The amorphous carbon has weak carbon bonding, bonding that is susceptible to being broken if exposed to excessive heat. At a temperature below 600° C., the films' original characteristics remain without any substantial deformation, burning, volume change or reflow of the film.
  • Referring now to FIG. 15, the conductive layer 140 and the remaining amorphous carbon sections 119 are planarized down to the transistor gate cap insulation 115 to form self-aligned contact plugs 150 that align between the transistor gate electrodes 117 and connect to underlying conductively doped source/drain regions 118.
  • As depicted in FIG. 16, the remaining sections of amorphous carbon 119 are removed using a fusion strip/wet clean process. The fusion strip/wet clean processing used to remove the amorphous carbon can be a typical O2 fusion strip, followed by a wet chemistry, such as tungsten ammonium hydroxide/hydrogen peroxide mixture (WAPM), an ammonium hydroxide/hydrogen peroxide mixture (APM) or HF (hydrofluoric acid)) to clean the wafer of any residual prior to the deposition of a subsequent inner dielectric layer.
  • FIG. 17 depicts the optional step of forming a patterning photoresist 170 overlying the self-aligned contact plugs 150 (and thus the active area defined for the access transistors) which will protect the self-aligned contact plugs 150 and prevent dishing that may result during a subsequent planarization step.
  • Referring now to FIG. 18, an inner dielectric layer (IDL) 180, such as oxide, to cover the entire semiconductor substrate section. As depicted in FIG. 19 the IDL 180 is planarized, with the planarization stopping on the transistor gate isolation cap 115 of the transistor gate electrodes for each storage cell access transistors. Also shown in FIG. 18 and 19 is the optional patterned photoresist 170 that is formed as depicted in FIG. 17 if so desired in order to prevent any dishing of the self-aligned contact plugs 150, during the planarization of IDL 180. If optional patterned photoresist 170 is present, it is now stripped.
  • Referring now to FIG. 20 a second inner dielectric layer (IDL) 200 is formed to cover the sections of isolation regions 180, transistor gate electrodes 117 and self-aligned contact plugs 150 and then planarized. Next, a second masking layer 201, such as photoresist is formed and patterned such that the patterned masking layer lines up with the underlying self-aligned contact plugs 150.
  • Referring now to FIG. 21, an etch step forms holes into the second IDL 200 to provide access to the underlying conductive plugs 150 and the masking layer 2011 is also stripped. Next, overlying conductive layer 210 is formed that covers the second IDL 200 and fills the holes to make contact with the underlying self-aligned conductive plugs 150.
  • Referring now to FIG. 22, the second conductive layer 210 is planarized to form a separate interconnect 220 to each underlying conductive plug 150.
  • FIG. 23 is an overhead plan view of FIG. 22 showing a completed interconnect structure between transistor source/drain regions 218 and each self-aligned contact plug 150 (not seen) and the interconnects 220, which depicts a second embodiment of the present invention. The semiconductor assembly is then completed using fabrication methods know to those skilled in the art.
  • Referring now to FIGS. 25 and 26, in process semiconductors are illustrated to show discrete contacts. In FIG. 25, a single contact plug 248 is formed in the amorphous carbon layer 247. In this embodiment, the amorphous carbon layer 247 is patterned and removed as explained above to open the contact region and a conductive material, such as polysilicon, is used to form the plug 248, The amorphous carbon layer 247 and polysilicon can be planarized as explained in the previous embodiments. The planarization can stop on the top of the gate stack nitride 244 or leave some of the plug extending above the gate stacks as illustrated. Subsequent process operations, not shown in FIG. 25, remove the remaining amorphous carbon layer 247 and replace it with a dielectric material, as explained in the previous embodiments.
  • Referring now to FIG. 26, multiple single contact plugs 258 are formed in the amorphous carbon layer 257. In this embodiment, the amorphous carbon layer 257 is patterned and removed as explained above to open the contact regions and a conductive material, such as polysilicon, is used to form the plugs 258. The amorphous carbon layer and polysilicon can be planarized as explained in the previous embodiments. The planarization can stop on the top of the gate stack nitride 254 or leave some of the plug extending above the gate stacks as illustrated. Subsequent process operations, not shown in FIG. 26, remove the remaining amorphous carbon layer 257 and replace it with an dielectric material, as explained in the previous embodiments.
  • Embodiments of present invention to form self-aligned contacts to transistor structures of the semiconductor devices may be applied to a semiconductor system, such as the one depicted in FIG. 26, FIG. 26 represents a general block diagram of a semiconductor system, the general operation of which is known to one skilled in the art, the semiconductor system comprising a processor 260 and a memory device 261 showing the basic sections of a memory integrated circuit, such as row and column address buffers, 263 and 264, row and column decoders, 265 and 266, sense amplifiers 267, memory array 268 and data input/output 269, which are manipulated by control/timing signals from the processor through control 262.
  • It is to be understood that although the present invention has been described with reference to several preferred embodiments, various modifications, known to those skilled in the art, such as utilizing the disclosed methods to form self-aligned contacts in any semiconductor device, may be made to the process steps presented herein without departing from the invention as recited in the several claims appended hereto.

Claims (21)

1. A method of forming contact structures for a semiconductor assembly comprising:
forming a patterned masking material comprising either photoresist or amorphous carbon over contact locations between transistor gate structures;
forming a dielectric material over the patterned masking material and on trench isolation areas underlying a portion of a transistor gate structure lying outside the patterned mask material;
planarizing the dielectric material and the patterned mask material to a level of an insulating cap material covering each transistor gate structure;
removing the remaining planarized patterned mask material to expose the contact locations;
forming a conductive material on the planarized dielectric material, over the transistor gate structures and into the contact locations to make contact to underlying source/drain regions of the transistor gate structures; and
planarizing the conductive material to a level of the planarized dielectric material and to the level of the insulating cap material.
2. The method of claim 1, wherein the forming of the dielectric material comprises a dielectric deposition process utilizing a deposition temperature of approximately 600° C. or lower.
3. The method of claim 1, wherein the forming of the dielectric material comprises a dielectric deposition process utilizing a deposition temperature of approximately 550° C. or lower.
4. The method of claim 1, wherein the forming of the dielectric material comprises depositing an oxide material utilizing a deposition temperature of approximately 550° C. or lower.
5. The method of claim 1, wherein the removing of the remaining planarized patterned comprises dry development processing utilizing an O2/SO2 etch chemistry.
6. The method of claim 1, wherein the forming of the conductive material comprises forming a conductively doped polysilicon.
7. A method of forming contact structures for a semiconductor assembly comprising:
forming a patterned masking material over contact locations between transistor gate structures;
forming a dielectric material over the patterned masking material and on trench isolation areas underlying a portion of a transistor gate structure lying outside the patterned mask material, the dielectric material formed by a dielectric deposition process utilizing a deposition temperature of approximately 550° C. or lower;
planarizing the dielectric material and the patterned mask material to a level of an insulating cap material covering each transistor gate structure;
removing the remaining planarized patterned mask material by a dry development processing utilizing an O2/SO2 etch chemistry to expose the contact locations;
forming a conductively doped polysilicon material on the planarized dielectric material, over the transistor gate structures and into the contact locations to make contact to underlying source/drain regions of the transistor gate structures; and
planarizing the conductively doped polysilicon material to a level of the planarized dielectric material and to the level of the insulating cap material.
8. A method of forming contact structures for a semiconductor assembly comprising:
forming an amorphous carbon over a semiconductor assembly comprising transistor structures having transistor gate structures, source/drain regions set in defined transistor active area regions separated by transistor isolation regions;
forming a patterned masking material over the transistor isolation regions and exposing the amorphous carbon overlying the defined transistor active area;
removing the exposed amorphous carbon to expose contact locations between each transistor gate structure; and
forming a conductive material on the remaining amorphous carbon, over the transistor gate structures and into the contact locations to make contact to the underlying source/drain regions of the transistor gate structures.
planarizing the conductive material and the remaining amorphous carbon to a level of an insulating cap material covering each transistor gate structure;
9. The method of claim 8, further comprising:
removing the remaining amorphous carbon to expose the transistor isolation regions;
forming a dielectric material over the each transistor gate structure and on the transistor isolation regions; and
planarizing the dielectric material to the level of the insulating cap material covering each transistor gate structure.
10. The method of claim 8, further comprising;
forming a protective patterned photoresist over the contact plugs after the removal of the remaining amorphous carbon; and
removing the protective patterned photoresist after the planarizing of the dielectric material.
11. The method of claim 8, wherein the forming of the conductive material comprises a polysilicon deposition process utilizing a deposition temperature of approximately 600° C. or lower.
12. The method of claim 8, wherein the forming of the conductive material comprises a polysilicon deposition process utilizing a deposition temperature of approximately 550° C. or lower.
13. The method of claim 8, wherein the removing of the remaining amorphous carbon comprises fusion strip/wet clean processing utilizing an O2 fusion strip, followed by a wet chemistry clean.
14. The method of claim 13 wherein the wet chemistry clean comprises tungsten ammonium hydroxide/hydrogen peroxide mixture (WAPM chemistry.
15. The method of claim 13 wherein the wet chemistry clean comprises ammonium hydroxide/hydrogen peroxide mixture (APM) chemistry.
16. The method of claim 13 wherein the wet chemistry clean comprises hydrofluoric acid (HF) chemistry.
17. A method of forming contact structures for a semiconductor assembly comprising:
forming an amorphous carbon over a semiconductor assembly comprising transistor structures having transistor gate structures, source/drain regions set in defined transistor active area regions separated by transistor isolation regions;
forming a patterned masking material over the transistor isolation regions and exposing the amorphous carbon overlying the defined transistor active area;
removing the exposed amorphous carbon to expose contact locations between each transistor gate structure;
forming a conductively doped polysilicon material on the remaining amorphous carbon, over the transistor gate structures and into the contact locations to make contact to the underlying source/drain regions of the transistor gate structures, the conductively doped polysilicon material formed by a polysilicon deposition process utilizing a deposition temperature of approximately 600° C. or lower;
planarizing the conductively doped polysilicon material and the remaining amorphous carbon to a level of an insulating cap material covering each transistor gate structure;
removing the remaining amorphous carbon by a fusion strip/wet clean processing utilizing an O2 fusion strip, followed by a wet chemistry clean, to expose the transistor isolation regions;
forming a dielectric material over the each transistor gate structure and on the transistor isolation regions; and
planarizing the dielectric material to the level of the insulating cap material covering each transistor gate structure.
18. The method of claim 17, wherein the forming of the conductively doped polysilicon material comprises a polysilicon deposition process utilizing a deposition temperature of approximately 550° C. or lower.
19. A method of fabricating a transistor source/drain contact between adjacent transistor gate structures comprising:
depositing a filler material at least in a region between the adjacent transistor gate structures;
removing the filler material with a process having a removal selectivity to nitride greater than 40:1 to form a contact opening; and
depositing a conductive material in the contact opening.
20. A method of fabricating a transistor source/drain contact between adjacent transistor gate structures having nitride sidewall spacers comprising:
depositing a filler material at least in a region between the adjacent transistor gate structures;
removing the filler material with a process having a removal selectivity to nitride greater than 40:1 to form a contact opening having an aspect ratio greater than about 5:1; and
depositing a conductive material in the contact opening.
21. A method of fabricating a transistor source/drain connection between adjacent transistor gate structures comprising:
depositing an amorphous carbon filler material at least in a region between the adjacent transistor gate structures;
selectively dry developing the filler material in the region between the adjacent transistor gate structures to form a contact opening; and
depositing a polysilicon material in the contact opening.
US10/690,317 2003-10-20 2003-10-20 Formation of self-aligned contact plugs Abandoned US20050085072A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/690,317 US20050085072A1 (en) 2003-10-20 2003-10-20 Formation of self-aligned contact plugs
US11/495,437 US7279419B2 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs
US11/495,652 US20060264032A1 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/690,317 US20050085072A1 (en) 2003-10-20 2003-10-20 Formation of self-aligned contact plugs

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/495,652 Division US20060264032A1 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs
US11/495,437 Continuation US7279419B2 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs

Publications (1)

Publication Number Publication Date
US20050085072A1 true US20050085072A1 (en) 2005-04-21

Family

ID=34521609

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/690,317 Abandoned US20050085072A1 (en) 2003-10-20 2003-10-20 Formation of self-aligned contact plugs
US11/495,652 Abandoned US20060264032A1 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs
US11/495,437 Expired - Fee Related US7279419B2 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/495,652 Abandoned US20060264032A1 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs
US11/495,437 Expired - Fee Related US7279419B2 (en) 2003-10-20 2006-07-28 Formation of self-aligned contact plugs

Country Status (1)

Country Link
US (3) US20050085072A1 (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050245073A1 (en) * 2004-04-28 2005-11-03 Lee Seok K Method for forming contact plug of semiconductor device
US20060017118A1 (en) * 2004-07-21 2006-01-26 Park Je-Min Semiconductor device having spacer pattern and method of forming the same
US20060065286A1 (en) * 2004-09-28 2006-03-30 Niraj Rana Method to address carbon incorporation in an interpoly oxide
US20060199329A1 (en) * 2005-03-03 2006-09-07 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US20060228845A1 (en) * 2005-04-06 2006-10-12 Yinan Chen Method for pre-retaining CB opening
US20070049010A1 (en) * 2005-09-01 2007-03-01 Burgess Byron N Disposable pillars for contact formation
US20070087569A1 (en) * 2005-10-18 2007-04-19 Young-Taek Song Method for fabricating semiconductor device
US20070096188A1 (en) * 2005-11-01 2007-05-03 Elpida Memory, Inc. Method of manufacturing semiconductor device
US20070254466A1 (en) * 2006-04-28 2007-11-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20080124912A1 (en) * 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US20090004855A1 (en) * 2007-06-28 2009-01-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20100078756A1 (en) * 2008-09-30 2010-04-01 Infineon Technologies Ag Semiconductor device with semiconductor body and method for the production of a semiconductor device
US20100167520A1 (en) * 2008-12-31 2010-07-01 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US20100193916A1 (en) * 2008-12-31 2010-08-05 Sandisk 3D Llc Methods for increased array feature density
US20110159677A1 (en) * 2009-12-30 2011-06-30 Hynix Semiconductor Inc. Method of fabricating landing plug contact in semiconductor memory device
CN102456617A (en) * 2010-10-27 2012-05-16 国际商业机器公司 Method of forming self-aligned local interconnect and structure formed thereby
CN102738234A (en) * 2011-04-15 2012-10-17 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US20120261772A1 (en) * 2011-04-15 2012-10-18 Haizhou Yin Semiconductor Device and Method for Manufacturing the Same
US20130316532A1 (en) * 2012-05-22 2013-11-28 Shanghai Huali Microelectronics Corporation Method of manufacturing a tungsten plug
US20130320456A1 (en) * 2011-12-22 2013-12-05 Oleg Golonzka Gate aligned contact and method to fabricate same
US20140252433A1 (en) * 2013-03-10 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd . Multi-Layer Metal Contacts
CN104425365A (en) * 2013-09-11 2015-03-18 中国科学院微电子研究所 Self-alignment contact process
US20160027692A1 (en) * 2013-10-30 2016-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Semiconductor Integrated Circuit Fabrication
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
CN110571190A (en) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 Forming method and etching method of contact plug
US10653496B2 (en) 2005-09-19 2020-05-19 Varian Medical Systems, Inc. Apparatus and methods for implanting objects, such as a bronchoscopically implanting markers in the lung of patients
US10930557B2 (en) 2009-12-30 2021-02-23 Intel Corporation Self-aligned contacts
US20210225707A1 (en) * 2014-06-12 2021-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Interconnect with Protection Layer

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
KR100585148B1 (en) * 2004-06-22 2006-05-30 삼성전자주식회사 Fine pattern forming method of a semiconductor device using SiGe layer as a sacrificing layer and forming method for self-aligned contacts using the fine pattern forming method
KR100724568B1 (en) * 2005-10-12 2007-06-04 삼성전자주식회사 Semiconductor memory device and method of fabricating the same
US7892900B2 (en) * 2008-04-07 2011-02-22 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing sacrificial spacers
JP2010050311A (en) * 2008-08-22 2010-03-04 Elpida Memory Inc Semiconductor device, and method of manufacturing the same
KR20100081833A (en) * 2009-01-07 2010-07-15 삼성전자주식회사 Method of manufacturing non-volatile memory devices
CN102097490A (en) * 2009-12-15 2011-06-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing double-bit flash memory
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US8853072B2 (en) * 2011-06-06 2014-10-07 Micron Technology, Inc. Methods of forming through-substrate interconnects
US8754483B2 (en) * 2011-06-27 2014-06-17 International Business Machines Corporation Low-profile local interconnect and method of making the same
US9337284B2 (en) 2014-04-07 2016-05-10 Alpha And Omega Semiconductor Incorporated Closed cell lateral MOSFET using silicide source and body regions
US9583442B2 (en) 2015-06-29 2017-02-28 International Business Machines Corporation Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
US20170162444A1 (en) 2015-12-02 2017-06-08 International Business Machines Corporation Contact resistance reduction for advanced technology nodes

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296400A (en) * 1991-12-14 1994-03-22 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a contact of a highly integrated semiconductor device
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
US6287957B1 (en) * 1999-10-07 2001-09-11 Worldwide Semiconductor Manufacturing Corporation Self-aligned contact process
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6423645B1 (en) * 2000-03-27 2002-07-23 Mosel Vitelic Inc. Method for forming a self-aligned contact
US6451708B1 (en) * 1999-09-27 2002-09-17 Samsung Electronics Co., Ltd. Method of forming contact holes in a semiconductor device
US6548313B1 (en) * 2002-05-31 2003-04-15 Intel Corporation Amorphous carbon insulation and carbon nanotube wires
US6624088B2 (en) * 2000-02-22 2003-09-23 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective to etchants

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3928676A1 (en) 1989-08-30 1991-03-07 Thomson Brandt Gmbh CIRCUIT FOR DETECTING A USE SIGNAL IN A BINARY SIGNAL
JP2663900B2 (en) * 1995-02-28 1997-10-15 日本電気株式会社 Method for manufacturing semiconductor device
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
CN1169196C (en) * 1997-04-03 2004-09-29 日本电气株式会社 Semiconductor washing solution and method of producing semiconductor device using same
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US7126198B2 (en) * 2002-09-03 2006-10-24 Agere Systems Inc. Protruding spacers for self-aligned contacts
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20040151062A1 (en) * 2003-01-30 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic chemical mixing system
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296400A (en) * 1991-12-14 1994-03-22 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a contact of a highly integrated semiconductor device
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
US6451708B1 (en) * 1999-09-27 2002-09-17 Samsung Electronics Co., Ltd. Method of forming contact holes in a semiconductor device
US6287957B1 (en) * 1999-10-07 2001-09-11 Worldwide Semiconductor Manufacturing Corporation Self-aligned contact process
US6624088B2 (en) * 2000-02-22 2003-09-23 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective to etchants
US6423645B1 (en) * 2000-03-27 2002-07-23 Mosel Vitelic Inc. Method for forming a self-aligned contact
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6548313B1 (en) * 2002-05-31 2003-04-15 Intel Corporation Amorphous carbon insulation and carbon nanotube wires

Cited By (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050245073A1 (en) * 2004-04-28 2005-11-03 Lee Seok K Method for forming contact plug of semiconductor device
US20060017118A1 (en) * 2004-07-21 2006-01-26 Park Je-Min Semiconductor device having spacer pattern and method of forming the same
US7824505B2 (en) * 2004-09-28 2010-11-02 Micron Technology, Inc. Method to address carbon incorporation in an interpoly oxide
US20060065286A1 (en) * 2004-09-28 2006-03-30 Niraj Rana Method to address carbon incorporation in an interpoly oxide
US20060260646A1 (en) * 2004-09-28 2006-11-23 Niraj Rana Method to address carbon incorporation in an interpoly oxide
US7806988B2 (en) 2004-09-28 2010-10-05 Micron Technology, Inc. Method to address carbon incorporation in an interpoly oxide
US20060199329A1 (en) * 2005-03-03 2006-09-07 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US7687344B2 (en) * 2005-03-03 2010-03-30 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US20060228845A1 (en) * 2005-04-06 2006-10-12 Yinan Chen Method for pre-retaining CB opening
US7144799B2 (en) * 2005-04-06 2006-12-05 Nan Ya Technology Corporation Method for pre-retaining CB opening
US9837313B2 (en) 2005-09-01 2017-12-05 Micron Technology, Inc. Disposable pillars for contact information
US9356028B2 (en) 2005-09-01 2016-05-31 Micron Technology, Inc. Disposable pillars for contact formation
US7399671B2 (en) 2005-09-01 2008-07-15 Micron Technology, Inc. Disposable pillars for contact formation
US20080265340A1 (en) * 2005-09-01 2008-10-30 Micron Technology, Inc. Disposable pillars for contact formation
US8921906B2 (en) 2005-09-01 2014-12-30 Micron Technology, Inc. Disposable pillars for contact formation
US8049258B2 (en) 2005-09-01 2011-11-01 Micron Technology, Inc. Disposable pillars for contact formation
US20070049010A1 (en) * 2005-09-01 2007-03-01 Burgess Byron N Disposable pillars for contact formation
US10653496B2 (en) 2005-09-19 2020-05-19 Varian Medical Systems, Inc. Apparatus and methods for implanting objects, such as a bronchoscopically implanting markers in the lung of patients
US20070087569A1 (en) * 2005-10-18 2007-04-19 Young-Taek Song Method for fabricating semiconductor device
US20070096188A1 (en) * 2005-11-01 2007-05-03 Elpida Memory, Inc. Method of manufacturing semiconductor device
US7767569B2 (en) * 2005-11-01 2010-08-03 Elpida Memory, Inc. Method of manufacturing semiconductor device
US20070254466A1 (en) * 2006-04-28 2007-11-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US7563702B2 (en) * 2006-04-28 2009-07-21 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20080124912A1 (en) * 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US20090130814A1 (en) * 2006-08-01 2009-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
TWI451490B (en) * 2006-08-01 2014-09-01 Taiwan Semiconductor Mfg Method for fabricating semiconductor device
US7824998B2 (en) 2006-08-01 2010-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor capacitor using amorphous carbon
US8202795B2 (en) 2007-06-28 2012-06-19 Hynix Semiconductor Inc. Method of fabricating a semiconductor device having a plug
US20090004855A1 (en) * 2007-06-28 2009-01-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US8013340B2 (en) * 2008-09-30 2011-09-06 Infineon Technologies Ag Semiconductor device with semiconductor body and method for the production of a semiconductor device
US20100078756A1 (en) * 2008-09-30 2010-04-01 Infineon Technologies Ag Semiconductor device with semiconductor body and method for the production of a semiconductor device
US8357606B2 (en) 2008-12-31 2013-01-22 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US20100167520A1 (en) * 2008-12-31 2010-07-01 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8372740B2 (en) 2008-12-31 2013-02-12 Sandisk 3D, Llc Methods for increased array feature density
US8637389B2 (en) 2008-12-31 2014-01-28 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8658526B2 (en) 2008-12-31 2014-02-25 Sandisk 3D Llc Methods for increased array feature density
US8114765B2 (en) * 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
US8084347B2 (en) 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US20100193916A1 (en) * 2008-12-31 2010-08-05 Sandisk 3D Llc Methods for increased array feature density
US11887891B2 (en) 2009-12-30 2024-01-30 Intel Corporation Self-aligned contacts
US11600524B2 (en) 2009-12-30 2023-03-07 Intel Corporation Self-aligned contacts
US10930557B2 (en) 2009-12-30 2021-02-23 Intel Corporation Self-aligned contacts
US20110159677A1 (en) * 2009-12-30 2011-06-30 Hynix Semiconductor Inc. Method of fabricating landing plug contact in semiconductor memory device
CN102456617A (en) * 2010-10-27 2012-05-16 国际商业机器公司 Method of forming self-aligned local interconnect and structure formed thereby
CN102738234A (en) * 2011-04-15 2012-10-17 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US20120261772A1 (en) * 2011-04-15 2012-10-18 Haizhou Yin Semiconductor Device and Method for Manufacturing the Same
US10607884B2 (en) 2011-12-22 2020-03-31 Intel Corporation Gate aligned contact and method to fabricate same
US11756829B2 (en) 2011-12-22 2023-09-12 Intel Corporation Gate aligned contact and method to fabricate same
US11495496B2 (en) 2011-12-22 2022-11-08 Intel Corporation Gate aligned contact and method to fabricate same
US9716037B2 (en) * 2011-12-22 2017-07-25 Intel Corporation Gate aligned contact and method to fabricate same
US20130320456A1 (en) * 2011-12-22 2013-12-05 Oleg Golonzka Gate aligned contact and method to fabricate same
US10340185B2 (en) 2011-12-22 2019-07-02 Intel Corporation Gate aligned contact and method to fabricate same
US10910265B2 (en) 2011-12-22 2021-02-02 Intel Corporation Gate aligned contact and method to fabricate same
US8822333B2 (en) * 2012-05-22 2014-09-02 Shanghai Huali Microelectronics Corporation Method of manufacturing a tungsten plug
US20130316532A1 (en) * 2012-05-22 2013-11-28 Shanghai Huali Microelectronics Corporation Method of manufacturing a tungsten plug
US10410913B2 (en) 2013-03-10 2019-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer metal contacts
US20140252433A1 (en) * 2013-03-10 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd . Multi-Layer Metal Contacts
US9337083B2 (en) * 2013-03-10 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer metal contacts
CN104425365A (en) * 2013-09-11 2015-03-18 中国科学院微电子研究所 Self-alignment contact process
US20160027692A1 (en) * 2013-10-30 2016-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Semiconductor Integrated Circuit Fabrication
US10672656B2 (en) * 2013-10-30 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US11735477B2 (en) 2013-10-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US20210225707A1 (en) * 2014-06-12 2021-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Interconnect with Protection Layer
CN110571190A (en) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 Forming method and etching method of contact plug

Also Published As

Publication number Publication date
US20060264032A1 (en) 2006-11-23
US7279419B2 (en) 2007-10-09
US20060264047A1 (en) 2006-11-23

Similar Documents

Publication Publication Date Title
US7279419B2 (en) Formation of self-aligned contact plugs
US7094672B2 (en) Method for forming self-aligned contact in semiconductor device
KR100339683B1 (en) Method of forming self-aligned contact structure in semiconductor integrated circuit device
KR100503519B1 (en) Semiconductor device and Method of manufacturing the same
US20040140486A1 (en) Semiconductor device and method of manufacturing the same
US7524756B2 (en) Process of forming a semiconductor assembly having a contact structure and contact liner
US7115491B2 (en) Method for forming self-aligned contact in semiconductor device
US6037211A (en) Method of fabricating contact holes in high density integrated circuits using polysilicon landing plug and self-aligned etching processes
JP2000277708A (en) Semiconductor device and its forming method
US6333233B1 (en) Semiconductor device with self-aligned contact and its manufacture
JP2000315777A (en) Self-alignment damascene interconnection
US6015733A (en) Process to form a crown capacitor structure for a dynamic random access memory cell
US6960523B2 (en) Method of reducing erosion of a nitride gate cap layer during reactive ion etch of nitride liner layer for bit line contact of DRAM device
US6680254B2 (en) Method of fabricating bit line and bit line contact plug of a memory cell
JP4260275B2 (en) Semiconductor device and manufacturing method thereof
US7109080B2 (en) Method of forming capacitor over bitline contact
US6013550A (en) Method to define a crown shaped storage node structure, and an underlying conductive plug structure, for a dynamic random access memory cell
JPH1197529A (en) Manufacture of semiconductor device
KR100307968B1 (en) Method of forming interlevel dielectric layers of semiconductor device provided with plug-poly
KR20010008589A (en) Method of forming bit-line of semiconductor device utilized damascene process
KR100964271B1 (en) Method for fabrication of semiconductor device
KR100349345B1 (en) Bit line in a semiconductor device and fabricating method thereof
KR20020024840A (en) Method of forming contact plugs in semiconductor devices
KR20070055880A (en) Method for manufacturing semiconductor device
KR20030002749A (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, HYUN T.;BURGESS, BYRON N.;REEL/FRAME:014635/0715;SIGNING DATES FROM 20031017 TO 20031020

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION