US20050080581A1 - Built-in self test for memory interconnect testing - Google Patents

Built-in self test for memory interconnect testing Download PDF

Info

Publication number
US20050080581A1
US20050080581A1 US10/668,817 US66881703A US2005080581A1 US 20050080581 A1 US20050080581 A1 US 20050080581A1 US 66881703 A US66881703 A US 66881703A US 2005080581 A1 US2005080581 A1 US 2005080581A1
Authority
US
United States
Prior art keywords
module
memory
logic
test
host
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/668,817
Inventor
David Zimmerman
Jay Nejedlo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US10/668,817 priority Critical patent/US20050080581A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NEJEDLO, JAY J., ZIMMERMAN, DAVID
Publication of US20050080581A1 publication Critical patent/US20050080581A1/en
Priority to US11/289,186 priority patent/US7536267B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • G11C29/16Implementation of control logic, e.g. test mode decoders using microprogrammed units, e.g. state machines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/025Detection or location of defective auxiliary circuits, e.g. defective refresh counters in signal lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0401Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals in embedded memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0405Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals comprising complete test loop

Definitions

  • Some embodiments of the invention described below are related to methodologies for testing manufactured computer systems, and in particular their main memory subsystems, to determine whether electrical specifications for chip-to-chip connections (also referred to here as interconnects) have been met, as well as whether the integrated circuit (IC) devices in the main memory subsystem have been assembled or installed correctly. Other embodiments are also described and claimed.
  • the system has its primary components, including the processor, system chipset, and memory, installed on a motherboard.
  • transaction-based tests have been used, in a board or platform high volume manufacturing setting, to verify a wide range of storage and logic functions of the system.
  • Such tests evaluate whether the memory subsystem and the I/O subsystem work according to their electrical specifications.
  • the test is performed by the processor executing a special test routine, during or after booting an operating system (OS) program, that causes test patterns that are part of the test routine to be written to and then read from addresses that span the computer system.
  • OS operating system
  • faults of a high frequency type (such as due to cross talk between adjacent signal lines and inter-symbol interference (ISI) due to transmission line effects) cannot be detected or isolated using such techniques, due to the coarse test granularity and high instruction overhead associated with running an OS-based test program.
  • ISI inter-symbol interference
  • BIOS/EFI tests Another type of computer system test calls for the processor to execute firmware/software that operates at a lower level than an OS-based program, prior to booting the operating system.
  • BIOS basic I/O system
  • EFI extended firmware interface
  • boundary scan testing or the Joint Test Access Group, JTAG, protocol
  • JTAG Joint Test Access Group
  • FIG. 1 illustrates a block diagram of an integrated circuit device with memory controller logic and interconnect built-in self test logic.
  • FIG. 2 shows a block diagram of a main memory subsystem enhanced with built-in self test capability.
  • FIG. 3 depicts the control logic section for one of multiple lanes in a point-to-point link that can be used in the main memory subsystem.
  • FIG. 4 shows a flow diagram of a method for testing a chip-to-chip connection in a computer system.
  • Some embodiments of the invention described below are directed to techniques for enhancing some or all components of a main memory subsystem with built-in self test (BIST) logic circuitry that can test the main memory subsystem.
  • BIST built-in self test
  • Each enhanced IC component or device has BIST logic that is designed to communicate with other BIST logic, or with initialized components of the subsystem, to determine whether an electrical specification of the subsystem is satisfied or whether the components of the subsystem have been properly installed.
  • the BIST capability here which may also be referred to as interconnect BIST (IBIST), is capable of testing chip-to-chip connections between IC devices at speed, that is substantially at the same or higher signaling speed (or symbol rate) as that used in a normal mode of operation for the subsystem.
  • the IBIST logic also has a transparent, or also referred to as “normal”, mode in which the IC device involved can operate to perform its primary memory-related function, e.g., to transmit address and command information and/or data that are typically needed for accessing the subsystem.
  • the IBIST capability relies upon design for test (DFT) on-chip logic to establish test conditions and test symbols, execute a test of the interconnect, and return results of the test to, for example, an on-board platform management system or to an external tester.
  • DFT design for test
  • the memory subsystem can in effect test itself for defects and verify the high speed performance of chip-to-chip connections in the subsystem at the platform level, high volume manufacturing stage (for example, computer system printed wiring board manufacturing).
  • the IBIST capability in a device can self-test its IC package connections to a test board, at the IC device validation stage or later during volume manufacturing of the IC package.
  • FIG. 1 this figure illustrates a block diagram of an IC device 104 .
  • the device has been enhanced with IBIST logic 108 which may be implemented as on-chip logic circuitry that has the ability to perform a test of a chip-to-chip connection for the device 104 .
  • the chip-to-chip connection includes the analog driver and receiver circuitry and transmission lines, between the IBIST logic 108 and the interconnect pins (not shown) of an IC package containing the device 104 .
  • This connection includes die signal pads 112 which are coupled to the outputs of driver circuits 113 , and die signal pads 114 which are coupled to the inputs of receiver circuits 115 .
  • the driver and receiver circuits serve to translate between signaling on the transmission lines and on-chip logic signaling.
  • the IBIST logic 108 is positioned between the driver circuits 113 and receiver circuits 115 on one side and memory controller (MC) logic 120 on the other.
  • the MC logic 120 provides address and command information for accessing a memory device (not shown), to the IBIST logic 108 .
  • the IBIST logic 108 transmits, at speed, this address and command information using the driver circuits 113 , in a normal or transparent mode of operation for the IC device 104 .
  • the IBIST logic 108 may be configured as a test master that transmits, once again at speed, test symbols using the driver circuits 113 .
  • the test mode of operation is one during which a chip-to-chip connection between the IC device 104 and another device is tested.
  • the die signal pads 114 and receiver circuits 115 allow bi-directional communications with another device.
  • the IBIST logic 108 includes further logic coupled between the receive circuits 115 and the MC logic 120 to forward data, received by the receiver circuits 115 , to the MC logic 120 in the normal mode of operation for the device 104 .
  • this data may be the contents of memory locations read from a memory device.
  • the IBIST logic 108 may be configured to act as a test slave which automatically determines error in test symbols that have been received by the receiver circuits 115 . This automatic “checking” ability can be extended to some or all of the die signal pads 114 of the IC device 104 that are part of the chip-to-chip connection being tested.
  • TAP test access port
  • the TAP 124 is coupled to a separate die pad 128 used for transferring the results of testing performed by the IBIST logic 108 .
  • the pad 128 may in some cases be also used for loading the IBIST logic 108 with a pattern of test symbols that are driven and checked by the IBIST logic 108 when performing an interconnect test of the chip-to-chip connection.
  • the IBIST logic 108 and the TAP 124 may be designed to have boundary scan chain capability, to scan in test pattern information and scan out the error information according to conventional boundary scan chain techniques used for testing multiple chain linked devices in a computer system board.
  • any error information and/or test pattern information may be transferred to and from the IC device 104 via internal registers (not shown) that are accessible by either a separate low speed test bus such as the System Management Bus (SMBUS) (not shown) or are mapped into the central processing unit (CPU) I/O addressing space of the computer system.
  • SMBUS System Management Bus
  • CPU central processing unit
  • FIG. 2 a block diagram of a main memory subsystem that has been enhanced with IBIST capability is shown.
  • the subsystem has a number of memory IC modules 204 that are connected to each other via point-to-point, and in this case predominantly unidirectional, links 208 , 209 .
  • the IC device 104 acts as a host in which there is memory controller logic (not shown) that communicates directly with only one of the modules 204 , in this case the module 204 _ 1 ; each module 204 has repeater capability, that is it can forward address, command, and data to the next adjacent module.
  • the module 204 _ 1 receives address and command information from the host IC device 104 via an outbound link 208 _ 1 and forwards the information (if necessary for use by other modules) via outbound link 208 _ 2 .
  • the module 204 _ 1 receives data (for instance the contents of a location in a memory device of the module 204 _N) via an inbound link 209 _ 2 , and forwards the data to the host IC device 104 directly over an inbound link 209 _ 1 .
  • This repeater capability is implemented in part in a memory buffer 220 to be described below.
  • Each memory module 204 is made of a carrier substrate 214 (such as a separate, printed wiring board with multiple signal routing layers as used for conventional dual in-line memory modules or DIMMs).
  • a number of memory devices 222 and multiple sets of connection points 216 - 219 are installed (e.g., directly soldered) on the substrate 214 .
  • the links 208 and 209 are formed in a mother board or system board (not shown) through which metal traces and connector slots that constitute the links 208 , 209 are provided. Other types of connections for allowing the modules 204 to communicate with each other and with the host IC device 104 may be possible.
  • Each module 204 further includes a number of memory devices 222 that are communicatively installed on the carrier substrate 214 (e.g., soldered).
  • Each memory device 222 has a separate memory core array and separate address decoder logic (not shown) to store the data and provide access to it.
  • These memory devices may be solid state, volatile or non-volatile devices such as dynamic random access memory (DRAM) devices.
  • DRAM dynamic random access memory
  • Each memory device may be a packaged set of one or more IC chips, where each chip has a separate memory core array and separate address decoder logic. Other ways of packaging a memory device may be used.
  • the memory devices 222 are communicatively coupled to a memory buffer 220 that is also installed on the substrate. Multi-drop command/address and data buses are tapped into by in this case several memory devices 222 _ 1 , 222 _ 2 , . . . 222 _M to communicate with the memory buffer 220 . Other configurations for connecting multiple memory devices to a memory buffer are also possible.
  • the memory buffer 220 is to decode local memory command, address, and data (that is, intended for one or more of the memory devices 222 that are located on the module 204 _ 1 rather than memory devices that are located on other modules).
  • the memory buffer 220 is designed to improve memory operation by “re-driving” the address, command and data signals.
  • the memory buffer 220 may be external to the module 204 or it can be located on the module 204 as shown in the embodiment of FIG. 2 .
  • the data that arrives at the memory buffer 220 may be synchronized to a system reference clock, so that the clock used to detect symbols is referred to as a derived clock.
  • the buffer 220 can provide a reference clock along with the transmitted (or re-driven) data—this being referred to as a forwarded clock.
  • the clock is embedded in the data signal, also known as embedded clock.
  • the memory buffer 220 may be built using a fabrication process that is different than one which is optimized for making memory devices such as DRAM devices. This allows the memory buffer 220 to be enhanced with IBIST logic more cost-efficiently than integrating the IBIST logic in the memory devices 222 .
  • the IBIST logic in the memory buffer 220 is categorized as being of two types, BIST generator and BIST checker. Their capabilities will be described below.
  • the memory buffer 220 acts as an interface to the host IC device 104 ; it has a number of driver circuits (not shown) whose outputs are coupled to the connection points 216 .
  • the buffer 220 has circuitry designed to forward read data, provided by the memory devices 222 , at speed, that is at the nominal transmission or signaling rate, using the drivers in a normal mode of operation for the module 204 _ 1 .
  • a BIST checker 224 determines error in test symbols received from outside the module 204 _ 1 . These test symbols have been received at speed, that is substantially the same as the nominal transmission rate which is high enough to evaluate high frequency faults that might appear in the normal mode of operation, using the connection points 217 .
  • the error determination is done in a test mode of operation for the module 204 _ 1 , during which a chip-to-chip connection between the module 204 _ 1 and, in this case, the host IC device 104 is tested. More specifically, the connection in this case would include the outbound link 208 _ 1 (which may comprise metal traces in a printed wiring board on which the host IC device 104 and memory module 204 _ 1 are installed).
  • a module's interface with other modules of the memory subsystem is now described.
  • the module is enhanced with further logic that is designed to test a chip-to-chip connection between the module 204 _ 1 and its adjacent module 204 _ 2 (not shown).
  • This connection to be tested includes an inbound link 209 _ 2 , connection points 219 , and receiver circuitry (not shown) of the memory buffer 220 .
  • test symbols are transmitted by the memory buffer 220 via the connection points 218 and outbound link 208 _ 2 .
  • One of the memory modules that are further downstream that is for instance module 204 _ 2 or subsequent ones, is configured or programmed to loop these test symbols back towards the host IC device 104 via some internal loop back path (shown as a thick dotted line in FIG. 2 ).
  • the BIST checker 228 in the module 204 _ 1 determines error in such test symbols that have been received, during the test mode of operation for the module 204 _ 1 .
  • test symbols originated with a BIST generator 225 located in the host IC device 104 , and were then forwarded by the module 204 _ 1 .
  • An alternative implementation is to add a BIST generator (not shown) to the memory buffer 220 of a module, to originate the test symbols.
  • This alternative embodiment allows a module to in effect test itself, for example the connections that include the connection points 218 , outbound link 208 _ 2 , inbound link 209 _ 2 and connection points 219 .
  • connections composed of inbound link 209 _ 1 , connection points 216 , outbound link 208 _ 1 and connection points 217 can also be tested, using an additional BIST generator (not shown).
  • test symbols transmitted by the module 204 _ 1 would need to be looped back towards the module 204 _ 1 through some external mechanism such as a simple wire loop or a form of repeater circuitry.
  • the module 204 _ 1 as a whole, or its memory buffer 220 by itself, may be installed on a test board, for either validation self-test or volume manufacturing self-test for which a loop-back has been provided on the test board.
  • the main memory subsystem depicted in FIG. 2 can be part of a computer system in which the host IC device 104 is a processor device that includes a processor core (not shown) coupled to memory controller logic 120 (see FIG. 1 ) to access programs stored in the main memory modules 204 .
  • the host IC device 104 may be a system chip set device, or also referred to as a system interface device, that a processor of a computer system uses to access the main memory modules 204 as well as computer system peripherals such as a hard disk drive, a display monitor, and a keyboard (not shown).
  • FIG. 3 a mixture of IBIST logic and existing logic and driver/receiver circuitry in the physical layer of the chip-to-chip communications protocol is shown, for transmitting and receiving over one lane.
  • the grey blocks in the figure indicate on-chip, IBIST logic whereas the clear blocks may be considered to be part of the pre-existing design of the host IC device or the memory buffer.
  • core data from the link layer of the communications protocol would normally be provided directly to an encode block 320 that may be used to balance the average signal swing of the transmission line signal that is driven by the driver circuitry 328 .
  • the output of the driver circuit 328 which in this embodiment is a differential output, feeds a single link such as link 208 _ 2 or 209 - 1 of the memory module 204 (see FIG. 2 ).
  • a serializer 324 may also be used to convert parallel symbols into a serial bit stream.
  • receiver circuitry 360 translates the incoming transmission line signal into a serial bit stream that is converted into parallel symbols by a de-serializer 356 .
  • the differential input to the receiver circuit 360 may be directly connected to a single link 208 _ 1 or 209 _ 2 of the module 204 (see FIG. 2 ). Note that the connection between a host IC device and a memory module, or between memory modules, may have more than one lane in each direction.
  • An optional decoder 352 may also be provided if the format of the symbols had been changed to balance the transmission line signal.
  • the received symbols may be stored in an elastic block 348 for purposes of buffering, prior to being forwarded to the communication protocol's link layer.
  • multiplexers 316 and 344 are added, to provide an additional path for test symbols to be received (multiplexer 344 ) and transmitted (multiplexer 316 ) in a test mode of operation.
  • an additional loop back path from the elastic block 348 is provided, so that received test symbols can be looped back, as was discussed above in connection with FIG. 2 .
  • the control logic section for one connection (which may have multiple lanes) includes a test symbol register 304 that stores a pattern of test symbols that can be transmitted or used to check received test symbols. Different test symbols may be transmitted using a multiplexer 308 , under control of a state machine 312 which may be externally programmed to conduct an interconnect test using a desired sequence of test symbols. Similarly, a state machine 336 provides the desired sequence of test symbols, via a multiplexer 332 , to comparison logic that in this embodiment consists of a single XOR gate 340 .
  • MISR multiple input signature register
  • MISR multiple input signature register
  • a control register 364 As mentioned above, this error information may be accessed from outside of the device, via for example the TAP 124 (see FIG. 1 ).
  • a global control register 368 is also provided, to control the start and stop of a test for this connection, that is accessible from some external mechanism such as onboard system firmware (not shown).
  • FIG. 4 a flow diagram of a method for testing a chip-to-chip connection in a computer system is described. Although the flow diagram shows the various operations being linked to each other sequentially, some or all of these operations may be performed out of the order indicated and still provide their advantageous effects in terms of efficiently testing the memory subsystem. It is also assumed for this embodiment that the computer system includes a main memory subsystem installed, on for example a system board, and whose IBIST logic units can be accessed for configuration via either an external tester or via platform management system firmware. Operation may begin with placing the various components of the main memory subsystem and host IC device in test mode (block 404 ).
  • This test mode may be the initial mode of operation upon the computer system being reset or initially powered on, prior to operating system boot; alternatively, it may be a special mode of operation that the computer system enters from a normal mode, via some type of platform management or other computer system internal management program (firmware) being executed.
  • operation may proceed with block 408 in which the IBIST logic of the components of the main memory subsystem, and optionally the host IC device, are programmed to establish a test pattern to use as well as which chip-to-chip connections to test.
  • the BIST generator 222 is instructed to transmit its test symbols over the link 208 _ 1 , while the BIST checker 224 is instructed to determine errors in these test symbols.
  • the memory buffer 220 of module 204 _ 1 is instructed to forward the test symbols to the next module, and so on until the test symbols are received by the module 204 _N.
  • the memory buffer in this last module 204 _N is programmed to loop back the test symbols towards the host IC device.
  • the BIST checker 228 in the module 204 _ 1 is programmed to determine any error in the test symbols received.
  • all of the BIST checkers in the different modules and in the host IC device may have the same test pattern hard-wired into their logic circuitry.
  • the same test pattern may be programmed into all IBIST logic that is in the test symbol path, via, as mentioned, above some type of low speed system test bus or I/O mapped register access.
  • operation proceeds with block 412 in which the IBIST logic units are instructed to start a test session, with a test master transmitting test symbols over a predefined chip-to-chip communications connection of the subsystem.
  • the BIST generator 225 launches its test symbols over the outbound link 208 _ 1 .
  • test symbols that were transmitted at speed
  • a test symbol pattern that is stored in a first memory module of the system.
  • These received test symbols are then looped in the first module, back to the host IC device over an inbound chip-to-chip communications connection of the system that is normally used by the first module to send read data at speed to the host IC device.
  • this connection would be the inbound link 209 _ 1 .
  • the looped back test symbols are then received, in the host IC device in this case, and checked against a test symbol pattern that is stored in the device (block 420 ).
  • the above-described procedure in blocks 416 and 420 may be repeated until multiple test patterns have been transmitted, looped back, and checked, so that an electrical specification of one or more connections being tested, in this example the links 208 _ 1 and 209 _ 1 together with related analog driver and receiver circuitry as well as chip and packaging connections, have been verified.
  • the same test pattern may be transmitted, looped back, and checked by the module 204 _ 1 (see FIG.
  • this interconnect would include the following: analog driver circuitry in the memory buffer 220 , chip-to-packaging connections of the memory buffer 220 , the connector 218 , outbound link 208 _ 2 , inbound link 209 _ 2 , connection points 219 , connections between the connection points 219 and the die signal pads of the memory buffer 220 , and finally the analog receiver circuitry of the memory buffer 220 .
  • This connection is of course the same connection that would be used by the memory buffer 220 during its normal mode of operation for repeating address, command, and/or data.
  • any error information captured by the IBIST logic may be reported to, for example, system firmware or an external tester, following which the different components of the memory subsystem may be placed back into their normal mode of operation provided that is the prudent thing to do in view of the results of the test (block 424 ).

Abstract

In some embodiments, built-in self-test logic is provided for an integrated circuit (IC) device having memory controller logic to generate address and command information for accessing a memory device. Driver circuits are on-chip with the memory controller logic. The driver circuits have outputs that are coupled to on-chip signal pads, respectively. The BIST logic is coupled between the driver circuits and the controller logic. The BIST logic is to transmit, at speed, address and command information that has been generated by the controller logic using the driver circuits in a normal mode of operation for the device. In addition, the BIST logic is able to transmit, at speed, test symbols using the driver circuits in a test mode of operation for the IC device, during which a chip-to-chip connection between the IC device and another device is tested. Other embodiments are also described and claimed.

Description

    RELATED U.S. PATENT APPLICATIONS
  • Ser. No. 10/319,517, filed Dec. 16, 2002, entitled “Testing Methodology and Apparatus for Interconnects” (pending); Ser. No. 10/393,223, filed Mar. 20, 2003, entitled “A Reusable, Built-In Self-Test Methodology For Computer Systems”.
  • BACKGROUND
  • Some embodiments of the invention described below are related to methodologies for testing manufactured computer systems, and in particular their main memory subsystems, to determine whether electrical specifications for chip-to-chip connections (also referred to here as interconnects) have been met, as well as whether the integrated circuit (IC) devices in the main memory subsystem have been assembled or installed correctly. Other embodiments are also described and claimed.
  • Industry trends for high performance computer systems, such as those that use a Pentium processor and associated system chipset by Intel Corp., Santa Clara, Calif., are towards faster product cycle times (time to market) with sustained high quality. At the same time, chip-to-chip connection or bus speeds are increasing to several hundred megahertz and, in the case of serial links, beyond several gigahertz (GHz). Device pin densities are also increasing, again to meet the need for greater performance in the computer system. These demands render conventional testing techniques such as oscilloscope and logic analyzer probing less reliable, and often impossible particularly on high speed interfaces, both in the high volume manufacturing setting, as well as earlier in the electrical validation and verification stage of device and platform manufacturing.
  • At the board and platform level, the system has its primary components, including the processor, system chipset, and memory, installed on a motherboard. In that stage of manufacturing, transaction-based tests have been used, in a board or platform high volume manufacturing setting, to verify a wide range of storage and logic functions of the system. Such tests evaluate whether the memory subsystem and the I/O subsystem work according to their electrical specifications. The test is performed by the processor executing a special test routine, during or after booting an operating system (OS) program, that causes test patterns that are part of the test routine to be written to and then read from addresses that span the computer system. However, faults of a high frequency type (such as due to cross talk between adjacent signal lines and inter-symbol interference (ISI) due to transmission line effects) cannot be detected or isolated using such techniques, due to the coarse test granularity and high instruction overhead associated with running an OS-based test program.
  • Another type of computer system test calls for the processor to execute firmware/software that operates at a lower level than an OS-based program, prior to booting the operating system. These include basic I/O system (BIOS) and extended firmware interface (EFI) programs. Although these types of tests provide relatively low-level, and hence more accurate, control of component functionality and interconnect buses, system interactions cannot be stressed to their bandwidth specifications in such tests. In addition, the ability of BIOS/EFI tests to isolate a fault with sufficient granularity is also limited.
  • Finally, there is a low level technique known as boundary scan testing (or the Joint Test Access Group, JTAG, protocol) which calls for on-chip circuitry used to control individual bits transmitted between components. Once again, however, there is no provision for testing high frequency faults. For example, a boundary scan test may detect “opens” and “shorts” while running at a 10 MHz clock, whereas normal signaling speed on the interconnect will be in the hundreds of MHz or even GHz range.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention is illustrated by way of example and not by way of limitation in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that references to “an” embodiment of the invention in this disclosure are not necessarily to the same embodiment, and they mean at least one.
  • FIG. 1 illustrates a block diagram of an integrated circuit device with memory controller logic and interconnect built-in self test logic.
  • FIG. 2 shows a block diagram of a main memory subsystem enhanced with built-in self test capability.
  • FIG. 3 depicts the control logic section for one of multiple lanes in a point-to-point link that can be used in the main memory subsystem.
  • FIG. 4 shows a flow diagram of a method for testing a chip-to-chip connection in a computer system.
  • DETAILED DESCRIPTION
  • Some embodiments of the invention described below are directed to techniques for enhancing some or all components of a main memory subsystem with built-in self test (BIST) logic circuitry that can test the main memory subsystem. Each enhanced IC component or device has BIST logic that is designed to communicate with other BIST logic, or with initialized components of the subsystem, to determine whether an electrical specification of the subsystem is satisfied or whether the components of the subsystem have been properly installed. The BIST capability here, which may also be referred to as interconnect BIST (IBIST), is capable of testing chip-to-chip connections between IC devices at speed, that is substantially at the same or higher signaling speed (or symbol rate) as that used in a normal mode of operation for the subsystem. The IBIST logic also has a transparent, or also referred to as “normal”, mode in which the IC device involved can operate to perform its primary memory-related function, e.g., to transmit address and command information and/or data that are typically needed for accessing the subsystem. According to an embodiment of the invention, the IBIST capability relies upon design for test (DFT) on-chip logic to establish test conditions and test symbols, execute a test of the interconnect, and return results of the test to, for example, an on-board platform management system or to an external tester. With IBIST, the memory subsystem can in effect test itself for defects and verify the high speed performance of chip-to-chip connections in the subsystem at the platform level, high volume manufacturing stage (for example, computer system printed wiring board manufacturing). In other embodiments, the IBIST capability in a device can self-test its IC package connections to a test board, at the IC device validation stage or later during volume manufacturing of the IC package.
  • Referring now to FIG. 1, this figure illustrates a block diagram of an IC device 104. The device has been enhanced with IBIST logic 108 which may be implemented as on-chip logic circuitry that has the ability to perform a test of a chip-to-chip connection for the device 104. In this embodiment, the chip-to-chip connection includes the analog driver and receiver circuitry and transmission lines, between the IBIST logic 108 and the interconnect pins (not shown) of an IC package containing the device 104. This connection includes die signal pads 112 which are coupled to the outputs of driver circuits 113, and die signal pads 114 which are coupled to the inputs of receiver circuits 115. The driver and receiver circuits serve to translate between signaling on the transmission lines and on-chip logic signaling.
  • The IBIST logic 108 is positioned between the driver circuits 113 and receiver circuits 115 on one side and memory controller (MC) logic 120 on the other. The MC logic 120 provides address and command information for accessing a memory device (not shown), to the IBIST logic 108. In response, the IBIST logic 108 transmits, at speed, this address and command information using the driver circuits 113, in a normal or transparent mode of operation for the IC device 104. In contrast, in a test mode of operation for the IC device 104, the IBIST logic 108 may be configured as a test master that transmits, once again at speed, test symbols using the driver circuits 113. The test mode of operation is one during which a chip-to-chip connection between the IC device 104 and another device is tested.
  • The die signal pads 114 and receiver circuits 115 allow bi-directional communications with another device. To support that capability, the IBIST logic 108 includes further logic coupled between the receive circuits 115 and the MC logic 120 to forward data, received by the receiver circuits 115, to the MC logic 120 in the normal mode of operation for the device 104. For example, this data may be the contents of memory locations read from a memory device. On the other hand, in the test mode of operation, the IBIST logic 108 may be configured to act as a test slave which automatically determines error in test symbols that have been received by the receiver circuits 115. This automatic “checking” ability can be extended to some or all of the die signal pads 114 of the IC device 104 that are part of the chip-to-chip connection being tested.
  • Information regarding the determined errors, if any, by the IBIST logic 108 may be transferred out of the IC device 104 via a test access port (TAP) 124. The TAP 124 is coupled to a separate die pad 128 used for transferring the results of testing performed by the IBIST logic 108. The pad 128 may in some cases be also used for loading the IBIST logic 108 with a pattern of test symbols that are driven and checked by the IBIST logic 108 when performing an interconnect test of the chip-to-chip connection. The IBIST logic 108 and the TAP 124 may be designed to have boundary scan chain capability, to scan in test pattern information and scan out the error information according to conventional boundary scan chain techniques used for testing multiple chain linked devices in a computer system board. As an alternative, any error information and/or test pattern information may be transferred to and from the IC device 104 via internal registers (not shown) that are accessible by either a separate low speed test bus such as the System Management Bus (SMBUS) (not shown) or are mapped into the central processing unit (CPU) I/O addressing space of the computer system.
  • Turning now to FIG. 2, a block diagram of a main memory subsystem that has been enhanced with IBIST capability is shown. The subsystem has a number of memory IC modules 204 that are connected to each other via point-to-point, and in this case predominantly unidirectional, links 208, 209. In such a configuration, the IC device 104 acts as a host in which there is memory controller logic (not shown) that communicates directly with only one of the modules 204, in this case the module 204_1; each module 204 has repeater capability, that is it can forward address, command, and data to the next adjacent module. Thus, for instance, the module 204_1 receives address and command information from the host IC device 104 via an outbound link 208_1 and forwards the information (if necessary for use by other modules) via outbound link 208_2. In the reverse direction, the module 204_1 receives data (for instance the contents of a location in a memory device of the module 204_N) via an inbound link 209_2, and forwards the data to the host IC device 104 directly over an inbound link 209_1. This repeater capability is implemented in part in a memory buffer 220 to be described below.
  • Each memory module 204 is made of a carrier substrate 214 (such as a separate, printed wiring board with multiple signal routing layers as used for conventional dual in-line memory modules or DIMMs). A number of memory devices 222 and multiple sets of connection points 216-219 are installed (e.g., directly soldered) on the substrate 214. There are in this case four sets of connection points 216-219 that are installed on the carrier substrate 214, where each set supports multiple electrical signals, and that form the transmission line between the modules 204 and the host IC device 104. In a computer system embodiment, the links 208 and 209 are formed in a mother board or system board (not shown) through which metal traces and connector slots that constitute the links 208, 209 are provided. Other types of connections for allowing the modules 204 to communicate with each other and with the host IC device 104 may be possible.
  • Each module 204 further includes a number of memory devices 222 that are communicatively installed on the carrier substrate 214 (e.g., soldered). Each memory device 222 has a separate memory core array and separate address decoder logic (not shown) to store the data and provide access to it. These memory devices may be solid state, volatile or non-volatile devices such as dynamic random access memory (DRAM) devices. Each memory device may be a packaged set of one or more IC chips, where each chip has a separate memory core array and separate address decoder logic. Other ways of packaging a memory device may be used.
  • The memory devices 222 are communicatively coupled to a memory buffer 220 that is also installed on the substrate. Multi-drop command/address and data buses are tapped into by in this case several memory devices 222_1, 222_2, . . . 222_M to communicate with the memory buffer 220. Other configurations for connecting multiple memory devices to a memory buffer are also possible.
  • The memory buffer 220 is to decode local memory command, address, and data (that is, intended for one or more of the memory devices 222 that are located on the module 204_1 rather than memory devices that are located on other modules). The memory buffer 220 is designed to improve memory operation by “re-driving” the address, command and data signals. The memory buffer 220 may be external to the module 204 or it can be located on the module 204 as shown in the embodiment of FIG. 2.
  • Note that the data that arrives at the memory buffer 220 may be synchronized to a system reference clock, so that the clock used to detect symbols is referred to as a derived clock. As an alternative, the buffer 220 can provide a reference clock along with the transmitted (or re-driven) data—this being referred to as a forwarded clock. Yet another alternative is where the clock is embedded in the data signal, also known as embedded clock.
  • The memory buffer 220 may be built using a fabrication process that is different than one which is optimized for making memory devices such as DRAM devices. This allows the memory buffer 220 to be enhanced with IBIST logic more cost-efficiently than integrating the IBIST logic in the memory devices 222. The IBIST logic in the memory buffer 220 is categorized as being of two types, BIST generator and BIST checker. Their capabilities will be described below.
  • Still referring to FIG. 2, the memory buffer 220 acts as an interface to the host IC device 104; it has a number of driver circuits (not shown) whose outputs are coupled to the connection points 216. The buffer 220 has circuitry designed to forward read data, provided by the memory devices 222, at speed, that is at the nominal transmission or signaling rate, using the drivers in a normal mode of operation for the module 204_1. In addition, a BIST checker 224 determines error in test symbols received from outside the module 204_1. These test symbols have been received at speed, that is substantially the same as the nominal transmission rate which is high enough to evaluate high frequency faults that might appear in the normal mode of operation, using the connection points 217. The error determination is done in a test mode of operation for the module 204_1, during which a chip-to-chip connection between the module 204_1 and, in this case, the host IC device 104 is tested. More specifically, the connection in this case would include the outbound link 208_1 (which may comprise metal traces in a printed wiring board on which the host IC device 104 and memory module 204_1 are installed).
  • A module's interface with other modules of the memory subsystem is now described. Using the memory module 204_1 as an example, the module is enhanced with further logic that is designed to test a chip-to-chip connection between the module 204_1 and its adjacent module 204_2 (not shown). This connection to be tested includes an inbound link 209_2, connection points 219, and receiver circuitry (not shown) of the memory buffer 220. To perform such a task, test symbols are transmitted by the memory buffer 220 via the connection points 218 and outbound link 208_2. One of the memory modules that are further downstream, that is for instance module 204_2 or subsequent ones, is configured or programmed to loop these test symbols back towards the host IC device 104 via some internal loop back path (shown as a thick dotted line in FIG. 2). Next, the BIST checker 228 in the module 204_1 determines error in such test symbols that have been received, during the test mode of operation for the module 204_1.
  • It should be noted that in the above-described embodiment, the test symbols originated with a BIST generator 225 located in the host IC device 104, and were then forwarded by the module 204_1. An alternative implementation is to add a BIST generator (not shown) to the memory buffer 220 of a module, to originate the test symbols. This alternative embodiment allows a module to in effect test itself, for example the connections that include the connection points 218, outbound link 208_2, inbound link 209_2 and connection points 219. In addition, the connections composed of inbound link 209_1, connection points 216, outbound link 208_1 and connection points 217 can also be tested, using an additional BIST generator (not shown). In that case, the test symbols transmitted by the module 204_1 would need to be looped back towards the module 204_1 through some external mechanism such as a simple wire loop or a form of repeater circuitry. The module 204_1 as a whole, or its memory buffer 220 by itself, may be installed on a test board, for either validation self-test or volume manufacturing self-test for which a loop-back has been provided on the test board.
  • The main memory subsystem depicted in FIG. 2 can be part of a computer system in which the host IC device 104 is a processor device that includes a processor core (not shown) coupled to memory controller logic 120 (see FIG. 1) to access programs stored in the main memory modules 204. As an alternative, the host IC device 104 may be a system chip set device, or also referred to as a system interface device, that a processor of a computer system uses to access the main memory modules 204 as well as computer system peripherals such as a hard disk drive, a display monitor, and a keyboard (not shown).
  • Referring now to FIG. 3, a mixture of IBIST logic and existing logic and driver/receiver circuitry in the physical layer of the chip-to-chip communications protocol is shown, for transmitting and receiving over one lane. There can be multiple lanes that may make up a given connection in the main memory subsystem. The grey blocks in the figure indicate on-chip, IBIST logic whereas the clear blocks may be considered to be part of the pre-existing design of the host IC device or the memory buffer. In the transmit path, core data from the link layer of the communications protocol would normally be provided directly to an encode block 320 that may be used to balance the average signal swing of the transmission line signal that is driven by the driver circuitry 328. As an example, the output of the driver circuit 328, which in this embodiment is a differential output, feeds a single link such as link 208_2 or 209-1 of the memory module 204 (see FIG. 2). A serializer 324 may also be used to convert parallel symbols into a serial bit stream.
  • At the receiver end, receiver circuitry 360 translates the incoming transmission line signal into a serial bit stream that is converted into parallel symbols by a de-serializer 356. The differential input to the receiver circuit 360 may be directly connected to a single link 208_1 or 209_2 of the module 204 (see FIG. 2). Note that the connection between a host IC device and a memory module, or between memory modules, may have more than one lane in each direction. An optional decoder 352 may also be provided if the format of the symbols had been changed to balance the transmission line signal. The received symbols may be stored in an elastic block 348 for purposes of buffering, prior to being forwarded to the communication protocol's link layer.
  • As part of the IBIST logic, multiplexers 316 and 344 are added, to provide an additional path for test symbols to be received (multiplexer 344) and transmitted (multiplexer 316) in a test mode of operation. For multiplexer 316, an additional loop back path from the elastic block 348 is provided, so that received test symbols can be looped back, as was discussed above in connection with FIG. 2.
  • As shown in FIG. 3, the control logic section for one connection (which may have multiple lanes) includes a test symbol register 304 that stores a pattern of test symbols that can be transmitted or used to check received test symbols. Different test symbols may be transmitted using a multiplexer 308, under control of a state machine 312 which may be externally programmed to conduct an interconnect test using a desired sequence of test symbols. Similarly, a state machine 336 provides the desired sequence of test symbols, via a multiplexer 332, to comparison logic that in this embodiment consists of a single XOR gate 340. An alternative to this direct comparison is the use of a multiple input signature register, MISR (not shown), that accumulates both a transmitter's symbol stream and the receiver's symbol stream (where the transmitter and receiver may or may not be in the same IC die), followed by a comparison of the resulting values at regular intervals or at completion of a test session. In either case, a pass/fail indication by the comparison logic may be captured by a control register 364. As mentioned above, this error information may be accessed from outside of the device, via for example the TAP 124 (see FIG. 1). A global control register 368 is also provided, to control the start and stop of a test for this connection, that is accessible from some external mechanism such as onboard system firmware (not shown).
  • Turning now to FIG. 4, a flow diagram of a method for testing a chip-to-chip connection in a computer system is described. Although the flow diagram shows the various operations being linked to each other sequentially, some or all of these operations may be performed out of the order indicated and still provide their advantageous effects in terms of efficiently testing the memory subsystem. It is also assumed for this embodiment that the computer system includes a main memory subsystem installed, on for example a system board, and whose IBIST logic units can be accessed for configuration via either an external tester or via platform management system firmware. Operation may begin with placing the various components of the main memory subsystem and host IC device in test mode (block 404). This test mode may be the initial mode of operation upon the computer system being reset or initially powered on, prior to operating system boot; alternatively, it may be a special mode of operation that the computer system enters from a normal mode, via some type of platform management or other computer system internal management program (firmware) being executed.
  • Next, operation may proceed with block 408 in which the IBIST logic of the components of the main memory subsystem, and optionally the host IC device, are programmed to establish a test pattern to use as well as which chip-to-chip connections to test. Thus, for example, referring now to FIG. 2, the BIST generator 222 is instructed to transmit its test symbols over the link 208_1, while the BIST checker 224 is instructed to determine errors in these test symbols. In addition, the memory buffer 220 of module 204_1 is instructed to forward the test symbols to the next module, and so on until the test symbols are received by the module 204_N. The memory buffer in this last module 204_N is programmed to loop back the test symbols towards the host IC device. The BIST checker 228 in the module 204_1, as well as in other intermediate modules (not shown), is programmed to determine any error in the test symbols received. Note that all of the BIST checkers in the different modules and in the host IC device may have the same test pattern hard-wired into their logic circuitry. Alternatively, the same test pattern may be programmed into all IBIST logic that is in the test symbol path, via, as mentioned, above some type of low speed system test bus or I/O mapped register access.
  • Next, operation proceeds with block 412 in which the IBIST logic units are instructed to start a test session, with a test master transmitting test symbols over a predefined chip-to-chip communications connection of the subsystem. In the embodiment of FIG. 2, that would mean the BIST generator 225 launches its test symbols over the outbound link 208_1.
  • Operation then proceeds with block 416 in which the test symbols, that were transmitted at speed, are received and checked against a test symbol pattern that is stored in a first memory module of the system. These received test symbols are then looped in the first module, back to the host IC device over an inbound chip-to-chip communications connection of the system that is normally used by the first module to send read data at speed to the host IC device. Thus, for the embodiment of FIG. 2, this connection would be the inbound link 209_1. The looped back test symbols are then received, in the host IC device in this case, and checked against a test symbol pattern that is stored in the device (block 420). The above-described procedure in blocks 416 and 420 may be repeated until multiple test patterns have been transmitted, looped back, and checked, so that an electrical specification of one or more connections being tested, in this example the links 208_1 and 209_1 together with related analog driver and receiver circuitry as well as chip and packaging connections, have been verified. In another example, the same test pattern may be transmitted, looped back, and checked by the module 204_1 (see FIG. 2) to verify the electrical specifications of the interconnect between the module 204_1 and 204_2 (not shown), where this interconnect would include the following: analog driver circuitry in the memory buffer 220, chip-to-packaging connections of the memory buffer 220, the connector 218, outbound link 208_2, inbound link 209_2, connection points 219, connections between the connection points 219 and the die signal pads of the memory buffer 220, and finally the analog receiver circuitry of the memory buffer 220. This connection is of course the same connection that would be used by the memory buffer 220 during its normal mode of operation for repeating address, command, and/or data.
  • Returning to FIG. 4 now, any error information captured by the IBIST logic may be reported to, for example, system firmware or an external tester, following which the different components of the memory subsystem may be placed back into their normal mode of operation provided that is the prudent thing to do in view of the results of the test (block 424).
  • To summarize, various embodiments of a built-in self test methodology for computer systems have been described. In the foregoing specification, the invention has been described with reference to specific exemplary embodiments thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the invention as set forth in the appended claims. For example, the reference to a “computer system” is not intended to be limited to general purpose (e.g. personal) computers but rather encompasses any digital system board or platform that could benefit from the above described main memory subsystem test methodology. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims (11)

1-4. (canceled)
5. A memory integrated circuit (IC) module, comprising:
a carrier substrate;
a plurality of first and second signal connection points being installed on the substrate;
a plurality of memory devices installed on the substrate, each of which has a separate memory core array and separate address decoder logic; and
a memory buffer installed on the substrate and communicatively coupled between the plurality of first and second signal connection points and the plurality of memory devices,
the buffer having a plurality of driver circuits whose outputs are coupled to the plurality of first signal connection points, respectively, and logic to a) forward read data, provided by the plurality of memory devices, at speed using the plurality of drivers in a normal mode of operation for the module and b) determine error in test symbols received from outside the module at speed using the plurality of second signal connection points in a test mode of operation for the module during which a chip-to-chip connection between the module and another device is tested.
6. The module of claim 5 wherein the carrier substrate is a printed wiring board and the plurality of memory devices are dynamic random access memory (DRAM) devices.
7. The module of claim 5 further comprising:
a plurality of third and fourth signal connection points being installed on the substrate; and
wherein the buffer device includes a further plurality of driver circuits whose outputs are coupled to the plurality of third signal connection points, respectively, and further logic to a) forward address and command information, that has been received from outside the module, at speed using the further plurality of driver circuits and b) determine error in test symbols, that have been received from outside the module at speed via the plurality of fourth signal connection points, in a test mode of operation for the module during which a chip-to-chip connection between the module and another device is tested.
8. The module of claim 7 wherein the buffer device is to decode local memory command, address and data, received at speed via the plurality of second signal connection points, and send them to some of the plurality of memory devices.
9. A system of integrated circuit (IC) devices, comprising:
a carrier substrate;
a host IC device having memory controller logic and being installed on the substrate,
the host IC device having built-in self test (BIST) generator logic coupled between a plurality of driver circuits and the memory controller logic, to a) transmit, at speed, address and command information generated by the controller logic, using the plurality of driver circuits in a normal mode of operation for the IC device and b) transmit, at speed, test symbols, using the plurality of driver circuits in a test mode of operation for the IC device during which an interconnect between the IC device and another device is tested,
the host IC device having BIST checker logic coupled between a plurality of receiver circuits and the memory controller logic, to a) forward data, received by the plurality of receiver circuits, to the memory controller logic in said normal mode of operation for the IC device and b) determine error in test symbols received by the plurality of receiver circuits in a test mode of operation for the IC device during which an interconnect between the IC device and another device is tested; and
a first main memory module being installed on the substrate to communicate with the host IC device,
the first module having a memory buffer circuit with repeater capability to a) forward address and command information from the memory controller logic to a second main memory module, and b) forward read data from the second main memory module to the memory controller logic,
the first module having first BIST checker logic to determine error in the test symbols transmitted by the BIST generator logic of the host IC device.
10. The system of claim 9 further comprising the second main memory module installed on the substrate to communicate with the host IC device through the first main memory module,
the second module to re-transmit the test symbols transmitted by the host IC device and forwarded by the first module, back to the first module.
11. The system of claim 10 wherein the first module further comprises second BIST checker logic to determine error in the re-transmitted test symbols received from the second module.
12. The system of claim 9 wherein the host IC device is a processor device that includes a processor core coupled to the memory controller logic to access the main memory modules.
13. The system of claim 9 wherein the host IC device is a system chipset device that a processor of the system uses to access the main memory modules and computer system peripherals.
14-21. (canceled).
US10/668,817 2003-09-22 2003-09-22 Built-in self test for memory interconnect testing Abandoned US20050080581A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/668,817 US20050080581A1 (en) 2003-09-22 2003-09-22 Built-in self test for memory interconnect testing
US11/289,186 US7536267B2 (en) 2003-09-22 2005-11-28 Built-in self test for memory interconnect testing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/668,817 US20050080581A1 (en) 2003-09-22 2003-09-22 Built-in self test for memory interconnect testing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/289,186 Division US7536267B2 (en) 2003-09-22 2005-11-28 Built-in self test for memory interconnect testing

Publications (1)

Publication Number Publication Date
US20050080581A1 true US20050080581A1 (en) 2005-04-14

Family

ID=34421980

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/668,817 Abandoned US20050080581A1 (en) 2003-09-22 2003-09-22 Built-in self test for memory interconnect testing
US11/289,186 Expired - Fee Related US7536267B2 (en) 2003-09-22 2005-11-28 Built-in self test for memory interconnect testing

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/289,186 Expired - Fee Related US7536267B2 (en) 2003-09-22 2005-11-28 Built-in self test for memory interconnect testing

Country Status (1)

Country Link
US (2) US20050080581A1 (en)

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060023482A1 (en) * 2004-07-30 2006-02-02 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US20060026349A1 (en) * 2004-07-30 2006-02-02 International Business Machines Corporaiton System, method and storage medium for providing a serialized memory interface with a bus repeater
US20060036826A1 (en) * 2004-07-30 2006-02-16 International Business Machines Corporation System, method and storage medium for providing a bus speed multiplier
US20060095629A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for providing a service interface to a memory system
US20060095646A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US20060095671A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for providing data caching and data compression in a memory subsystem
US20060095701A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for a memory subsystem with positional read data latency
US20060247886A1 (en) * 2005-04-28 2006-11-02 Rambus Inc. Technique for testing interconnections between electronic components
US20060282722A1 (en) * 2005-05-24 2006-12-14 Kingston Technology Corp. Loop-Back Memory-Module Extender Card for Self-Testing Fully-Buffered Memory Modules
US20070002938A1 (en) * 2005-06-30 2007-01-04 Zimmerman David J Low speed access to DRAM
US20070011536A1 (en) * 2005-06-21 2007-01-11 Rahul Khanna Automated BIST execution scheme for a link
US20070089006A1 (en) * 2005-09-28 2007-04-19 Zimmerman David J IO self test method and apparatus for memory
US20070168776A1 (en) * 2005-10-04 2007-07-19 Texas Instruments Incorporated Systems and methods for improved memory scan testability
US20070183331A1 (en) * 2005-11-28 2007-08-09 International Business Machines Corporation Method and system for providing indeterminate read data latency in a memory system
US20070276977A1 (en) * 2006-05-24 2007-11-29 International Business Machines Corporation Systems and methods for providing memory modules with multiple hub devices
US20070300129A1 (en) * 2004-10-29 2007-12-27 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US20080034148A1 (en) * 2006-08-01 2008-02-07 International Business Machines Corporation Systems and methods for providing performance monitoring in a memory system
US20080040569A1 (en) * 2004-10-29 2008-02-14 International Business Machines Corporation System, method and storage medium for bus calibration in a memory subsystem
US20080040563A1 (en) * 2006-08-10 2008-02-14 International Business Machines Corporation Systems and methods for memory module power management
US7332929B1 (en) 2006-03-03 2008-02-19 Azul Systems, Inc. Wide-scan on-chip logic analyzer with global trigger and interleaved SRAM capture buffers
US20080098277A1 (en) * 2006-10-23 2008-04-24 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US20080133797A1 (en) * 2004-07-30 2008-06-05 International Business Machines Corporation System, method and storage medium for a multi-mode memory buffer device
US20080162991A1 (en) * 2007-01-02 2008-07-03 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US20080183903A1 (en) * 2007-01-29 2008-07-31 International Business Machines Corporation Systems and methods for providing dynamic memory pre-fetch
US20080315889A1 (en) * 2007-06-22 2008-12-25 Sun Microsystems, Inc. Fault isolation in interconnect systems
US20090094476A1 (en) * 2005-10-31 2009-04-09 International Business Machines Corporation Deriving clocks in a memory system
US20090119114A1 (en) * 2007-11-02 2009-05-07 David Alaniz Systems and Methods for Enabling Customer Service
US7669086B2 (en) 2006-08-02 2010-02-23 International Business Machines Corporation Systems and methods for providing collision detection in a memory system
US7707472B1 (en) * 2004-05-17 2010-04-27 Altera Corporation Method and apparatus for routing efficient built-in self test for on-chip circuit blocks
US8595678B2 (en) 2012-02-03 2013-11-26 International Business Machines Corporation Validating interconnections between logic blocks in a circuit description
US20140258780A1 (en) * 2013-03-05 2014-09-11 Micron Technology, Inc. Memory controllers including test mode engines and methods for repair of memory over busses used during normal operation of the memory
US9064560B2 (en) 2011-05-19 2015-06-23 Intel Corporation Interface for storage device access over memory bus
US9449320B1 (en) * 2015-06-08 2016-09-20 Vantiv, Llc Closed-loop testing of integrated circuit card payment terminals
KR20160147967A (en) * 2014-06-30 2016-12-23 인텔 코포레이션 Duty cycle based timing margining for i/o ac timing
US10175296B2 (en) * 2016-12-07 2019-01-08 Intel Corporation Testing a board assembly using test cards
US10613144B2 (en) * 2018-02-12 2020-04-07 Samsung Electronics Co., Ltd. Semiconductor device
US11055695B2 (en) * 2017-06-12 2021-07-06 Discover Financial Services Automated system and method for testing bank identification numbers in a networked system
US20220043057A1 (en) * 2020-08-06 2022-02-10 Semiconductor Components Industries, Llc Monitoring of interconnect lines
US20220108302A1 (en) * 2016-01-07 2022-04-07 Worldpay, Llc Point of interaction device emulation for payment transaction simulation
US20230230652A1 (en) * 2022-01-14 2023-07-20 Realtek Semiconductor Corporation Testing system and testing method

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4437986B2 (en) * 2005-09-30 2010-03-24 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device, interface test control circuit, and test method
US7966529B2 (en) * 2006-10-16 2011-06-21 Freescale Semiconductor, Inc. System and method for testing memory blocks in an SOC design
KR100870423B1 (en) * 2007-06-27 2008-11-26 주식회사 하이닉스반도체 Semiconductor memory device
KR100921830B1 (en) * 2007-12-27 2009-10-16 주식회사 하이닉스반도체 Fuse monitoring circuit for semiconductor memory device
KR100949264B1 (en) * 2008-06-10 2010-03-25 주식회사 하이닉스반도체 Monitoring circuit for semiconductor device
US7925949B2 (en) * 2008-10-15 2011-04-12 Micron Technology, Inc. Embedded processor
US8286044B2 (en) * 2009-09-15 2012-10-09 International Business Machines Corporation Dynamic random access memory having internal built-in self-test with initialization
US8842490B2 (en) * 2012-06-29 2014-09-23 Intel Corporation Apparatus and method for selectively using a memory command clock as a reference clock
US9564245B2 (en) 2013-12-26 2017-02-07 Intel Corporation Integrated circuit defect detection and repair
US9548137B2 (en) 2013-12-26 2017-01-17 Intel Corporation Integrated circuit defect detection and repair
US9343184B2 (en) 2014-04-07 2016-05-17 Micron Technology, Inc. Soft post package repair of memory devices
US9741403B2 (en) 2014-11-12 2017-08-22 Micron Technology, Inc. Apparatuses and methods to perform post package trim
US9349491B1 (en) 2015-04-17 2016-05-24 Micron Technology, Inc. Repair of memory devices using volatile and non-volatile memory
US10192633B2 (en) * 2016-03-01 2019-01-29 Intel Corporation Low cost inbuilt deterministic tester for SOC testing
US10684930B2 (en) 2017-11-30 2020-06-16 International Business Machines Corporation Functional testing of high-speed serial links
US10832791B2 (en) 2019-01-24 2020-11-10 Micron Technology, Inc. Apparatuses and methods for soft post-package repair

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US194545A (en) * 1877-08-28 Improvement in pipe-stems
US5377199A (en) * 1993-06-30 1994-12-27 Intel Corporation Boundary test scheme for an intelligent device
US5704035A (en) * 1994-07-28 1997-12-30 Intel Corporation Computer method/apparatus for performing a basic input/output system (BIOS) power on test (POST) that uses three data patterns and variable granularity
US5757171A (en) * 1996-12-31 1998-05-26 Intel Corporation On-board voltage regulators with automatic processor type detection
US5845136A (en) * 1996-10-02 1998-12-01 Intel Corporation Control of a function of a computer other than a power supply function using a system power switch
US5954523A (en) * 1995-11-13 1999-09-21 Intel Corporation Dual-in line universal serial bus connector
US6047373A (en) * 1997-01-02 2000-04-04 Intel Corporation Method and apparatus for setting the operating parameters of a computer system
US6101578A (en) * 1994-09-30 2000-08-08 Intel Corporation Method and apparatus for providing test mode access to an instruction cache and microcode ROM
US6122733A (en) * 1997-01-02 2000-09-19 Intel Corporation Method and apparatus for updating a basic input/output system
US6271704B1 (en) * 1999-12-14 2001-08-07 Intel Corporation Method and apparatus for balancing current in a system with two sets of termination devices
US20020073374A1 (en) * 2000-09-28 2002-06-13 Danialy Givargis A. Method,system and program product for testing and/or diagnosing circuits using embedded test controller access data
US20020089887A1 (en) * 1996-04-30 2002-07-11 Hii Kuong Hua Built-in self-test arrangement for integrated circuit memory devices
US20030233607A1 (en) * 2002-06-14 2003-12-18 International Business Machines Corporation Random pattern weight control by pseudo random bit pattern generator initialization
US20040107395A1 (en) * 2002-12-03 2004-06-03 Volkerink Erik H. System and method for testing circuitry using an externally generated signature
US20040186688A1 (en) * 2003-03-20 2004-09-23 Jay Nejedlo Reusable, built-in self-test methodology for computer systems

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5047926A (en) * 1989-03-15 1991-09-10 Acer Incorporated Development and debug tool for microcomputers
FR2675921B1 (en) * 1991-04-24 1993-08-20 Hewlett Packard Co METHOD AND DEVICE FOR TESTING A CARD OF A COMPUTER SYSTEM.
US6101457A (en) * 1992-10-29 2000-08-08 Texas Instruments Incorporated Test access port
US5726991A (en) * 1993-06-07 1998-03-10 At&T Global Information Solutions Company Integral bit error rate test system for serial data communication links
US5596715A (en) * 1993-07-06 1997-01-21 Digital Equipment Corporation Method and apparatus for testing high speed busses using gray-code data
US5875293A (en) * 1995-08-08 1999-02-23 Dell Usa, L.P. System level functional testing through one or more I/O ports of an assembled computer system
US6073253A (en) * 1997-12-19 2000-06-06 International Business Machines Corporation Enhanced reset and built-in self-test mechanisms for single function and multifunction input/output devices
KR100574119B1 (en) 1998-02-02 2006-04-25 코닌클리즈케 필립스 일렉트로닉스 엔.브이. Circuit with interconnect test unit and a method of testing interconnects between a first and a second electronic circuit
US6385236B1 (en) * 1998-10-05 2002-05-07 Lsi Logic Corporation Method and Circuit for testing devices with serial data links
US6357027B1 (en) * 1999-05-17 2002-03-12 Infineon Technologies Ag On chip data comparator with variable data and compare result compression
US6609221B1 (en) * 1999-08-31 2003-08-19 Sun Microsystems, Inc. Method and apparatus for inducing bus saturation during operational testing of busses using a pattern generator
US6502212B1 (en) * 1999-08-31 2002-12-31 Sun Microsystems, Inc. Method and apparatus for bus parameter optimization using probes of system configurations
US6564348B1 (en) * 1999-11-04 2003-05-13 International Business Machines Corporation Method and apparatus for storing and using chipset built-in self-test signatures
US6530052B1 (en) * 1999-12-29 2003-03-04 Advanced Micro Devices, Inc. Method and apparatus for looping back a current state to resume a memory built-in self-test
US6505317B1 (en) * 2000-03-24 2003-01-07 Sun Microsystems, Inc. System and method for testing signal interconnections using built-in self test
CA2345605A1 (en) * 2001-04-30 2002-10-30 Robert A. Abbott Method of testing embedded memory array and embedded memory controller for use therewith
US6885209B2 (en) * 2002-08-21 2005-04-26 Intel Corporation Device testing
US6792378B2 (en) * 2002-11-21 2004-09-14 Via Technologies, Inc. Method for testing I/O ports of a computer motherboard
US7047458B2 (en) * 2002-12-16 2006-05-16 Intel Corporation Testing methodology and apparatus for interconnects

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US194545A (en) * 1877-08-28 Improvement in pipe-stems
US5377199A (en) * 1993-06-30 1994-12-27 Intel Corporation Boundary test scheme for an intelligent device
US5704035A (en) * 1994-07-28 1997-12-30 Intel Corporation Computer method/apparatus for performing a basic input/output system (BIOS) power on test (POST) that uses three data patterns and variable granularity
US6101578A (en) * 1994-09-30 2000-08-08 Intel Corporation Method and apparatus for providing test mode access to an instruction cache and microcode ROM
US6089879A (en) * 1995-11-13 2000-07-18 Intel Corporation Dual-in-line universal serial bus connector
US5954523A (en) * 1995-11-13 1999-09-21 Intel Corporation Dual-in line universal serial bus connector
US20020089887A1 (en) * 1996-04-30 2002-07-11 Hii Kuong Hua Built-in self-test arrangement for integrated circuit memory devices
US5845136A (en) * 1996-10-02 1998-12-01 Intel Corporation Control of a function of a computer other than a power supply function using a system power switch
US5757171A (en) * 1996-12-31 1998-05-26 Intel Corporation On-board voltage regulators with automatic processor type detection
US6047373A (en) * 1997-01-02 2000-04-04 Intel Corporation Method and apparatus for setting the operating parameters of a computer system
US6122733A (en) * 1997-01-02 2000-09-19 Intel Corporation Method and apparatus for updating a basic input/output system
US6256731B1 (en) * 1997-01-02 2001-07-03 Intel Corporation Method and apparatus for setting the operating parameters of a computer system
US6271704B1 (en) * 1999-12-14 2001-08-07 Intel Corporation Method and apparatus for balancing current in a system with two sets of termination devices
US20020073374A1 (en) * 2000-09-28 2002-06-13 Danialy Givargis A. Method,system and program product for testing and/or diagnosing circuits using embedded test controller access data
US20030233607A1 (en) * 2002-06-14 2003-12-18 International Business Machines Corporation Random pattern weight control by pseudo random bit pattern generator initialization
US20040107395A1 (en) * 2002-12-03 2004-06-03 Volkerink Erik H. System and method for testing circuitry using an externally generated signature
US20040186688A1 (en) * 2003-03-20 2004-09-23 Jay Nejedlo Reusable, built-in self-test methodology for computer systems

Cited By (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7707472B1 (en) * 2004-05-17 2010-04-27 Altera Corporation Method and apparatus for routing efficient built-in self test for on-chip circuit blocks
US7224595B2 (en) 2004-07-30 2007-05-29 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US20060026349A1 (en) * 2004-07-30 2006-02-02 International Business Machines Corporaiton System, method and storage medium for providing a serialized memory interface with a bus repeater
US20060036826A1 (en) * 2004-07-30 2006-02-16 International Business Machines Corporation System, method and storage medium for providing a bus speed multiplier
US20080183957A1 (en) * 2004-07-30 2008-07-31 International Business Machines Corporation 276-pin buffered memory module with enhanced fault tolerance
US20080133797A1 (en) * 2004-07-30 2008-06-05 International Business Machines Corporation System, method and storage medium for a multi-mode memory buffer device
US7529112B2 (en) 2004-07-30 2009-05-05 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance and a performance-optimized pin assignment
US20060023482A1 (en) * 2004-07-30 2006-02-02 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US20070288679A1 (en) * 2004-07-30 2007-12-13 International Business Machines Corporation 276-pin buffered memory module with enhanced fault tolerance and a performance-optimized pin assignment
US7729153B2 (en) 2004-07-30 2010-06-01 International Business Machines Corporation 276-pin buffered memory module with enhanced fault tolerance
US7765368B2 (en) 2004-07-30 2010-07-27 International Business Machines Corporation System, method and storage medium for providing a serialized memory interface with a bus repeater
US20070300129A1 (en) * 2004-10-29 2007-12-27 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US20080040569A1 (en) * 2004-10-29 2008-02-14 International Business Machines Corporation System, method and storage medium for bus calibration in a memory subsystem
US20060095629A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for providing a service interface to a memory system
US8140942B2 (en) 2004-10-29 2012-03-20 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US7844771B2 (en) 2004-10-29 2010-11-30 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US20060095646A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US8589769B2 (en) 2004-10-29 2013-11-19 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US20080313374A1 (en) * 2004-10-29 2008-12-18 International Business Machines Corporation Service interface to a memory system
US8296541B2 (en) 2004-10-29 2012-10-23 International Business Machines Corporation Memory subsystem with positional read data latency
US20070294466A1 (en) * 2004-10-29 2007-12-20 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US20080177929A1 (en) * 2004-10-29 2008-07-24 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US20060095701A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for a memory subsystem with positional read data latency
US20060095671A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for providing data caching and data compression in a memory subsystem
US20060247886A1 (en) * 2005-04-28 2006-11-02 Rambus Inc. Technique for testing interconnections between electronic components
US7478005B2 (en) * 2005-04-28 2009-01-13 Rambus Inc. Technique for testing interconnections between electronic components
US20060282722A1 (en) * 2005-05-24 2006-12-14 Kingston Technology Corp. Loop-Back Memory-Module Extender Card for Self-Testing Fully-Buffered Memory Modules
US7197676B2 (en) * 2005-05-24 2007-03-27 Kingston Technology Corp. Loop-Back Memory-Module Extender Card for Self-Testing Fully-Buffered Memory Modules
US7437643B2 (en) 2005-06-21 2008-10-14 Intel Corporation Automated BIST execution scheme for a link
US20070011536A1 (en) * 2005-06-21 2007-01-11 Rahul Khanna Automated BIST execution scheme for a link
US7580465B2 (en) * 2005-06-30 2009-08-25 Intel Corporation Low speed access to DRAM
US20090316800A1 (en) * 2005-06-30 2009-12-24 Intel Corporation Low speed access to dram
US9036718B2 (en) 2005-06-30 2015-05-19 Intel Corporation Low speed access to DRAM
US8619883B2 (en) 2005-06-30 2013-12-31 Intel Corporation Low speed access to DRAM
US20070002938A1 (en) * 2005-06-30 2007-01-04 Zimmerman David J Low speed access to DRAM
US7519891B2 (en) 2005-09-28 2009-04-14 Intel Corporation IO self test method and apparatus for memory
US20070089006A1 (en) * 2005-09-28 2007-04-19 Zimmerman David J IO self test method and apparatus for memory
US20070168776A1 (en) * 2005-10-04 2007-07-19 Texas Instruments Incorporated Systems and methods for improved memory scan testability
WO2007044286A3 (en) * 2005-10-04 2007-08-30 Texas Instruments Inc Memory scan testing
US7315971B2 (en) * 2005-10-04 2008-01-01 Texas Instruments Incorporated Systems and methods for improved memory scan testability
US7934115B2 (en) 2005-10-31 2011-04-26 International Business Machines Corporation Deriving clocks in a memory system
US20090094476A1 (en) * 2005-10-31 2009-04-09 International Business Machines Corporation Deriving clocks in a memory system
US8495328B2 (en) 2005-11-28 2013-07-23 International Business Machines Corporation Providing frame start indication in a memory system having indeterminate read data latency
US8327105B2 (en) 2005-11-28 2012-12-04 International Business Machines Corporation Providing frame start indication in a memory system having indeterminate read data latency
US7685392B2 (en) 2005-11-28 2010-03-23 International Business Machines Corporation Providing indeterminate read data latency in a memory system
US20070286199A1 (en) * 2005-11-28 2007-12-13 International Business Machines Corporation Method and system for providing identification tags in a memory system having indeterminate data response times
US8151042B2 (en) 2005-11-28 2012-04-03 International Business Machines Corporation Method and system for providing identification tags in a memory system having indeterminate data response times
US20070183331A1 (en) * 2005-11-28 2007-08-09 International Business Machines Corporation Method and system for providing indeterminate read data latency in a memory system
US8145868B2 (en) 2005-11-28 2012-03-27 International Business Machines Corporation Method and system for providing frame start indication in a memory system having indeterminate read data latency
US7332929B1 (en) 2006-03-03 2008-02-19 Azul Systems, Inc. Wide-scan on-chip logic analyzer with global trigger and interleaved SRAM capture buffers
US20070276977A1 (en) * 2006-05-24 2007-11-29 International Business Machines Corporation Systems and methods for providing memory modules with multiple hub devices
US20080034148A1 (en) * 2006-08-01 2008-02-07 International Business Machines Corporation Systems and methods for providing performance monitoring in a memory system
US7669086B2 (en) 2006-08-02 2010-02-23 International Business Machines Corporation Systems and methods for providing collision detection in a memory system
US20080040563A1 (en) * 2006-08-10 2008-02-14 International Business Machines Corporation Systems and methods for memory module power management
US20080098277A1 (en) * 2006-10-23 2008-04-24 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US7870459B2 (en) 2006-10-23 2011-01-11 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US7721140B2 (en) 2007-01-02 2010-05-18 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US20080162991A1 (en) * 2007-01-02 2008-07-03 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US20080183903A1 (en) * 2007-01-29 2008-07-31 International Business Machines Corporation Systems and methods for providing dynamic memory pre-fetch
US20080315889A1 (en) * 2007-06-22 2008-12-25 Sun Microsystems, Inc. Fault isolation in interconnect systems
US8015458B2 (en) * 2007-06-22 2011-09-06 Oracle America, Inc. Fault isolation in interconnect systems
US20090119114A1 (en) * 2007-11-02 2009-05-07 David Alaniz Systems and Methods for Enabling Customer Service
US9064560B2 (en) 2011-05-19 2015-06-23 Intel Corporation Interface for storage device access over memory bus
US10025737B2 (en) 2011-05-19 2018-07-17 Intel Corporation Interface for storage device access over memory bus
US8595678B2 (en) 2012-02-03 2013-11-26 International Business Machines Corporation Validating interconnections between logic blocks in a circuit description
US20140258780A1 (en) * 2013-03-05 2014-09-11 Micron Technology, Inc. Memory controllers including test mode engines and methods for repair of memory over busses used during normal operation of the memory
KR101921971B1 (en) 2014-06-30 2018-11-27 인텔 코포레이션 Duty cycle based timing margining for i/o ac timing
KR20160147967A (en) * 2014-06-30 2016-12-23 인텔 코포레이션 Duty cycle based timing margining for i/o ac timing
US9449320B1 (en) * 2015-06-08 2016-09-20 Vantiv, Llc Closed-loop testing of integrated circuit card payment terminals
US10339513B1 (en) 2015-06-08 2019-07-02 Worldpay, Llc Closed-loop testing of integrated circuit card payment terminals
US10832232B2 (en) 2015-06-08 2020-11-10 Worldpay, Llc Closed-loop testing of integrated circuit card payment terminals
US11461755B2 (en) 2015-06-08 2022-10-04 Worldpay, Llc Closed-loop testing of integrated circuit card payment terminals
US20220108302A1 (en) * 2016-01-07 2022-04-07 Worldpay, Llc Point of interaction device emulation for payment transaction simulation
US20220188806A1 (en) * 2016-01-07 2022-06-16 Worldpay, Llc Point of interaction device emulation for payment transaction simulation
US10175296B2 (en) * 2016-12-07 2019-01-08 Intel Corporation Testing a board assembly using test cards
US11055695B2 (en) * 2017-06-12 2021-07-06 Discover Financial Services Automated system and method for testing bank identification numbers in a networked system
US10613144B2 (en) * 2018-02-12 2020-04-07 Samsung Electronics Co., Ltd. Semiconductor device
US20220043057A1 (en) * 2020-08-06 2022-02-10 Semiconductor Components Industries, Llc Monitoring of interconnect lines
US11946972B2 (en) * 2020-08-06 2024-04-02 Semiconductor Components Industries, Llc Monitoring of interconnect lines
US20230230652A1 (en) * 2022-01-14 2023-07-20 Realtek Semiconductor Corporation Testing system and testing method

Also Published As

Publication number Publication date
US20060080058A1 (en) 2006-04-13
US7536267B2 (en) 2009-05-19

Similar Documents

Publication Publication Date Title
US7536267B2 (en) Built-in self test for memory interconnect testing
US6505317B1 (en) System and method for testing signal interconnections using built-in self test
US6826100B2 (en) Push button mode automatic pattern switching for interconnect built-in self test
US7480830B2 (en) System, method and storage medium for testing a memory module
US7155370B2 (en) Reusable, built-in self-test methodology for computer systems
CN111149162B (en) semiconductor memory device
US7437643B2 (en) Automated BIST execution scheme for a link
CN100541442C (en) high performance serial bus testing method
US6425101B1 (en) Programmable JTAG network architecture to support proprietary debug protocol
US7412627B2 (en) Method and apparatus for providing debug functionality in a buffered memory channel
TW451379B (en) Efficient parallel testing of integrated circuit devices using a known good device to generate expected responses
US7519873B2 (en) Methods and apparatus for interfacing between test system and memory
US8805636B2 (en) Protocol aware digital channel apparatus
US8725489B2 (en) Method for testing in a reconfigurable tester
US7047458B2 (en) Testing methodology and apparatus for interconnects
US7844867B1 (en) Combined processor access and built in self test in hierarchical memory systems
US20050138302A1 (en) Method and apparatus for logic analyzer observability of buffered memory module links
US9245652B2 (en) Latency detection in a memory built-in self-test by using a ping signal
US20040117708A1 (en) Pre-announce signaling for interconnect built-in self test
US8176370B2 (en) Method and system for direct access memory testing of an integrated circuit
US7526691B1 (en) System and method for using TAP controllers
CN116324439A (en) High speed functional protocol based testing and debugging
Nejedlo et al. Intel® IBIST, the full vision realized
Chakraborty et al. A practical approach to comprehensive system test & debug using boundary scan based test architecture
Querbach The Architecture of a Reusable Built-In Self-Test for Link Training, IO and Memory Defect Detection and Auto Repair on 14nm Intel SOC

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZIMMERMAN, DAVID;NEJEDLO, JAY J.;REEL/FRAME:014544/0805;SIGNING DATES FROM 20030915 TO 20030922

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION