US20050079803A1 - Chemical-mechanical planarization composition having PVNO and associated method for use - Google Patents

Chemical-mechanical planarization composition having PVNO and associated method for use Download PDF

Info

Publication number
US20050079803A1
US20050079803A1 US10/683,231 US68323103A US2005079803A1 US 20050079803 A1 US20050079803 A1 US 20050079803A1 US 68323103 A US68323103 A US 68323103A US 2005079803 A1 US2005079803 A1 US 2005079803A1
Authority
US
United States
Prior art keywords
weight
copper
cmp
acid
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/683,231
Inventor
Junaid Siddiqui
Bin Hu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DA Nanomaterials LLC
Versum Materials US LLC
Original Assignee
DuPont Air Products NanoMaterials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DuPont Air Products NanoMaterials LLC filed Critical DuPont Air Products NanoMaterials LLC
Priority to US10/683,231 priority Critical patent/US20050079803A1/en
Priority to TW093130132A priority patent/TWI247796B/en
Priority to JP2004296557A priority patent/JP2005175437A/en
Priority to US11/101,815 priority patent/US20050215183A1/en
Publication of US20050079803A1 publication Critical patent/US20050079803A1/en
Assigned to DUPONT AIR PRODUCTS NANOMATERIALS, LLC reassignment DUPONT AIR PRODUCTS NANOMATERIALS, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HU, BIN, SIDDIQUI, JUNAID AHMED
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Definitions

  • This invention relates generally to the chemical-mechanical polishing (CMP) of metal substrates on semiconductor wafers and slurry compositions therefor.
  • CMP chemical-mechanical polishing
  • the present invention relates to a CMP slurry composition which is characterized to possess high selectivities for removal of metal and barrier material in relation to dielectric material during CMP processing of substrates comprised of metal, barrier material, and dielectric material.
  • This invention is especially useful for copper CMP and most especially for copper CMP step 2.
  • CMP chemical mechanical planarization
  • a substrate e.g., a wafer
  • a CMP slurry typically an abrasive and chemically reactive mixture, is supplied to the pad during CMP processing of the substrate.
  • the pad fixed to the platen
  • substrate are rotated while a wafer carrier system or polishing head applies pressure (downward force) against the substrate.
  • the slurry accomplishes the planarization (polishing) process by chemically and mechanically interacting with the substrate film being planarized due to the effect of the rotational movement of the pad relative to the substrate.
  • metal CMP slurries contain an abrasive material, such as silica or alumina, suspended in an oxidizing, aqueous medium.
  • Silicon based semiconductor devices such as integrated circuits (ICs), typically include a silicon dioxide dielectric layer.
  • Multilevel circuit traces typically formed from aluminum or an aluminum alloy or copper, are patterned onto the silicon dioxide substrate.
  • CMP processing is often employed to remove and planarize excess metal at different stages of semiconductor manufacturing.
  • one way to fabricate a multilevel copper interconnect or planar copper circuit traces on a silicon dioxide substrate is referred to as the damascene process.
  • metallized copper lines or copper vias are formed by electrochemical metal deposition followed by copper CMP processing.
  • the interlevel dielectric (ILD) surface is patterned by a conventional dry etch process to form vias and trenches for vertical and horizontal interconnects and make connection to the sublayer interconnect structures.
  • the patterned ILD surface is coated with an adhesion-promoting layer such as titanium or tantalum and/or a diffusion barrier layer such as titanium nitride or tantalum nitride over the ILD surface and into the etched trenches and vias.
  • the adhesion-promoting layer and/or the diffusion barrier layer is then overcoated with copper, for example, by a seed copper layer and followed by an electrochemically deposited copper layer. Electro-deposition is continued until the structures are filled with the deposited metal.
  • CMP processing is used to remove the copper overlayer, adhesion-promoting layer, and/or diffusion barrier layer, until a planarized surface with exposed elevated portions of the dielectric (silicon dioxide and/or low-k) surface is obtained.
  • the vias and trenches remain filled with electrically conductive copper forming the circuit interconnects.
  • a multi-step copper CMP process may be employed involving the initial removal and planarization of the copper overburden, referred to as a step 1 copper CMP process, followed by a barrier layer CMP process.
  • the barrier layer CMP process is frequently referred to as a barrier or step 2 copper CMP process.
  • the ratio of the removal rate of copper to the removal rate of dielectric base is called the “selectivity” for removal of copper in relation to dielectric during CMP processing of substrates comprised of copper, tantalum and dielectric material.
  • the ratio of the removal rate of copper to the removal rate of tantalum is called the “selectivity” for removal of copper in relation to tantalum during CMP processing.
  • Erosion is the topography difference between a field of dielectric and a dense array of copper vias or trenches.
  • CMP CMP
  • the materials in the dense array maybe removed or eroded at a faster rate than the surrounding field of dielectric. This causes a topography difference between the field of dielectric and the dense copper array.
  • a typically used CMP slurry has two actions, a chemical component and a mechanical component.
  • An important consideration in slurry selection is “passive etch rate.”
  • the passive etch rate is the rate at which copper is dissolved by the chemical component alone and should be significantly lower than the removal rate when both the chemical component and the mechanical component are involved.
  • a large passive etch rate leads to dishing of the copper trenches and copper vias, and thus, preferably, the passive etch rate is less than 10 nanometers per minute.
  • step 1 of a copper CMP process the overburden copper is removed.
  • step 2 of the copper CMP process follows to remove the barrier layer and achieve both local and global planarization.
  • polished wafer surfaces have non-uniform local and global planarity due to differences in the step heights at various locations of the wafer surfaces. Low density features tend to have higher copper step heights whereas high density features tend to have low step heights.
  • step 2 copper CMP selective slurries with respect to tantalum to copper removal rates and copper to oxide removal rates are highly desirable.
  • the ratio of the removal rate of tantalum to the removal rate of copper is called the “selectivity” for removal of tantalum in relation to copper during CMP processing of substrates comprised of copper, tantalum and dielectric material.
  • the first layer is interlayer dielectrics (ILD), such as silicon oxide and silicon nitride.
  • the second layer is metal layers such as tungsten, copper, aluminum, etc., which are used to connect the active devices.
  • the chemical action is generally considered to take one of two forms.
  • the chemicals in the solution react with the metal layer to continuously form an oxide layer on the surface of the metal.
  • This generally requires the addition of an oxidizer to the solution such as hydrogen peroxide, ferric nitrate, etc.
  • the mechanical abrasive action of the particles continuously and simultaneously removes this oxide layer.
  • a judicious balance of these two processes obtains optimum results in terms of removal rate and polished surface quality.
  • the invention is a polishing composition comprising:
  • the polishing composition is useful in chemical-mechanical polishing (CMP), especially in metal CMP.
  • the invention is a method of polishing comprising the steps of:
  • CMP polishing compositions comprising an abrasive and a polyvinylpyridine-N-oxide possess high selectivities for metal and barrier material (e.g., tantalum) removal in relation to dielectric (e.g., PETEOS or TEOS) removal during CMP processing.
  • metal and barrier material e.g., tantalum
  • dielectric e.g., PETEOS or TEOS
  • the high metal to dielectric material and /or barrier material to dielectric material selective CMP slurry of this invention comprises a) an abrasive and b) a polyvinylpyridine-N-oxide polymer.
  • the stable CMP slurry further comprises c) an oxidizing agent.
  • other additives may be included.
  • Suitable unmodified abrasives include, but are not limited to, silica, alumina, titania, zirconia, germania, ceria, and co-formed products thereof, and mixtures thereof.
  • An organometallic-modified abrasive obtained by treatment of an unmodified abrasive (e.g., silica) with an organometallic compound can also be employed in this invention.
  • Suitable organometallic compounds for modification include aluminum acetate, aluminum formate, and aluminum propionate.
  • Suitable abrasives include, but are not limited to, colloidal products, fumed products, and mixtures thereof.
  • Silica or organometallic-modified silica is a preferred abrasive material used in the present invention.
  • the silica may be, for example, colloidal silica, fumed silica and other silica dispersions; however, the preferred silica is colloidal silica.
  • the abrasive is present in the slurry in a concentration of about 0.5 weight % to about 20 weight % of the total weight of the slurry. More preferably, the abrasive is present in a concentration of about 4 weight % to about 17 weight % of the total weight of the slurry. Most preferably, the abrasive is present in a concentration of about 4 weight % to about 15 weight % of the total weight of the slurry.
  • the polyvinylpyridine-N-oxide polymer in this invention can be unsubstituted (i.e., this being the parent polymer) or substituted with one or more substituents. Suitable substituents include, but are not limited to, hydroxyl, fluoro, chloro, bromo, iodo, amino, carboxylic, amido, ester, and alkoxy functional groups.
  • the polymer in this invention can also be a copolymer or block copolymer of polyvinylpyridine-N-oxide with another polymer, such as polyvinylpyridine.
  • the level of polyvinylpyridine-N-oxide polymer in the compositions of this invention can preferably range from about 0.02 weight % to about 1 weight %, more preferably range from about 0.05 weight % to about 0.35 weight %, and most preferably range from about 0.1 weight % to about 0.35 weight %.
  • the molecular weight of the polyvinylpyridine-N-oxide polymer in this invention is not limited but generally ranges, as a number average molecular weight, from about 1,000 to about 1 million. Preferably, the number average molecular weight ranges from about 25,000 Daltons to about 250,000 Daltons and more preferably is about 50,000 Daltons. Oligomers and low molecular weight polymers are included within the broad definition of the polyvinylpyridine-N-oxide polymer in this invention.
  • the oxidizing agent can be any suitable oxidizing agent.
  • suitable oxidizing agents include, for example, one or more per-compounds, which comprise at least one peroxy group (—O—O—).
  • Suitable per-compounds include, for example, peroxides, persulfates (e.g., monopersulfates and dipersulfates), percarbonates, and acids thereof, and salts thereof, and mixtures thereof.
  • oxidizing agents include, for example, oxidized halides (e.g., chlorates, bromates, iodates, perchlorates, perbromates, periodates, and acids thereof, and mixtures thereof, and the like), perboric acid, perborates, percarbonates, peroxyacids (e.g., peracetic acid, perbenzoic acid, m-chloroperbenzoic acid, salts thereof, mixtures thereof, and the like), permanganates, chromates, cerium compounds, ferricyanides (e.g., potassium ferricyanide), mixtures thereof, and the like.
  • oxidized halides e.g., chlorates, bromates, iodates, perchlorates, perbromates, periodates, and acids thereof, and mixtures thereof, and the like
  • perboric acid e.g., perborates, percarbonates, peroxyacids (e.g., peracetic acid, perbenzoic acid, m-chloroper
  • Preferred oxidizing agents include, for example, hydrogen peroxide, urea-hydrogen peroxide, sodium peroxide, benzyl peroxide, di-t-butyl peroxide, peracetic acid, monopersulfuric acid, dipersulfuric acid, iodic acid, and salts thereof, and mixtures thereof.
  • compositions of this invention directed to metal CMP directed to metal CMP, (hydrogen peroxide) H 2 O 2 is used as a preferred oxidizing agent.
  • concentration of the H 2 O 2 is from about 0.2 weight % to about 6 weight % of the total weight of the slurry.
  • CMP slurry composition Other chemicals that may be added to the CMP slurry composition include, for example, pH adjusting agents, surfactants, acids, corrosion inhibitors, fluorine-containing compounds, chelating agents, non-polymeric nitrogen-containing compounds, and salts.
  • Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic or amphoteric surfactants known to those skilled in the art.
  • the surfactant compounds may be present in the slurry composition in a concentration of about 0 weight % to about 1 weight %, preferably about 0.0005 weight % to about 1 weight % and, more preferably in a concentration of about 0.001 weight % to about 0.5 weight % of the total weight of the slurry.
  • the preferred types of surfactants are nonionic, anionic, or mixtures thereof and are most preferably present in a concentration of about 10 ppm to about 1000 ppm of the total weight of the slurry.
  • Nonionic surfactants are most preferred.
  • a preferred nonionic surfactant is Surfynol® 104E, which is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, (Air Products and Chemicals, Allentown, Pa.).
  • the pH-adjusting agent is used to improve the stability of the polishing composition, to improve the safety in use or to meet the requirements of various regulations.
  • a pH-adjusting agent to be used to lower the pH of the polishing composition of the present invention hydrochloric acid, nitric acid, sulfuric acid, chloroacetic acid, tartaric acid, succinic acid, citric acid, malic acid, malonic acid, various fatty acids, various polycarboxylic acids may be employed.
  • potassium hydroxide, sodium hydroxide, ammonia, tetramethylammonium hydroxide, ethylenediamine, piperazine, polyethyleneimine, etc. may be employed as a pH-adjusting agent to be used for the purpose of raising the pH.
  • potassium hydroxide, sodium hydroxide, ammonia, tetramethylammonium hydroxide, ethylenediamine, piperazine, polyethyleneimine, etc. may be employed.
  • the polishing composition of the present invention is not particularly limited with respect to the pH, but it is
  • compositions having acidic or neutral pH values are generally preferred according to this invention.
  • a suitable slurry pH is from about 3 to about 10, preferably from about 5 to about 9.8, and more preferably, from about 7.5 to about 9.
  • Suitable acid compounds that may be added (in place of or in addition to the pH-adjusting acids mentioned supra) to the slurry composition include, but are not limited to, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, lactic acid, hydrochloric acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures thereof. These acid compounds may be present in the slurry composition in a concentration of about 0 weight % to about 5 weight % of the total weight of the slurry.
  • Suitable corrosion inhibitors that may be added to the slurry composition include, for example, benzotriazole, 6-tolylytriazole, tolyltriazole derivatives, 1-(2,3-dicarboxypropyl)benzotriazole, N-acyl-N-hydrocarbonoxyalkyl aspartic acid compounds, and mixtures thereof.
  • the corrosion inhibitor may be present in the slurry in a concentration of about 0 ppm to about 4000 ppm, preferably from about 10 ppm to about 4000 ppm, and more preferably from about 50 ppm to about 200 ppm of the total weight of the slurry.
  • Two preferred corrosion inhibitors are CDX2128 and CDX2165, both supplied by King Industries, which are preferably present in a concentration of about 50 ppm to about 1000 ppm of the total weight of the slurry.
  • Carboxylic acids if added, may also impart corrosion inhibition properties to the slurry composition.
  • fluorine-containing compounds may be added to the slurry composition.
  • Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof.
  • the fluorine-containing compounds may be present in the slurry composition in a concentration of about 0 weight % to about 5 weight %, preferably from about 0.65 weight % to about 5 weight %, and more from about 0.50 weight % to about 2.0 weight % of the total weight of the slurry.
  • a preferred fluorine-containing compound is ammonium fluoride, which is preferably present in a concentration from about 0.45 weight % to about 1.0 weight % of the total weight of the slurry.
  • Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylenetriaminepentacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof.
  • the chelating agents may be present in the slurry composition in a concentration of about 0 weight % to about 3 weight %, preferably from about 0.02 weight % to about 3 weight %, and more preferably from about 0.05 weight % to about 2.0 weight % of the total weight of the slurry.
  • Suitable non-polymeric nitrogen-containing compounds that may be added to the slurry composition include, for example, ammonium hydroxide, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, and mixtures thereof.
  • These non-polymeric nitrogen-containing compounds may be present in the slurry composition in a concentration of about 0 weight % to about 1 weight %, and, if present, are normally present at a level of about 0.01 weight % to about 0.20 weight % of the total weight of the slurry.
  • a preferred non-polymeric nitrogen-containing compound is ammonium hydroxide and is most preferably present in a concentration of about 0.01 weight % to about 0.1 weight % of the total weight of the slurry.
  • Suitable salts that optionally may be added to the slurry composition include, for example, ammonium persulfate, potassium persulfate, potassium sulfite, potassium carbonate, ammonium nitrate, potassium hydrogen phthalate, hydroxylamine sulfate, and mixtures thereof.
  • the salts may be present in the slurry composition in a concentration of about 0 weight % to about 10 weight %, and, if present, are normally present at a level of about 0.02 weight % to about 5 weight % of the total weight of the slurry.
  • biocides include, but are not limited to, 1,2-benzisothiazolin-3-one; 2(hydroxymethyl)amino ethanol; 1,3-dihydroxymethyl-5,5-dimethylhydantoin; 1-hydroxymethyl-5,5-dimethylhydantion; 3-iodo-2-propynyl-butylcarbamate; glutaraldehyde; 1,2-dibromo-2,4-dicyanobutane; 5-chloro-2-methyl-4-isothiazoline-3-one; 2-methyl-4-isothiazolin-3-one; and mixtures thereof.
  • the associated methods of this invention entail use of the aforementioned composition (as disclosed supra) for chemical mechanical planarization of substrates comprised of metals and dielectric materials.
  • a substrate e.g., a wafer
  • a polishing pad which is fixedly attached to a rotatable platen of a CMP polisher.
  • a wafer carrier system or polishing head is used to hold the substrate in place and to apply a downward pressure against the backside of the substrate during CMP processing while the platen and the substrate are rotated.
  • the polishing composition (slurry) is applied (usually continuously) on the pad during CMP processing to effect the removal of material to planarize the substrate.
  • composition and associated methods of this invention are effective for CMP of a wide variety of substrates, including substrates having dielectric portions that comprise materials having dielectric constants less than 3.3 (low-k materials).
  • Suitable low-k films in substrates include, but are not limited to, organic polymers, carbon-doped oxides, fluorinated silicon glass (FSG), inorganic porous oxide-like materials, and hybrid organic-inorganic materials. Representative low-k materials and deposition methods for these materials are summarized below.
  • composition and associated methods of this invention are effective for CMP of substrates comprised of various metals, including, but not limited to, tantalum, titanium, tungsten and copper.
  • the composition and associated methods of this invention are particularly useful and preferred for tungsten CMP and afford very high selectivities for removal of tungsten in relation to dielectric (as illustrated in the examples).
  • a polishing composition comprising a) an abrasive and b) a polyvinylpyridine-N-oxide, abbreviated as PVNO, polymer exhibits high metal to dielectric selectivity in CMP processing.
  • a dielectric layer e.g., PETEOS, TEOS or low-K dielectric
  • the counter positive ions e.g., ammonium ions in the examples below as the pH was adjusted with ammonium hydroxide
  • the oxygen attached to the aromatic nitrogen in the six-membered ring of the PVNO molecule attaches itself to the ionic double layer of the dielectric layer, thus protecting the dielectric oxide layer.
  • Politex ® Pad Polishing pad used during CMP, supplied by Rodel, Inc, Phoenix, AZ. PVNO Polyvinylpyridine-N-oxide (Reilly Industries, Inc., 300 North Meridian Street, Suite 1500, Indianapolis, Indiana, 46204.) CAS # 58984-27-3 TEOS Tetraethyl orthosilicate PARAMETERS General ⁇ : angstrom(s) - a unit of length BP: back pressure, in psi units
  • CMP: chemical mechanical planarization chemical mechanical polishing
  • CS carrier speed
  • DF Down force: pressure applied during CMP; units psi min: minute(s) ml: milliliter(s) mV: millivolt(s) psi: pounds per square inch
  • PS platen rotational speed of polishing tool, in rpm (revolution(s) per minute)
  • SF slurry flow, ml
  • PETEOS thickness was measured with a Nanometrics, model, #9200, manufactured by Nanometrics Inc., 1550 Buckeye, Milpitas, Calif. 95035-7418.
  • the metal films were measured with a ResiMap CDE, model 168, manufactured by Creative Design Engineering, Inc, 20565 Alves Dr, Cupertino, Calif., 95014.
  • This tool is a four-point probe sheet resistance tool. Twenty-five and forty nine-point polar scans were taken with the respective tools at 3-mm edge exclusion.
  • the CMP tool that was used is a Mirra®, manufactured by Applied Materials, 3050 Boweres Avenue, Santa Clara, Calif., 95054.
  • a Rodel Politex® embossed pad supplied by Rodel, Inc, 3804 East Watkins Street, Phoenix, Ariz., 85034, was used on the platen for the blanket wafer polishing studies. Pads were broken-in by polishing twenty-five dummy oxide (deposited by plasma enhanced CVD from a TEOS precursor, PETEOS) wafers. In order to qualify the tool settings and the pad break-in, two PETEOS monitors were polished with Syton®OX—K colloidal silica, supplied by DuPont Air Products NanoMaterials L.L.C., at baseline conditions.
  • polishing experiments were conducted using electrochemically deposited copper, tantalum, and PETEOS wafers. These blanket wafers were purchased from Silicon Valley Microelectronics, 1150 Campbell Ave CA 95126. The film thickness specifications are summarized below:
  • Zeta potential measurements were made using a Colloidal Dynamics instrument, manufactured by Colloidal Dynamics Corporation, 11-Knight Street, Building E8, Warwick, R.I. 02886. This instrument measures the zeta potential (surface charge) of colloidal particles, such as surface-modified colloidal silica particles of boric acid-modified colloidal silica.
  • Boric acid-modified colloidal silica having an average particle diameter of 40 to 55 nanometers was prepared using the following procedure:
  • Syton® HT-50 (12 kg, approximately 2.27 gallons, DuPont Air Products NanoMaterials LLC, Carlsbad, Calif.) was placed in a 5 gallon mix tank equipped with an agitator. 2.502 kg of deionized water was added to the tank and the solution was allowed to mix a few minutes. The pH of the solution was measured to be approximately 10.2. With continued pH monitoring, small amounts of acid-state resin were added, while allowing the pH to stabilize in between additions. Additional resin was added in small portions until the pH had dropped to pH 1.90-2.20. Once this pH limit had been reached and was stable in this range, no further resin additions were made and the mixture was stirred for 1-1.5 hours. Subsequently the mixture was passed through a 500-mesh screen to remove the resin and afforded deionized Syton® HT-50.
  • a solution of 268 g of boric acid powder (Fisher Scientific, 2000 Park Lane, Pittsburgh, Pa., 15275) in 5.55 kg of deionized water was prepared in a 10 gallon mixing tank equipped with an agitator and a heater by slowly adding the boric acid powder until all had been added to the water and then agitating the mixture for 15 hours and increasing the temperature of the mixture to 55-65° C.
  • Deionized, and diluted Syton® HT-50 (14.5 kg) was then added to the boric acid solution slowly over about 1.2 hours by adding it at approximately 200 ml/minute and maintaining the temperature greater than 52° C. while agitating the mixture. After this addition was completed, heating at 60° C. and agitation of the mixture was continued for 5.5 hours.
  • the resulting solution was subsequently filtered through a 1-micron filter to afford boron surface-modified colloidal silica.
  • CMP slurry compositions as shown in Table 1 were prepared and tested using the methodology, equipment, and processing as described supra. These CMP slurry compositions were comprised of PVNO, boric acid-modified colloidal silica, ammonium fluoride, Surfynol® 104E, hydrogen peroxide, CDX2128, and ammonium hydroxide in an aqueous medium at pH 8. pH adjustment was done using ammonium hydroxide.
  • the CMP slurry component amounts are shown in Table 1 for these examples. In each case, the balance of the composition was deionized water.
  • tantalum to PETEOS selectivity increased from 1.51 to 24.6 as the concentration of PVNO increased in the formulation over the range 0-0.25 weight percent.
  • the examples demonstrate that tantalum to PETEOS selectivity can be tuned to any selectivity value desired over a broad range depending upon the concentration of PVNO in the formulation.

Abstract

A composition and associated method for chemical mechanical planarization (or other polishing) are described. The composition comprises an abrasive and a polyvinylpyridine-N-oxide polymer. The composition possesses high selectivities for metal and barrier material removal in metal CMP. The composition may further comprise an oxidizing agent in which case the composition is particularly useful in conjunction with the associated method for metal CMP applications (e.g., copper CMP).

Description

    BACKGROUND OF THE INVENTION
  • This invention relates generally to the chemical-mechanical polishing (CMP) of metal substrates on semiconductor wafers and slurry compositions therefor. In particular, the present invention relates to a CMP slurry composition which is characterized to possess high selectivities for removal of metal and barrier material in relation to dielectric material during CMP processing of substrates comprised of metal, barrier material, and dielectric material. This invention is especially useful for copper CMP and most especially for copper CMP step 2.
  • Chemical mechanical planarization (chemical mechanical polishing, CMP) for planarization of semiconductor substrates is now widely known to those skilled in the art and has been described in numerous patents and open literature publications. Some introductory references on CMP are as follows: “Polishing Surfaces for Integrated Circuits”, by B. L. Mueller and J. S. Steckenrider, Chemtech, February, 1998, pages 38-46; and H. Landis et al., Thin Solids Films, 220 (1992), page 1.
  • In a typical CMP process, a substrate (e.g., a wafer) is placed in contact with a rotating polishing pad attached to a platen. A CMP slurry, typically an abrasive and chemically reactive mixture, is supplied to the pad during CMP processing of the substrate. During the CMP process, the pad (fixed to the platen) and substrate are rotated while a wafer carrier system or polishing head applies pressure (downward force) against the substrate. The slurry accomplishes the planarization (polishing) process by chemically and mechanically interacting with the substrate film being planarized due to the effect of the rotational movement of the pad relative to the substrate. Polishing is continued in this manner until the desired film on the substrate is removed with the usual objective being to effectively planarize the substrate. Typically metal CMP slurries contain an abrasive material, such as silica or alumina, suspended in an oxidizing, aqueous medium.
  • Silicon based semiconductor devices, such as integrated circuits (ICs), typically include a silicon dioxide dielectric layer. Multilevel circuit traces, typically formed from aluminum or an aluminum alloy or copper, are patterned onto the silicon dioxide substrate.
  • CMP processing is often employed to remove and planarize excess metal at different stages of semiconductor manufacturing. For example, one way to fabricate a multilevel copper interconnect or planar copper circuit traces on a silicon dioxide substrate is referred to as the damascene process. In a semiconductor manufacturing process typically used to form a multilevel copper interconnect, metallized copper lines or copper vias are formed by electrochemical metal deposition followed by copper CMP processing. In a typical process, the interlevel dielectric (ILD) surface is patterned by a conventional dry etch process to form vias and trenches for vertical and horizontal interconnects and make connection to the sublayer interconnect structures. The patterned ILD surface is coated with an adhesion-promoting layer such as titanium or tantalum and/or a diffusion barrier layer such as titanium nitride or tantalum nitride over the ILD surface and into the etched trenches and vias. The adhesion-promoting layer and/or the diffusion barrier layer is then overcoated with copper, for example, by a seed copper layer and followed by an electrochemically deposited copper layer. Electro-deposition is continued until the structures are filled with the deposited metal. Finally, CMP processing is used to remove the copper overlayer, adhesion-promoting layer, and/or diffusion barrier layer, until a planarized surface with exposed elevated portions of the dielectric (silicon dioxide and/or low-k) surface is obtained. The vias and trenches remain filled with electrically conductive copper forming the circuit interconnects.
  • When one-step copper CMP processing is desired, it is usually important that the removal rate of the metal and barrier layer material be significantly higher than the removal rate for dielectric material in order to avoid or minimize dishing of metal features or erosion of the dielectric. Alternatively, a multi-step copper CMP process may be employed involving the initial removal and planarization of the copper overburden, referred to as a step 1 copper CMP process, followed by a barrier layer CMP process. The barrier layer CMP process is frequently referred to as a barrier or step 2 copper CMP process. Previously, it was believed that the removal rate of the copper and the adhesion-promoting layer and/or the diffusion barrier layer must both greatly exceed the removal rate of dielectric so that polishing effectively stops when elevated portions of the dielectric are exposed. The ratio of the removal rate of copper to the removal rate of dielectric base is called the “selectivity” for removal of copper in relation to dielectric during CMP processing of substrates comprised of copper, tantalum and dielectric material. The ratio of the removal rate of copper to the removal rate of tantalum is called the “selectivity” for removal of copper in relation to tantalum during CMP processing. When CMP slurries with high selectivity for removal of copper and tantalum in relation to dielectric are used, the copper layers are easily over-polished creating a depression or “dishing” effect in the copper vias and trenches. This feature distortion is unacceptable due to lithographic and other constraints in semiconductor manufacturing.
  • Another feature distortion that is unsuitable for semiconductor manufacturing is called “erosion.” Erosion is the topography difference between a field of dielectric and a dense array of copper vias or trenches. In CMP, the materials in the dense array maybe removed or eroded at a faster rate than the surrounding field of dielectric. This causes a topography difference between the field of dielectric and the dense copper array.
  • A typically used CMP slurry has two actions, a chemical component and a mechanical component. An important consideration in slurry selection is “passive etch rate.” The passive etch rate is the rate at which copper is dissolved by the chemical component alone and should be significantly lower than the removal rate when both the chemical component and the mechanical component are involved. A large passive etch rate leads to dishing of the copper trenches and copper vias, and thus, preferably, the passive etch rate is less than 10 nanometers per minute.
  • A number of systems for CMP of copper have been disclosed. A few illustrative examples are listed next Kumar et al. in an article entitled “Chemical-Mechanical Polishing of Copper in Glycerol Based Slurries” (Materials Research Society Symposium Proceedings, 1996) disclose a slurry that contains glycerol and abrasive alumina particles. An article by Gutmann et al. entitled “Chemical-Mechanical Polishing of Copper with Oxide and Polymer Interlevel Dielectrics” (Thin Solid Films, 1995) discloses slurries based on either ammonium hydroxide or nitric acid that may contain benzotriazole (BTA) as an inhibitor of copper dissolution. Luo et al. in an article entitled “Stabilization of Alumina Slurry for Chemical-Mechanical Polishing of Copper” (Langmuir, 1996) discloses alumina-ferric nitrate slurries that contain polymeric surfactants and BTA. Carpio et al. in an article entitled “Initial Study on Copper CMP Slurry Chemistries” (Thin Solid Films, 1995) disclose slurries that contain either alumina or silicon particles, nitric acid or ammonium hydroxide, with hydrogen peroxide or potassium permanganate as an oxidizer.
  • In relation to copper CMP, the current state of this technology involves use of a two-step process to achieve local and global planarization in the production of IC chips. During step 1 of a copper CMP process, the overburden copper is removed. Then step 2 of the copper CMP process follows to remove the barrier layer and achieve both local and global planarization. Generally, after removal of overburden copper in step 1, polished wafer surfaces have non-uniform local and global planarity due to differences in the step heights at various locations of the wafer surfaces. Low density features tend to have higher copper step heights whereas high density features tend to have low step heights. Due to differences in the step heights after step 1, step 2 copper CMP selective slurries with respect to tantalum to copper removal rates and copper to oxide removal rates are highly desirable. The ratio of the removal rate of tantalum to the removal rate of copper is called the “selectivity” for removal of tantalum in relation to copper during CMP processing of substrates comprised of copper, tantalum and dielectric material.
  • There are a number of theories as to the mechanism for chemical-mechanical polishing of copper. An article by Zeidler et al. (Microelectronic Engineering, 1997) proposes that the chemical component forms a passivation layer on the copper changing the copper to a copper oxide. The copper oxide has different mechanical properties, such as density and hardness, than metallic copper and passivation changes the polishing rate of the abrasive portion. The above article by Gutmann et al. discloses that the mechanical component abrades elevated portions of copper and the chemical component then dissolves the abraded material. The chemical component also passivates recessed copper areas minimizing dissolution of those portions.
  • These are two general types of layers that can be polished. The first layer is interlayer dielectrics (ILD), such as silicon oxide and silicon nitride. The second layer is metal layers such as tungsten, copper, aluminum, etc., which are used to connect the active devices.
  • In the case of CMP of metals, the chemical action is generally considered to take one of two forms. In the first mechanism, the chemicals in the solution react with the metal layer to continuously form an oxide layer on the surface of the metal. This generally requires the addition of an oxidizer to the solution such as hydrogen peroxide, ferric nitrate, etc. Then the mechanical abrasive action of the particles continuously and simultaneously removes this oxide layer. A judicious balance of these two processes obtains optimum results in terms of removal rate and polished surface quality.
  • In the second mechanism, no protective oxide layer is formed. Instead, the constituents in the solution chemically attack and dissolve the metal, while the mechanical action is largely one of mechanically enhancing the dissolution rate by such processes as continuously exposing more surface area to chemical attack, raising the local temperature (which increases the dissolution rate) by the friction between the particles and the metal and enhancing the diffusion of reactants and products to and away from the surface by mixing and by reducing the thickness of the boundary layer.
  • While prior art CMP systems are capable of removing a copper overlayer from a silicon dioxide substrate, the systems do not satisfy the rigorous demands of the semiconductor industry. These requirements can be summarized as follows. First, there is a need for high removal rates of copper to satisfy throughput demands. Secondly, there must be excellent topography uniformity across the substrate. Finally, the CMP method must minimize local dishing and erosion effects to satisfy ever increasing lithographic demands.
  • BRIEF SUMMARY OF THE INVENTION
  • In one embodiment, the invention is a polishing composition comprising:
      • a) an abrasive; and
      • b) a polyvinylpyridine-N-oxide polymer.
  • The polishing composition is useful in chemical-mechanical polishing (CMP), especially in metal CMP.
  • In another embodiment, the invention is a method of polishing comprising the steps of:
      • A) placing a substrate in contact with a polishing pad;
      • B) delivering a polishing composition comprising a) an abrasive; and a polyvinylpyridine-N-oxide polymer; and
      • C) polishing the substrate with the polishing composition.
    DETAILED DESCRIPTION OF THE INVENTION
  • It has been found that CMP polishing compositions comprising an abrasive and a polyvinylpyridine-N-oxide possess high selectivities for metal and barrier material (e.g., tantalum) removal in relation to dielectric (e.g., PETEOS or TEOS) removal during CMP processing.
  • The high metal to dielectric material and /or barrier material to dielectric material selective CMP slurry of this invention comprises a) an abrasive and b) a polyvinylpyridine-N-oxide polymer. For metal CMP applications, the stable CMP slurry further comprises c) an oxidizing agent. Optionally, other additives may be included.
  • Both standard (unmodified) abrasives and organometallic-modified abrasives can be employed in this invention. Suitable unmodified abrasives include, but are not limited to, silica, alumina, titania, zirconia, germania, ceria, and co-formed products thereof, and mixtures thereof. An organometallic-modified abrasive obtained by treatment of an unmodified abrasive (e.g., silica) with an organometallic compound can also be employed in this invention. Suitable organometallic compounds for modification include aluminum acetate, aluminum formate, and aluminum propionate. Suitable abrasives include, but are not limited to, colloidal products, fumed products, and mixtures thereof.
  • Silica or organometallic-modified silica is a preferred abrasive material used in the present invention. The silica may be, for example, colloidal silica, fumed silica and other silica dispersions; however, the preferred silica is colloidal silica.
  • The abrasive is present in the slurry in a concentration of about 0.5 weight % to about 20 weight % of the total weight of the slurry. More preferably, the abrasive is present in a concentration of about 4 weight % to about 17 weight % of the total weight of the slurry. Most preferably, the abrasive is present in a concentration of about 4 weight % to about 15 weight % of the total weight of the slurry.
  • The polyvinylpyridine-N-oxide polymer in this invention can be unsubstituted (i.e., this being the parent polymer) or substituted with one or more substituents. Suitable substituents include, but are not limited to, hydroxyl, fluoro, chloro, bromo, iodo, amino, carboxylic, amido, ester, and alkoxy functional groups. In addition to the homopolymer polyvinylpyridine-N-oxide, the polymer in this invention can also be a copolymer or block copolymer of polyvinylpyridine-N-oxide with another polymer, such as polyvinylpyridine. The level of polyvinylpyridine-N-oxide polymer in the compositions of this invention can preferably range from about 0.02 weight % to about 1 weight %, more preferably range from about 0.05 weight % to about 0.35 weight %, and most preferably range from about 0.1 weight % to about 0.35 weight %.
  • The molecular weight of the polyvinylpyridine-N-oxide polymer in this invention is not limited but generally ranges, as a number average molecular weight, from about 1,000 to about 1 million. Preferably, the number average molecular weight ranges from about 25,000 Daltons to about 250,000 Daltons and more preferably is about 50,000 Daltons. Oligomers and low molecular weight polymers are included within the broad definition of the polyvinylpyridine-N-oxide polymer in this invention.
  • In embodiments of this invention having an oxidizing agent, the oxidizing agent can be any suitable oxidizing agent. Suitable oxidizing agents include, for example, one or more per-compounds, which comprise at least one peroxy group (—O—O—). Suitable per-compounds include, for example, peroxides, persulfates (e.g., monopersulfates and dipersulfates), percarbonates, and acids thereof, and salts thereof, and mixtures thereof. Other suitable oxidizing agents include, for example, oxidized halides (e.g., chlorates, bromates, iodates, perchlorates, perbromates, periodates, and acids thereof, and mixtures thereof, and the like), perboric acid, perborates, percarbonates, peroxyacids (e.g., peracetic acid, perbenzoic acid, m-chloroperbenzoic acid, salts thereof, mixtures thereof, and the like), permanganates, chromates, cerium compounds, ferricyanides (e.g., potassium ferricyanide), mixtures thereof, and the like. Preferred oxidizing agents include, for example, hydrogen peroxide, urea-hydrogen peroxide, sodium peroxide, benzyl peroxide, di-t-butyl peroxide, peracetic acid, monopersulfuric acid, dipersulfuric acid, iodic acid, and salts thereof, and mixtures thereof.
  • In compositions of this invention directed to metal CMP, (hydrogen peroxide) H2O2 is used as a preferred oxidizing agent. Preferably the concentration of the H2O2 is from about 0.2 weight % to about 6 weight % of the total weight of the slurry.
  • Other chemicals that may be added to the CMP slurry composition include, for example, pH adjusting agents, surfactants, acids, corrosion inhibitors, fluorine-containing compounds, chelating agents, non-polymeric nitrogen-containing compounds, and salts.
  • Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic or amphoteric surfactants known to those skilled in the art. The surfactant compounds may be present in the slurry composition in a concentration of about 0 weight % to about 1 weight %, preferably about 0.0005 weight % to about 1 weight % and, more preferably in a concentration of about 0.001 weight % to about 0.5 weight % of the total weight of the slurry. The preferred types of surfactants are nonionic, anionic, or mixtures thereof and are most preferably present in a concentration of about 10 ppm to about 1000 ppm of the total weight of the slurry. Nonionic surfactants are most preferred. A preferred nonionic surfactant is Surfynol® 104E, which is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, (Air Products and Chemicals, Allentown, Pa.).
  • The pH-adjusting agent is used to improve the stability of the polishing composition, to improve the safety in use or to meet the requirements of various regulations. As a pH-adjusting agent to be used to lower the pH of the polishing composition of the present invention, hydrochloric acid, nitric acid, sulfuric acid, chloroacetic acid, tartaric acid, succinic acid, citric acid, malic acid, malonic acid, various fatty acids, various polycarboxylic acids may be employed. On the other hand, as a pH-adjusting agent to be used for the purpose of raising the pH, potassium hydroxide, sodium hydroxide, ammonia, tetramethylammonium hydroxide, ethylenediamine, piperazine, polyethyleneimine, etc., may be employed. The polishing composition of the present invention is not particularly limited with respect to the pH, but it is usually adjusted to pH 3 to 10.
  • In metal CMP applications, compositions having acidic or neutral pH values are generally preferred according to this invention. In this case, a suitable slurry pH is from about 3 to about 10, preferably from about 5 to about 9.8, and more preferably, from about 7.5 to about 9.
  • Other suitable acid compounds that may be added (in place of or in addition to the pH-adjusting acids mentioned supra) to the slurry composition include, but are not limited to, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, lactic acid, hydrochloric acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures thereof. These acid compounds may be present in the slurry composition in a concentration of about 0 weight % to about 5 weight % of the total weight of the slurry.
  • Suitable corrosion inhibitors that may be added to the slurry composition include, for example, benzotriazole, 6-tolylytriazole, tolyltriazole derivatives, 1-(2,3-dicarboxypropyl)benzotriazole, N-acyl-N-hydrocarbonoxyalkyl aspartic acid compounds, and mixtures thereof. The corrosion inhibitor may be present in the slurry in a concentration of about 0 ppm to about 4000 ppm, preferably from about 10 ppm to about 4000 ppm, and more preferably from about 50 ppm to about 200 ppm of the total weight of the slurry. Two preferred corrosion inhibitors are CDX2128 and CDX2165, both supplied by King Industries, which are preferably present in a concentration of about 50 ppm to about 1000 ppm of the total weight of the slurry.
  • Carboxylic acids, if added, may also impart corrosion inhibition properties to the slurry composition.
  • To increase the selectivity of tantalum and tantalum compounds relative to silicon dioxide, fluorine-containing compounds may be added to the slurry composition. Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof. The fluorine-containing compounds may be present in the slurry composition in a concentration of about 0 weight % to about 5 weight %, preferably from about 0.65 weight % to about 5 weight %, and more from about 0.50 weight % to about 2.0 weight % of the total weight of the slurry. A preferred fluorine-containing compound is ammonium fluoride, which is preferably present in a concentration from about 0.45 weight % to about 1.0 weight % of the total weight of the slurry.
  • Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylenetriaminepentacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof. The chelating agents may be present in the slurry composition in a concentration of about 0 weight % to about 3 weight %, preferably from about 0.02 weight % to about 3 weight %, and more preferably from about 0.05 weight % to about 2.0 weight % of the total weight of the slurry.
  • Suitable non-polymeric nitrogen-containing compounds (amines, hydroxides, etc.) that may be added to the slurry composition include, for example, ammonium hydroxide, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, and mixtures thereof. These non-polymeric nitrogen-containing compounds may be present in the slurry composition in a concentration of about 0 weight % to about 1 weight %, and, if present, are normally present at a level of about 0.01 weight % to about 0.20 weight % of the total weight of the slurry. A preferred non-polymeric nitrogen-containing compound is ammonium hydroxide and is most preferably present in a concentration of about 0.01 weight % to about 0.1 weight % of the total weight of the slurry.
  • Suitable salts that optionally may be added to the slurry composition include, for example, ammonium persulfate, potassium persulfate, potassium sulfite, potassium carbonate, ammonium nitrate, potassium hydrogen phthalate, hydroxylamine sulfate, and mixtures thereof. The salts may be present in the slurry composition in a concentration of about 0 weight % to about 10 weight %, and, if present, are normally present at a level of about 0.02 weight % to about 5 weight % of the total weight of the slurry.
  • Still other chemicals that can be added to the slurry compositions are biological agents such as bactericides, biocides and fungicides especially if the pH is around about 6 to 9. Suitable biocides, include, but are not limited to, 1,2-benzisothiazolin-3-one; 2(hydroxymethyl)amino ethanol; 1,3-dihydroxymethyl-5,5-dimethylhydantoin; 1-hydroxymethyl-5,5-dimethylhydantion; 3-iodo-2-propynyl-butylcarbamate; glutaraldehyde; 1,2-dibromo-2,4-dicyanobutane; 5-chloro-2-methyl-4-isothiazoline-3-one; 2-methyl-4-isothiazolin-3-one; and mixtures thereof.
  • Associated Methods
  • The associated methods of this invention entail use of the aforementioned composition (as disclosed supra) for chemical mechanical planarization of substrates comprised of metals and dielectric materials. In the methods, a substrate (e.g., a wafer) is placed face-down on a polishing pad which is fixedly attached to a rotatable platen of a CMP polisher. In this manner, the substrate to be polished and planarized is placed in direct contact with the polishing pad. A wafer carrier system or polishing head is used to hold the substrate in place and to apply a downward pressure against the backside of the substrate during CMP processing while the platen and the substrate are rotated. The polishing composition (slurry) is applied (usually continuously) on the pad during CMP processing to effect the removal of material to planarize the substrate.
  • The composition and associated methods of this invention are effective for CMP of a wide variety of substrates, including substrates having dielectric portions that comprise materials having dielectric constants less than 3.3 (low-k materials). Suitable low-k films in substrates include, but are not limited to, organic polymers, carbon-doped oxides, fluorinated silicon glass (FSG), inorganic porous oxide-like materials, and hybrid organic-inorganic materials. Representative low-k materials and deposition methods for these materials are summarized below.
    Deposition
    Vendor Trade Name Method Material
    Air Products and MesoElk ® Spin-on Hybrid organic-
    Chemicals inorganic
    Applied Materials Black Diamond CVD Carbon-doped oxide
    Dow Chemical SiLK ™, Porous Spin-on Organic polymer
    SiLK ™
    Honeywell NANOGLASS ® E Spin-on Inorganic oxide-like
    Electronic
    Materials
    Novellus Systems CORAL ® PECVD Carbon-doped oxide

    PECVD = Plasma enhanced chemical vapor deposition

    CVD = chemical vapor deposition
  • Similarly, the composition and associated methods of this invention are effective for CMP of substrates comprised of various metals, including, but not limited to, tantalum, titanium, tungsten and copper. The composition and associated methods of this invention are particularly useful and preferred for tungsten CMP and afford very high selectivities for removal of tungsten in relation to dielectric (as illustrated in the examples).
  • While not being bound by any particular theory, the inventor(s) believes that the following considerations may explain why a polishing composition comprising a) an abrasive and b) a polyvinylpyridine-N-oxide, abbreviated as PVNO, polymer exhibits high metal to dielectric selectivity in CMP processing. Under basic polishing conditions in a step 2 slurry, a dielectric layer (e.g., PETEOS, TEOS or low-K dielectric) develops a negative charge, which drives the counter positive ions (e.g., ammonium ions in the examples below as the pH was adjusted with ammonium hydroxide) to self-assemble on the dielectric surface. The oxygen attached to the aromatic nitrogen in the six-membered ring of the PVNO molecule attaches itself to the ionic double layer of the dielectric layer, thus protecting the dielectric oxide layer.
  • The present invention is further demonstrated by the examples below.
    GLOSSARY
    COMPONENTS
    BA CS Boric acid-modified colloidal silica
    CS Syton ® HT-50 (DuPont Air Products NanoMaterials L.L.C.,
    Carlsbad, CA) colloidal silica
    CDX2128 Corrosion inhibitor - a water soluble amino acid derivative (King
    Industries, Inc., Norwalk, CT)
    CDX2165 Corrosion inhibitor - a triazole derivative (King Industries, Inc.,
    Norwalk, CT)
    S104E Surfynol ® 104E - a 50:50 mixture by weight of 2,4,7,9-tetramethyl-
    5-decyn-4,7-diol and ethylene glycol (solvent), Air Products and
    Chemicals, Allentown, PA.
    PETEOS Plasma enhanced deposition of tetraethoxy silane; a dielectric
    oxide layer.
    Politex ® Pad Polishing pad used during CMP, supplied by Rodel, Inc, Phoenix,
    AZ.
    PVNO Polyvinylpyridine-N-oxide (Reilly Industries, Inc., 300 North
    Meridian Street, Suite 1500, Indianapolis, Indiana, 46204.)
    CAS # 58984-27-3
    TEOS Tetraethyl orthosilicate
    PARAMETERS
    General
    Å: angstrom(s) - a unit of length
    BP: back pressure, in psi units
    CMP: chemical mechanical planarization = chemical mechanical polishing
    CS: carrier speed
    DF: Down force: pressure applied during CMP; units psi
    min: minute(s)
    ml: milliliter(s)
    mV: millivolt(s)
    psi: pounds per square inch
    PS: platen rotational speed of polishing tool, in rpm (revolution(s) per minute)
    SF: slurry flow, ml/min
    Removal Rates
    Cu RR 4.5 psi Measured copper removal rate at 4.5 psi down pressure of the
    CMP tool
    Cu RR 2 psi Measured copper removal rate at 2 psi down pressure of the CMP
    tool
    PETEOS Measured PETEOS removal rate at 2 psi down pressure of the
    RR 2 psi CMP tool
    Ta RR 2 psi Measured tantalum removal rate at 2 psi down pressure of the
    CMP tool
    TEOS RR 2 psi Measured TEOS removal rate at 2 psi down pressure of the CMP
    tool
    Ta: Cu Sel Tantalum: Copper Selectivity - the ratio of the amount of tantalum
    removed to the amount of copper removed during CMP
    experiments under identical conditions.
    TEOS (or TEOS (or PETEOS): Copper Selectivity - The ratio of the amount
    PETEOS): of TEOS (or PETEOS) (dielectric material) removed to the amount
    Cu Sel of copper removed during CMP experiments under identical
    conditions.
  • EXAMPLES
  • General
  • All percentages are weight percentages and all temperatures are degrees Centigrade unless otherwise indicated.
  • CMP Methodology
  • In the examples presented below, CMP experiments were run using the procedures and experimental conditions given below.
  • Metrology
  • PETEOS thickness was measured with a Nanometrics, model, #9200, manufactured by Nanometrics Inc., 1550 Buckeye, Milpitas, Calif. 95035-7418. The metal films were measured with a ResiMap CDE, model 168, manufactured by Creative Design Engineering, Inc, 20565 Alves Dr, Cupertino, Calif., 95014. This tool is a four-point probe sheet resistance tool. Twenty-five and forty nine-point polar scans were taken with the respective tools at 3-mm edge exclusion.
  • CMP Tool
  • The CMP tool that was used is a Mirra®, manufactured by Applied Materials, 3050 Boweres Avenue, Santa Clara, Calif., 95054. A Rodel Politex® embossed pad, supplied by Rodel, Inc, 3804 East Watkins Street, Phoenix, Ariz., 85034, was used on the platen for the blanket wafer polishing studies. Pads were broken-in by polishing twenty-five dummy oxide (deposited by plasma enhanced CVD from a TEOS precursor, PETEOS) wafers. In order to qualify the tool settings and the pad break-in, two PETEOS monitors were polished with Syton®OX—K colloidal silica, supplied by DuPont Air Products NanoMaterials L.L.C., at baseline conditions.
  • In blanket wafers studies, groupings were made to simulate successive film removal: first copper, next tantalum, and finally the PETEOS. The tool mid-point conditions were: table speed; 123 rpm, head speed; 112 rpm, membrane pressure, 2.0 psi; inter-tube pressure, 0.0 psi; slurry flow, 200 ml/min.
  • Wafers
  • Polishing experiments were conducted using electrochemically deposited copper, tantalum, and PETEOS wafers. These blanket wafers were purchased from Silicon Valley Microelectronics, 1150 Campbell Ave CA 95126. The film thickness specifications are summarized below:
      • PETEOS: 15000 Å on silicon
      • Copper: 10,000 Å electroplated copper/1,000 Å copper seed/250 Å tantalum on silicon
      • Tantalum: 2000 Å/5,000 Å thermal oxide on silicon
      • Zeta Potential Measurements
  • Zeta potential measurements were made using a Colloidal Dynamics instrument, manufactured by Colloidal Dynamics Corporation, 11-Knight Street, Building E8, Warwick, R.I. 02886. This instrument measures the zeta potential (surface charge) of colloidal particles, such as surface-modified colloidal silica particles of boric acid-modified colloidal silica.
  • Preparation of Boric Acid-Modified Colloidal Silica (BA CS)
  • Boric acid-modified colloidal silica having an average particle diameter of 40 to 55 nanometers was prepared using the following procedure:
  • Approximately 1 kg of Amberlite® IR-120 ion exchange resin (Rohm and Haas Company, Philadelphia, Pa.) was washed with 1 liter of 20% sulfuric acid solution. The mixture was stirred and the resin was allowed to settle. The aqueous layer was decanted and washed with 10 liters of deionized water. The mixture was again allowed to settle and then the aqueous layer was decanted. This procedure was repeated until the decanted water was colorless. This procedure afforded acid-state resin.
  • Syton® HT-50 (12 kg, approximately 2.27 gallons, DuPont Air Products NanoMaterials LLC, Carlsbad, Calif.) was placed in a 5 gallon mix tank equipped with an agitator. 2.502 kg of deionized water was added to the tank and the solution was allowed to mix a few minutes. The pH of the solution was measured to be approximately 10.2. With continued pH monitoring, small amounts of acid-state resin were added, while allowing the pH to stabilize in between additions. Additional resin was added in small portions until the pH had dropped to pH 1.90-2.20. Once this pH limit had been reached and was stable in this range, no further resin additions were made and the mixture was stirred for 1-1.5 hours. Subsequently the mixture was passed through a 500-mesh screen to remove the resin and afforded deionized Syton® HT-50.
  • A solution of 268 g of boric acid powder (Fisher Scientific, 2000 Park Lane, Pittsburgh, Pa., 15275) in 5.55 kg of deionized water was prepared in a 10 gallon mixing tank equipped with an agitator and a heater by slowly adding the boric acid powder until all had been added to the water and then agitating the mixture for 15 hours and increasing the temperature of the mixture to 55-65° C. Deionized, and diluted Syton® HT-50 (14.5 kg) was then added to the boric acid solution slowly over about 1.2 hours by adding it at approximately 200 ml/minute and maintaining the temperature greater than 52° C. while agitating the mixture. After this addition was completed, heating at 60° C. and agitation of the mixture was continued for 5.5 hours. The resulting solution was subsequently filtered through a 1-micron filter to afford boron surface-modified colloidal silica.
  • This boron surface-modified colloidal silica was characterized for colloid stability over 15 days using a Colloidal Dynamics instrument (11-Knight Street, Building E8,Warwick, R.I., 02886). This boron surface-modified colloidal silica was found to exhibit both constant pH (pH=˜6.6) and zeta potential (zp) (zp=˜−58 millivolts) over the 15-day test period. The percentage of surface sites of this surface-modified colloidal silica occupied by boron-containing compound(s) was approximately 98%.
  • Examples 1-4
  • In these examples, CMP slurry compositions as shown in Table 1 were prepared and tested using the methodology, equipment, and processing as described supra. These CMP slurry compositions were comprised of PVNO, boric acid-modified colloidal silica, ammonium fluoride, Surfynol® 104E, hydrogen peroxide, CDX2128, and ammonium hydroxide in an aqueous medium at pH 8. pH adjustment was done using ammonium hydroxide. The CMP slurry component amounts are shown in Table 1 for these examples. In each case, the balance of the composition was deionized water.
  • In these examples, the level of PVNO was varied as indicated below (and in Table 1):
      • Example 1—Table 1, PVNO level=0.25 wt. %
      • Example 2—Table 1, PVNO level=0.125 wt. %
      • Example 3—Table 1, PVNO level=0.05 wt. %
      • Example 4—Table 1, Control, PVNO level=0 wt. %
  • As is illustrated in Table 1, the tantalum to PETEOS selectivity was observed to increase from 1.5 to 24.6 with increasing PVNO level over the range 0-0.25 wt. % of PVNO. The tantalum to copper selectivity did not change appreciably with varying PVNO level over this range of 0-0.25 wt. %.
    TABLE 1
    Effect of polyvinylpyridine-N-oxide on
    Tantalum to PETEOS selectivity
    Example
    1 2 3 4
    BA CS 5 5 5 5
    NH4F (wt. %) 0.22 0.22 0.22 0.22
    S104E (wt. %) 0.07 0.07 0.07 0.07
    CDX 2128 (wt. %) 0.1 0.1 0.1 0.1
    PVNO (wt. %) 0.25 0.125 0.05 0
    H2O2 (wt. %) 1.3 1.3 1.3 1.3
    H2O (Wt %) 92.56 92.68 92.76 92.81
    pH (adjusted with 8 8 8 8
    ammonia)
    Cu RR 2 psi (Å/min) 127 112 117 115
    PETEOS RR 2 psi 20 130 279 341
    (Å/min)
    Ta RR 2 psi (Å/min) 493 495 526 516
    Ta: PETEOS Sel 2 psi 24.6 3.8 1.89 1.51
    Ta: Cu Sel 2 psi 3.88 4.42 4.5 4.49
  • As the data shown in Table 1 indicates, tantalum to PETEOS selectivity increased from 1.51 to 24.6 as the concentration of PVNO increased in the formulation over the range 0-0.25 weight percent. The examples also demonstrate that tantalum to PETEOS selectivity can be tuned to any selectivity value desired over a broad range depending upon the concentration of PVNO in the formulation.

Claims (14)

1. A polishing composition comprising:
a) an abrasive; and
b) a polyvinylpyridine-N-oxide polymer.
2. The polishing composition of claim 1 wherein the abrasive is a colloidal abrasive.
3. The polishing composition of claim 1 further comprising c) an oxidizing agent.
4. The polishing composition of claim 1 wherein the abrasive is silica.
5. The polishing composition of claim 1 wherein the level of the polyvinylpyridine-N-oxide polymer in the composition ranges from 0.02 weight % to 1 weight %.
6. The polishing composition of claim 5 wherein the level of the polyvinylpyridine-N-oxide polymer in the composition ranges from 0.05 weight % to 0.35 weight %.
7. The polishing composition of claim 6 wherein the level of the polyvinylpyridine-N-oxide polymer in the composition ranges from 0.1 weight % to 0.35 weight %.
8. A method of polishing comprising the steps of:
A) placing a substrate in contact with a polishing pad;
B) delivering a polishing composition comprising a) an abrasive; and b) a polyvinylpyridine-N-oxide polymer; and
C) polishing the substrate with the polishing composition:
9. The method of claim 8 wherein the abrasive is a colloidal abrasive.
10. The method of claim 8 wherein the composition further comprises c) an oxidizing agent.
11. The method of claim 8 wherein the abrasive is silica.
12. The method of claim 8 wherein the level of the polyvinylpyridine-N-oxide polymer in the composition employed in the method ranges from 0.02 weight % to 1 weight %.
13. The method of claim 12 wherein the level of the polyvinylpyridine-N-oxide polymer in the composition employed in the method ranges from 0.05 weight % to 0.35 weight %.
14. The polishing composition of claim 13 wherein the level of the polyvinylpyridine-N-oxide polymer in the composition employed in the method ranges from 0.1 weight % to 0.35 weight %.
US10/683,231 2003-10-10 2003-10-10 Chemical-mechanical planarization composition having PVNO and associated method for use Abandoned US20050079803A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/683,231 US20050079803A1 (en) 2003-10-10 2003-10-10 Chemical-mechanical planarization composition having PVNO and associated method for use
TW093130132A TWI247796B (en) 2003-10-10 2004-10-05 Chemical-mechanical planarization composition having PVNO and associated method for use
JP2004296557A JP2005175437A (en) 2003-10-10 2004-10-08 Chemical-mechanical planarization composition having pvno and relative operation method
US11/101,815 US20050215183A1 (en) 2003-10-10 2005-04-08 Chemical-mechanical planarization composition having PVNO and associated method for use

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/683,231 US20050079803A1 (en) 2003-10-10 2003-10-10 Chemical-mechanical planarization composition having PVNO and associated method for use

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/101,815 Continuation-In-Part US20050215183A1 (en) 2003-10-10 2005-04-08 Chemical-mechanical planarization composition having PVNO and associated method for use

Publications (1)

Publication Number Publication Date
US20050079803A1 true US20050079803A1 (en) 2005-04-14

Family

ID=34422691

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/683,231 Abandoned US20050079803A1 (en) 2003-10-10 2003-10-10 Chemical-mechanical planarization composition having PVNO and associated method for use
US11/101,815 Abandoned US20050215183A1 (en) 2003-10-10 2005-04-08 Chemical-mechanical planarization composition having PVNO and associated method for use

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/101,815 Abandoned US20050215183A1 (en) 2003-10-10 2005-04-08 Chemical-mechanical planarization composition having PVNO and associated method for use

Country Status (3)

Country Link
US (2) US20050079803A1 (en)
JP (1) JP2005175437A (en)
TW (1) TWI247796B (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030178320A1 (en) * 2001-03-14 2003-09-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20030216045A1 (en) * 2001-12-21 2003-11-20 Applied Materials, Inc. Hydrogen bubble reduction on the cathode using double-cell designs
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20050056537A1 (en) * 2001-03-14 2005-03-17 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US20050218010A1 (en) * 2001-03-14 2005-10-06 Zhihong Wang Process and composition for conductive material removal by electrochemical mechanical polishing
US20050282391A1 (en) * 2004-06-16 2005-12-22 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US20060102872A1 (en) * 2003-06-06 2006-05-18 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20060249482A1 (en) * 2003-05-12 2006-11-09 Peter Wrschka Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US20070046191A1 (en) * 2005-08-23 2007-03-01 Canon Kabushiki Kaisha Organic electroluminescent display device and manufacturing method thereof
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20070251155A1 (en) * 2006-04-27 2007-11-01 Cabot Microelectronics Corporation Polishing composition containing polyether amine
EP1914286A1 (en) * 2005-08-04 2008-04-23 Asahi Glass Company, Limited Polishing composition and polishing method
EP1935954A1 (en) * 2006-12-21 2008-06-25 DuPont Air Products NanoMaterials L.L.C. Compositions for chemical mechanical planarization of copper
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
US20090117734A1 (en) * 2007-11-02 2009-05-07 Spansion Llc Processes for forming electronic devices including polishing metal-containing layers
US20100009537A1 (en) * 2008-07-10 2010-01-14 Cabot Microelectronics Corporation Method of polishing nickel-phosphorous
US20100159698A1 (en) * 2008-12-23 2010-06-24 Dupoint Air Products Nanomaterials Llc Combination, Method, and Composition for Chemical Mechanical Planarization of A Tungsten-Containing Substrate
US20100243950A1 (en) * 2008-06-11 2010-09-30 Harada Daijitsu Polishing agent for synthetic quartz glass substrate
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US20160068712A1 (en) * 2013-05-15 2016-03-10 Basf Se Chemical-mechanical polishing compositions comprising polyethylene imine
US10144849B2 (en) 2008-02-01 2018-12-04 Fujimi Incorporated Polishing composition and polishing method using the same
CN112771144A (en) * 2018-08-28 2021-05-07 恩特格里斯公司 POST chemical mechanical polishing (POST CMP) cleaning composition for cerium particles
WO2024046395A1 (en) * 2022-08-31 2024-03-07 华为技术有限公司 Leveling agent, composition, and use thereof

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
EP1891482B1 (en) 2005-06-07 2014-04-30 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
JP2007088379A (en) * 2005-09-26 2007-04-05 Fujifilm Corp Aqueous polishing slurry and chemical mechanical polishing method
JP2007095713A (en) * 2005-09-26 2007-04-12 Fujifilm Corp Polishing slurry for barrier layer
JP2007299942A (en) * 2006-04-28 2007-11-15 Fujifilm Corp Metal polishing composition, and chemical-mechanical polishing method using it
JP2008091569A (en) * 2006-09-29 2008-04-17 Fujifilm Corp Polishing composition and polishing method
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
US9343330B2 (en) * 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US20090124173A1 (en) * 2007-11-09 2009-05-14 Cabot Microelectronics Corporation Compositions and methods for ruthenium and tantalum barrier cmp
TWI465556B (en) * 2010-09-14 2014-12-21 Everlight Chem Ind Corp Polishing composition for primary polishing of wafer
TWI456013B (en) * 2012-04-10 2014-10-11 Uwiz Technology Co Ltd Polishing slurry composition

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5656767A (en) * 1996-03-08 1997-08-12 Computational Systems, Inc. Automatic determination of moisture content and lubricant type
US5861055A (en) * 1995-12-29 1999-01-19 Lsi Logic Corporation Polishing composition for CMP operations
US5939513A (en) * 1994-01-19 1999-08-17 The Procter & Gamble Company Methods of removing pigment stain using detergent compositions containing polyamine N-oxide polymers
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US20010041511A1 (en) * 2000-01-19 2001-11-15 Lack Craig D. Printing of polishing pads
US6375545B1 (en) * 1999-01-18 2002-04-23 Kabushiki Kaisha Toshiba Chemical mechanical method of polishing wafer surfaces
US20020055449A1 (en) * 2000-06-07 2002-05-09 The Procter & Gamble Company Laundry additive sachet
US6435944B1 (en) * 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US20020177314A1 (en) * 2000-04-11 2002-11-28 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US20030003857A1 (en) * 1999-12-22 2003-01-02 Masaaki Shimagaki Polishing pad, and method and apparatus for polishing
US6565767B2 (en) * 1998-11-05 2003-05-20 Jsr Corporation Polymer particles and polishing material containing them
US6585574B1 (en) * 1998-06-02 2003-07-01 Brian Lombardo Polishing pad with reduced moisture absorption
US6648733B2 (en) * 1997-04-04 2003-11-18 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6716805B1 (en) * 1999-09-27 2004-04-06 The Procter & Gamble Company Hard surface cleaning compositions, premoistened wipes, methods of use, and articles comprising said compositions or wipes and instructions for use resulting in easier cleaning and maintenance, improved surface appearance and/or hygiene under stress conditions such as no-rinse
US20040092106A1 (en) * 2002-11-12 2004-05-13 Nicholas Martyak Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL128920A0 (en) * 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6743267B2 (en) * 2001-10-15 2004-06-01 Dupont Air Products Nanomaterials Llc Gel-free colloidal abrasive polishing compositions and associated methods

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5939513A (en) * 1994-01-19 1999-08-17 The Procter & Gamble Company Methods of removing pigment stain using detergent compositions containing polyamine N-oxide polymers
US5861055A (en) * 1995-12-29 1999-01-19 Lsi Logic Corporation Polishing composition for CMP operations
US5656767A (en) * 1996-03-08 1997-08-12 Computational Systems, Inc. Automatic determination of moisture content and lubricant type
US6648733B2 (en) * 1997-04-04 2003-11-18 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6585574B1 (en) * 1998-06-02 2003-07-01 Brian Lombardo Polishing pad with reduced moisture absorption
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US6565767B2 (en) * 1998-11-05 2003-05-20 Jsr Corporation Polymer particles and polishing material containing them
US6375545B1 (en) * 1999-01-18 2002-04-23 Kabushiki Kaisha Toshiba Chemical mechanical method of polishing wafer surfaces
US6716805B1 (en) * 1999-09-27 2004-04-06 The Procter & Gamble Company Hard surface cleaning compositions, premoistened wipes, methods of use, and articles comprising said compositions or wipes and instructions for use resulting in easier cleaning and maintenance, improved surface appearance and/or hygiene under stress conditions such as no-rinse
US6435944B1 (en) * 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US20030003857A1 (en) * 1999-12-22 2003-01-02 Masaaki Shimagaki Polishing pad, and method and apparatus for polishing
US20010041511A1 (en) * 2000-01-19 2001-11-15 Lack Craig D. Printing of polishing pads
US20020177314A1 (en) * 2000-04-11 2002-11-28 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US20020055449A1 (en) * 2000-06-07 2002-05-09 The Procter & Gamble Company Laundry additive sachet
US20040092106A1 (en) * 2002-11-12 2004-05-13 Nicholas Martyak Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20030178320A1 (en) * 2001-03-14 2003-09-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20050056537A1 (en) * 2001-03-14 2005-03-17 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US20050218010A1 (en) * 2001-03-14 2005-10-06 Zhihong Wang Process and composition for conductive material removal by electrochemical mechanical polishing
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US20030216045A1 (en) * 2001-12-21 2003-11-20 Applied Materials, Inc. Hydrogen bubble reduction on the cathode using double-cell designs
US20050145507A1 (en) * 2001-12-21 2005-07-07 Applied Materials, Inc. Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US20060249482A1 (en) * 2003-05-12 2006-11-09 Peter Wrschka Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US20040248412A1 (en) * 2003-06-06 2004-12-09 Liu Feng Q. Method and composition for fine copper slurry for low dishing in ECMP
US20060102872A1 (en) * 2003-06-06 2006-05-18 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20050282391A1 (en) * 2004-06-16 2005-12-22 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20080272088A1 (en) * 2005-08-04 2008-11-06 Asahi Glass Co., Ltd. Polishing compound and polishing method
EP1914286A4 (en) * 2005-08-04 2009-07-01 Asahi Glass Co Ltd Polishing composition and polishing method
EP1914286A1 (en) * 2005-08-04 2008-04-23 Asahi Glass Company, Limited Polishing composition and polishing method
US20070046191A1 (en) * 2005-08-23 2007-03-01 Canon Kabushiki Kaisha Organic electroluminescent display device and manufacturing method thereof
US7733015B2 (en) * 2005-08-23 2010-06-08 Canon Kabushiki Kaisha Organic electroluminescent display device having a planarizing layer and manufacturing method thereof
US7585340B2 (en) * 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
US20070251155A1 (en) * 2006-04-27 2007-11-01 Cabot Microelectronics Corporation Polishing composition containing polyether amine
US20090289033A1 (en) * 2006-04-27 2009-11-26 Cabot Microelectronics Corporation Polishing composition containing polyether amine
US8741009B2 (en) 2006-04-27 2014-06-03 Cabot Microelectronics Corporation Polishing composition containing polyether amine
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
EP1935954A1 (en) * 2006-12-21 2008-06-25 DuPont Air Products NanoMaterials L.L.C. Compositions for chemical mechanical planarization of copper
US20110165777A1 (en) * 2006-12-21 2011-07-07 Dupont Air Products Nanomaterials Llc Method and Slurry for Tuning Low-K Versus Copper Removal Rates During Chemical Mechanical Polishing
EP1936674A3 (en) * 2006-12-21 2010-04-28 DuPont AirProducts NanoMaterials Limited Liability Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
US20090117734A1 (en) * 2007-11-02 2009-05-07 Spansion Llc Processes for forming electronic devices including polishing metal-containing layers
US8232209B2 (en) 2007-11-02 2012-07-31 Spansion Llc Processes for forming electronic devices including polishing metal-containing layers
US7915169B2 (en) 2007-11-02 2011-03-29 Spansion Llc Processes for forming electronic devices including polishing metal-containing layers
US20110136268A1 (en) * 2007-11-02 2011-06-09 Spansion Llc Processes for forming electronic devices including polishing metal-containing layers
US10144849B2 (en) 2008-02-01 2018-12-04 Fujimi Incorporated Polishing composition and polishing method using the same
US9919962B2 (en) 2008-06-11 2018-03-20 Shin-Etsu Chemical Co., Ltd. Polishing agent for synthetic quartz glass substrate
US20100243950A1 (en) * 2008-06-11 2010-09-30 Harada Daijitsu Polishing agent for synthetic quartz glass substrate
US8247326B2 (en) 2008-07-10 2012-08-21 Cabot Microelectronics Corporation Method of polishing nickel-phosphorous
US20100009537A1 (en) * 2008-07-10 2010-01-14 Cabot Microelectronics Corporation Method of polishing nickel-phosphorous
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
US8790521B2 (en) 2008-12-23 2014-07-29 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
US20100159698A1 (en) * 2008-12-23 2010-06-24 Dupoint Air Products Nanomaterials Llc Combination, Method, and Composition for Chemical Mechanical Planarization of A Tungsten-Containing Substrate
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US20160068712A1 (en) * 2013-05-15 2016-03-10 Basf Se Chemical-mechanical polishing compositions comprising polyethylene imine
US9862862B2 (en) * 2013-05-15 2018-01-09 Basf Se Chemical-mechanical polishing compositions comprising polyethylene imine
CN112771144A (en) * 2018-08-28 2021-05-07 恩特格里斯公司 POST chemical mechanical polishing (POST CMP) cleaning composition for cerium particles
WO2024046395A1 (en) * 2022-08-31 2024-03-07 华为技术有限公司 Leveling agent, composition, and use thereof

Also Published As

Publication number Publication date
TWI247796B (en) 2006-01-21
JP2005175437A (en) 2005-06-30
TW200513525A (en) 2005-04-16
US20050215183A1 (en) 2005-09-29

Similar Documents

Publication Publication Date Title
US7022255B2 (en) Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US20050079803A1 (en) Chemical-mechanical planarization composition having PVNO and associated method for use
US7153335B2 (en) Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US20050194563A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
US7316977B2 (en) Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US6063306A (en) Chemical mechanical polishing slurry useful for copper/tantalum substrate
US7754098B2 (en) Chemical-mechanical polishing composition and method for using the same
US8222145B2 (en) Method and composition for chemical mechanical planarization of a metal-containing substrate
US8841216B2 (en) Method and composition for chemical mechanical planarization of a metal
KR100956216B1 (en) Compositions for chemical mechanical planarization of copper
WO2000000561A1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrates
US20060213868A1 (en) Low-dishing composition and method for chemical-mechanical planarization with branched-alkylphenol-substituted benzotriazole
US20190382619A1 (en) Tungsten Chemical Mechanical Polishing Compositions
US8697577B2 (en) Method and composition for chemical mechanical planarization of a metal or a metal alloy
US20090061630A1 (en) Method for Chemical Mechanical Planarization of A Metal-containing Substrate
US8551887B2 (en) Method for chemical mechanical planarization of a copper-containing substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: DUPONT AIR PRODUCTS NANOMATERIALS, LLC, PENNSYLVAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SIDDIQUI, JUNAID AHMED;HU, BIN;REEL/FRAME:017927/0501

Effective date: 20040206

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214