US20050076580A1 - Polishing composition and use thereof - Google Patents

Polishing composition and use thereof Download PDF

Info

Publication number
US20050076580A1
US20050076580A1 US10/683,553 US68355303A US2005076580A1 US 20050076580 A1 US20050076580 A1 US 20050076580A1 US 68355303 A US68355303 A US 68355303A US 2005076580 A1 US2005076580 A1 US 2005076580A1
Authority
US
United States
Prior art keywords
composition
weight
ammonium hydroxide
acid
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/683,553
Inventor
Dynanesh Tamboli
Stephen Hymes
Gautam Banerjee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US10/683,553 priority Critical patent/US20050076580A1/en
Priority to EP04023717A priority patent/EP1522565A2/en
Priority to JP2004293733A priority patent/JP2005117046A/en
Publication of US20050076580A1 publication Critical patent/US20050076580A1/en
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means

Definitions

  • the present invention relates to an aqueous chemical formulation that is especially useful for polishing or planarizing a surface.
  • the present invention is especially useful for polishing or planarizing metals such as those used as interconnect structures in integrated circuit devices such as semiconductor wafers containing copper damascene and dual damascene feature.
  • Al and alloys of Al are used for conventional chip interconnect/wiring material.
  • copper and alloys of copper have been developed as chip interconnects material.
  • the use of copper and copper alloys results in improved device performance when compared to Al and its alloys.
  • MRAM Magnetic Random Access Memory
  • GMR Giant Magneto Resistance
  • a MRAM device employs multiple metallization layers including barrier layers (typically Ta and/or TaN), copper, ferromagnetic layers (typically a Fe—Ni alloy) and a metal (from group 4d and 5d of the periodic table; most commonly ruthenium, rhodium, iridium and rhenium), which exhibits inter-layer exchange coupling with the ferromagnetic material.
  • barrier layers typically Ta and/or TaN
  • copper typically ferromagnetic layers
  • ferromagnetic layers typically a Fe—Ni alloy
  • a metal from group 4d and 5d of the periodic table; most commonly ruthenium, rhodium, iridium and rhenium
  • CMP chemical mechanical planarization
  • the deposited metal fills the pre-etched gaps or trenches in the dielectric, and leaves a metal overabundance on the surface of the wafer which must be removed. Once the metal overabundance has been removed, an inlaid metal wiring structure is left on the surface of the wafer.
  • CMP chemical mechanical polishing
  • polishing slurries are typically two component systems.
  • the abrasive component contains the abrasive, and may also contain organic acid(s), chelate(s), surfactant(s), corrosion inhibitor(s), and other additives.
  • the second component is the oxidizer. The two components and typically keep separate until time of use due to the fact that the oxidizer would react with the other components over time, placing a limitation on the shelf life of the product.
  • the blended slurry After blending and just prior to use, it is important that the blended slurry retains its colloidal stability and polish performance for several days. This is because after blending, the blended slurry may sit in a primary tank and be delivered to the polisher over the course of several hours to several days. Hence the pot life of the blended slurry is a key specification for a commercial product.
  • compositions of the present invention provide slurry compositions that are suitable for CMP.
  • Compositions of the present invention make possible effective removal of metallic layer including those used in MRAM devices as discussed above in a minimum number of steps while assuring good planarity, absence of corrosion and excellent colloidal stability for the abrasive particles in the slurry.
  • compositions of the present invention comprise:
  • a further aspect of the present invention relates to a method for polishing or planarizing a substrate which comprises contacting the substrate with the above disclosed composition and polishing or planarizing the substrate by chemical-mechanical polishing.
  • a still further aspect of the present invention relates to a method for fabrication semiconductor integrated circuits comprising forming circuits on the surface of a semiconductor wafer by photolithographic and plating processes, polishing or planarizing the circuitry by contacting the semiconductor wafer with the above disclosed composition and polishing and planarizing the circuitry by chemical-mechanical polishing.
  • the FIGURE shows electrochemical polarization of difference films.
  • compositions of the present invention contain abrasive particles such as silica, alumina, zirconia, titania, ceria and the like with silica being most typical.
  • the abrasive particles typically have particle sizes of about 10 to about 1000 nanometers and more typically about 10 to about 200 nanometers.
  • the concentration of the abrasive particles is typically about 0.01% to about 50%, more typically about 0.1% to about 20% of weight and even more typically about 5% to about 15% by weight.
  • compositions of the present invention also contain an oxidizing agent.
  • Suitable oxidizing agents include oxidizing metal salts, oxidizing metal complexes, nonmetallic oxidizing acids such as per acetic and periodic acids, iron salts such as nitrates, sulfates EDTA, citrates, potassium ferricyanide and the like, hydrogen peroxide, aluminum salts, sodium salts, potassium salts, ammonium salts, quaternary ammonium salts, phosphonium salts, or other cationic salts of peroxides, chlorates, perchlorates, nitrates, permanganates, persulfates and mixtures thereof.
  • the preferred oxidizing agent is hydrogen peroxide.
  • the oxidizing agent(s) are typically present in the composition in amounts of about 0.01% wt. to about 50 wt. %, more typically about 0.05 wt. % to about 5 wt. % and even more typically about 0.1 wt. % to about 1 wt. %.
  • compositions of the present invention also contain a quaternary ammonium hydroxide.
  • the quaternary ammonium hydroxide improves the shelf life of the slurry by the way of increasing colloidal stability.
  • Quaternary ammonium hydroxide bases have been found to improve the colloidal stability of silica particles in the acidic pH environment of the compositions of the present invention.
  • the quaternary ammonium compound can be represented by the formula [NR 4 R 5 R 6 R 7 ]OH wherein each of R 4 , R 5 , R 6 and R 7 individually is an alkyl group.
  • alkyl refers to straight or branched chain unsubstantiated hydrocarbon groups of 1 to 20 carbon atoms, preferably 1 to 8 carbon atoms.
  • the expression “lower alkyl” refers to alkyl groups of 1 to 4 carbon atoms. Examples of suitable alkyl groups include methyl, ethyl, proplyl and butyl.
  • Typical quaternary ammonium hydroxides are tetraalkylammonium and aryltrialkylammonium hydroxides such as tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, ethyltrimethylammonium hydroxide, diethyldimethylammonium hydroxide and benzyltrimethylammonium hydroxide.
  • Typical concentrations of quaternary ammonium hydroxide bases is about 500 ppm or higher, more typically about 1000 ppm or higher and even more typically 2500 ppm or higher with the maximum typically being about 1.5%.
  • compositions of the present invention also comprise at least one acid having a pKa of 2.5 or lower and more typically about 1.5 or lower.
  • Typical acids are inorganic acids such as phosphoric acid, nitric acid, sulfuric and hydrochloric acid; and organic carboxylic acids such as oxalic acid, malonic acid, and citric acid; with phosphoric acid being the most typical.
  • the amount of acid to provide an acidic pH for the slurry typically above about 1.5 and more typically about 2 or above, and even more typically a maximum pH of about 5.
  • a pH of above 1.5 makes it possible to reduce corrosion related issues.
  • the concentration is about 0.05% to about 5% by weight and more typically about 0.1% by weight to about 1% by weight.
  • Compositions may optionally also contain acids having pKa greater than 2.5 in combination to the acids with pKa of less than 2.5.
  • the water is typically present in amounts of about 70% to about 95% by weight, more typically about 80% to about 90% by weight, and even more typically about 85% by weight in the slurry.
  • compositions of the present invention can optionally also include a copper corrosion inhibitor.
  • the relative amounts of copper corrosion inhibitor, when present, is typically about 100 ppm (0.01%) to about 15,000 ppm (1.5%), and more typically about 1,000 ppm (0.1%) to about 10,000 ppm (1%) in the slurry.
  • a typical corrosion inhibitor is benzotriazole
  • corrosion inhibitors are aromatic hydroxyl compounds, acetylenic alcohols, carboxyl group containing organic compounds and anhydrides thereof, imidazoles and triazole compounds.
  • Exemplary aromatic hydroxyl compounds include phenol, cresol, xylenol, pyrocatechol, resorcinol, hydroquinone, pyrogallol, 1.2.4-benzenetriol, salicyl alcohol, p-hydroxybenzyl alcohol, o-hydroxybenzyl alcohol, p-hydroxyphenethyl alcohol, p-aminophenol, m-aminophenol, diaminophenol, amino resorcinol, p-hydroxybenzoic acid, o-hydroxybenzoic acid 2,4-dihydroxybenzoic acid, 2-5-dihydroxybenzoic acid, 3,4-dihydroxybenzoic acid and 3,5-dihydroxybenzoic acid.
  • Exemplary acetylenic alcohols include 2-butyne-1,4-diol, 3,5-dimethyl-1-hexyn-3-ol, 2 methyl-3-butyn-2-ol, 3-methyl-1-pentyn-3-ol, 3,6-dimethyl-4-octyn-3,6-diol, 2,4-7,9-tetramethyl-5-decyne-4,7-diol and 2,5-dimethyl-3-hexyne 2,5-diol.
  • Exemplary carboxyl group containing organic compounds and anhydrides thereof include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, maleic acid, fumaric acid, benzoic acid, phthalic acid, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic acid, malic acid citric acid, acetic anhydride and salicylic acid.
  • Exemplary triazole compounds include benzotriazole, 1, 2, 4-triazole o-tolyltriazole, m-tolyltriazole, p-tolyltriazole, carboxybenzotriazole, 1-hydroxybenzotriazole, nitrobenzotriazole and dihydroxypropylbenzotriazole.
  • compositions of the present invention also contain a surface active agent.
  • Suitable surface active agents include anionic, cationic, nonionic and zwitterionic compounds. Examples of some surfactants for use in the present invention are disclosed in, for example, Kirk-Othmer, Encyclopedia of Chemical Terminology, 3 rd Edition , Vol. 22 (John Wiley & Sons, 1983), Sislet & Wood, Encyclopedia of Surface Active Agents (Chemical Publishing Co., Inc.
  • Typical examples of suitable surface active agents are: Na-alkyl sulfates, Na-alkyl sulfonates, quarternary such as tetramethyl ammonium halides, Cetyl trimethy ammonium halides, hydroxides, nonyl ethers and combinations thereof.
  • Preferred surface active agents are sulfates such as Na-hexyl, -heptyl, -octyl, nonyl and -lauryl sulfates with Na octyl sulfate being most preferred.
  • Na octyl sulfate is commercially available under its trade designation of Nornol 80 (Witco)), Standapol LF(Henkel/Cognis), Texapon 842, (Henkel), Texapon 890 (Henkel) Sulfotex OA (Henkel) and Polystep B-29 (Stephan).
  • the amount of surface active agent is typically about 0.1 to about 100 ml/l and more typically about 20 to about 50 ml/l.
  • a further feature of the present invention is that the composition even in concentrated form is relatively stable. For instance, concentrates of the composition can be provided and transported to the end user, the user can then dilute it such as about a 5:1 dilution and more typically about a 3:1 dilution by weight at the process tool for convenience and for economical reasons.
  • the structures treated pursuant to the present invention are typically semiconductor devices having copper interconnects (lines, plugs, vias, global and local interconnects) embedded into a dielectric material such as silicon dioxide, which may also include a capping layer, such as silicon nitride as in low k dielectric/damascene and dual damascene structures.
  • a dielectric material such as silicon dioxide
  • the silicon dioxide is typically a high density plasma deposited silicon dioxide or TEOS (tetraethylorthosilicate).
  • the copper interconnects typically use either tantalum, tantalum nitride, or titanium or titanium nitride as a barrier or liner material between the copper and the dielectric as discussed above, the present invention finds special suitability in fabricating non-volatile memory devices.
  • the present invention is suitable for polishing a semiconductor substrate comprising of (i) a ferromagnetic metal layer (ii) a metal from group 3d or 5d of the periodic table (for example ruthenium, iridium, palladium, platinum, etc.) (iii) a conducting metal (such as copper, aluminum) (iv) an associated barrier layer (for example, tantalum, tantalum nitride, titanium, titanium nitride cobalt based barrier layers and/or tungsten based barrier layers) and (iv) an associated dielectric layer (such as silicon dioxide).
  • a ferromagnetic metal layer ii) a metal from group 3d or 5d of the periodic table (for example ruthenium, iridium, palladium, platinum, etc.)
  • a conducting metal such as copper, aluminum
  • an associated barrier layer for example, tantalum, tantalum nitride, titanium, titanium nitride cobalt based barrier layers and/or tungs
  • the CMP composition contacts a variety of different materials, copper, the dielectric or capping layer, as well as the wafer backside, which is generally a thin layer of oxidized silicon as a minimum. Accordingly, the polishing composition must be selective to remove the metal as contracted to the dielectric.
  • the parameters of the polishing or planarizing can be determined by those skilled in the art once aware of this disclosure, without exercising undue experimentation.
  • the rate of rotation of the polishing platen (pads) is about 20 to about 100 rpm
  • the speed of the rotation of the wafer carrier is about 20 to about 100 rpm and the down force about 2 to about 10 psi.
  • the polishing pads are those conventionally used in polishing for microelectronics.
  • the following composition is made by missing the following components in the concentrations listed bellowed.
  • the pH of the slurry is 2.5: Concentrations in ppm PoliEdge 2001 silica 128571 Benzotriazole 6500 Tetrabutyl ammonium hydroxide 4000 Phosphoric acid 4488 Deionized water Balance
  • Polishing is performed on a IPEC 472 CMP tool.
  • the polishing parameters are:
  • the removal rates of the metal films are calculated based on the changes in thickness upon polishing as measured by sheet resistance measurement technique with Prometrix RS35 tool.
  • For the TEOS film thickness is measured by Philips SD200 ellipsometer.
  • the trenches are filled with a metallization stack consisting of 200 A Ta/100A Ru/250A NiFe/100A Ru/9000A Cu.
  • the copper film deposited on the regions outside the trenches is first removed using a copper CMP process using a slurry with Cu:Ta removal rate selectivity of more than 100. This results in a wafer with copper restricted to the trenches. The remaining wafer is still covered with the Ta/Ru/NiFe/Ru metallization layer.
  • the slurry described in example 1 along with the hydrogen peroxide dilution also described in example 1 is used to remove these metallic layers.
  • CMP polish parameters except for the polish time are identical as in example 1.
  • the following table tabulates the dishing topography for 100 micron lines for wafers polished at different stages. This topography is measured using VEECO AFP. After the initial 45 seconds, the wafer is mostly free from all the metallic layers from the regions outside the trenches. A subsequent 45 seconds of over polish results in correction of initial high topography. This results in a very planar surface with low topography. 45 second polish 90 second polish After copper CMP using slurry in using slurry in step Example 1 Example 1 Center Die 1625 1994 176 Mid-Die 1716 1940 294 Edge Die 2408 2397 786
  • Electrochemical polarization characteristics of different film types in the slurry described in example 1 and diluted with hydrogen peroxide as shown in example 1 are measured using EG&G M263 potentiostate/galvanostat controlled by SofetcorrII corrosion software.
  • the Scan rate is 0.25 mV/s.
  • FIG. 1 show the Electrochemical polarization curves.
  • the electrochemical polarization curves in the FIGURE show that the copper corrosion potential in the slurry mixed diluted as described in example 1 is anodic with respect to ruthenium and Fe—Ni alloy. So in case of a galvanic coupling formed between copper and any of these materials, copper will be thermodynamically favored to be protected. This would result in superior corrosion protection for copper lines/structures.
  • Slurry samples are prepared containing 2500 ppm phosphoric acid, 500 ppm nitric acid, 10% Poliedge 2001 silica and a base to bring the pH up-to 2.
  • Different bases used for the slurry formulations are listed in table below.
  • the samples are aged in an oven at 50° C. for 7 days. From the table it is apparent that the quaternary ammonium hydroxides such as tetrabutyl ammonium hydroxide and tetramethyl ammonium hydroxide are useful in improving the colloidal stability of the slurries in acidic pH.
  • Base pH 7 days at 50° C. Ammonia 1.99 88.4 KOH 1.97 88.5 No base 1.53 84.1 TBAH 1.99 75 TMAH 1.99 77.5
  • compositions are fabricated: Composition (ppm) (grams) PoliEdge 2001 Benzo- Phosphoric Nitric Example 1 Silica triazole TBAH Acid Acid pH 6A 128571 5000 6480 500 2500 2.54 6B 128571 1000 6091 500 2500 2.48
  • the balance of the above compositions is water.
  • Example 1 The slurry described in Example 1 is mixed with de-ionized water and 30% hydrogen peroxide in different ratios. These formulations are subsequently used to polish copper films with 6′′ diameter.
  • the polishing protocol is the same as described in Example 1.
  • the copper removal rates can be tuned to whatever desired level by simply changing hydrogen peroxide amount added at the time of polishing.
  • High copper removal rates are possible using this method which can potentially allow only compositions having the same components but differing in the relative concentration of the oxidizing agent to be used for entire polishing operation including copper overburden removal step and the barrier/sandwich removal layer step. If a tighter control of topography is needed, the same slurry can be used at two different concentrations during these stages.
  • peroxide concentration may be maintained high to allow high copper rates and thus high throughput. As the copper overburden is cleared, peroxide concentration may be reduced to reduce copper removal rates and thus making it possible to have a low final topography.

Abstract

An acidic aqueous slurry composition comprising silica abrasive particles, an oxidizer, a quaternary ammonium hydroxide; and acid having a maximum pKa of about 2.5; and water is provided along with its use for polishing.

Description

    TECHNICAL FIELD
  • The present invention relates to an aqueous chemical formulation that is especially useful for polishing or planarizing a surface. The present invention is especially useful for polishing or planarizing metals such as those used as interconnect structures in integrated circuit devices such as semiconductor wafers containing copper damascene and dual damascene feature.
  • BACKGROUND OF THE INVENTION
  • On VLSI and ULSI semiconductor chips, Al and alloys of Al are used for conventional chip interconnect/wiring material. However, more recently copper and alloys of copper have been developed as chip interconnects material. The use of copper and copper alloys results in improved device performance when compared to Al and its alloys.
  • By way of example, various new memory technologies are currently under development. Particularly important are the non-volatile memory devices which would allow the devices to retain the memory even after the power is switched-off. Magnetic Random Access Memory (MRAM) is one of the most promising technologies in this regard. MRAM offers a great potential for high density, high speed and low power consumption.
  • MRAM devices are based on a phenomenon known as Giant Magneto Resistance (GMR). GMR is exhibited when an electric field is applied across two layers of a ferromagnetic layers separated by a thin non-magnetic layer. The electrical conductivity across these layers depends on the orientation of the magnetic field of the two ferromagnetic layers relative to each other. When the orientations are parallel, the electrical conductivity is highest; and when they are anti-parallel, the conductivity is the lowest. GMR refers to this large difference in the electrical conductivity depending on the orientation of the magnetic field.
  • A MRAM device employs multiple metallization layers including barrier layers (typically Ta and/or TaN), copper, ferromagnetic layers (typically a Fe—Ni alloy) and a metal (from group 4d and 5d of the periodic table; most commonly ruthenium, rhodium, iridium and rhenium), which exhibits inter-layer exchange coupling with the ferromagnetic material. The damascene process coupled with chemical mechanical planarization (CMP) is used to define the MRAM structures. This process which is in concept similar to that used with the copper interconnect formation in typical semiconductor fabrication is also used. The Damascene process typically involves blanket depositing the metallic layers over a layer of dielectric which has trenched features etched into its surface. The deposited metal fills the pre-etched gaps or trenches in the dielectric, and leaves a metal overabundance on the surface of the wafer which must be removed. Once the metal overabundance has been removed, an inlaid metal wiring structure is left on the surface of the wafer. The removal of the metal is achieved by chemical mechanical polishing (CMP).
  • Use of multiple metallization layers which differ greatly in chemical and mechanical properties pose a great challenge for chemical mechanical planarization. Ideally it would be desirable that a single slurry is able to remove all these layers without compromising planarity or defectivity related to corrosion.
  • In the practice of chemical mechanical polishing, several critical requirements must be met in terms of the slurry component properties. For instance, retention of colloidal stability of the slurry components during storage, after blending, and just prior to use is an important specification. In practice, polishing slurries are typically two component systems. The abrasive component contains the abrasive, and may also contain organic acid(s), chelate(s), surfactant(s), corrosion inhibitor(s), and other additives. The second component is the oxidizer. The two components and typically keep separate until time of use due to the fact that the oxidizer would react with the other components over time, placing a limitation on the shelf life of the product. After blending and just prior to use, it is important that the blended slurry retains its colloidal stability and polish performance for several days. This is because after blending, the blended slurry may sit in a primary tank and be delivered to the polisher over the course of several hours to several days. Hence the pot life of the blended slurry is a key specification for a commercial product.
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention provides slurry compositions that are suitable for CMP. Compositions of the present invention make possible effective removal of metallic layer including those used in MRAM devices as discussed above in a minimum number of steps while assuring good planarity, absence of corrosion and excellent colloidal stability for the abrasive particles in the slurry.
  • The slurry compositions of the present invention comprise:
      • A. about 0.01% by weight to about 50% by weight of abrasive particles;
      • B. about 0.01% to about 50% by weight of an oxidizer;
      • C. at least about 100 ppm of quaternary ammonium hydroxide;
      • D. an acid having a pKa of about 2.5 or lower in an amount sufficient to provide an acidic pH;
      • and F. water.
  • A further aspect of the present invention relates to a method for polishing or planarizing a substrate which comprises contacting the substrate with the above disclosed composition and polishing or planarizing the substrate by chemical-mechanical polishing.
  • A still further aspect of the present invention relates to a method for fabrication semiconductor integrated circuits comprising forming circuits on the surface of a semiconductor wafer by photolithographic and plating processes, polishing or planarizing the circuitry by contacting the semiconductor wafer with the above disclosed composition and polishing and planarizing the circuitry by chemical-mechanical polishing.
  • Still other objects and advantages of the present invention will become readily apparent to those skilled in the art from the following detailed description, wherein it is shown and described preferred embodiments of the invention, simply by way of illustration of the best mode contemplated of carrying out the invention. As will be realized the invention is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects without departing from the invention. Accordingly, the description is to be regarded as illustrative in nature and not as restrictive.
  • SUMMARY OF FIGURES
  • The FIGURE shows electrochemical polarization of difference films.
  • BEST AND VARIOUS MODES FOR CARRYING OUT INVENTION
  • The compositions of the present invention contain abrasive particles such as silica, alumina, zirconia, titania, ceria and the like with silica being most typical.
  • The abrasive particles typically have particle sizes of about 10 to about 1000 nanometers and more typically about 10 to about 200 nanometers.
  • The concentration of the abrasive particles is typically about 0.01% to about 50%, more typically about 0.1% to about 20% of weight and even more typically about 5% to about 15% by weight.
  • The compositions of the present invention also contain an oxidizing agent. Suitable oxidizing agents include oxidizing metal salts, oxidizing metal complexes, nonmetallic oxidizing acids such as per acetic and periodic acids, iron salts such as nitrates, sulfates EDTA, citrates, potassium ferricyanide and the like, hydrogen peroxide, aluminum salts, sodium salts, potassium salts, ammonium salts, quaternary ammonium salts, phosphonium salts, or other cationic salts of peroxides, chlorates, perchlorates, nitrates, permanganates, persulfates and mixtures thereof.
  • The preferred oxidizing agent is hydrogen peroxide. The oxidizing agent(s) are typically present in the composition in amounts of about 0.01% wt. to about 50 wt. %, more typically about 0.05 wt. % to about 5 wt. % and even more typically about 0.1 wt. % to about 1 wt. %.
  • The compositions of the present invention also contain a quaternary ammonium hydroxide. The quaternary ammonium hydroxide improves the shelf life of the slurry by the way of increasing colloidal stability. Quaternary ammonium hydroxide bases have been found to improve the colloidal stability of silica particles in the acidic pH environment of the compositions of the present invention.
  • The quaternary ammonium compound can be represented by the formula [NR4R5R6R7]OH wherein each of R4, R5, R6 and R7 individually is an alkyl group.
  • The term “alkyl” refers to straight or branched chain unsubstantiated hydrocarbon groups of 1 to 20 carbon atoms, preferably 1 to 8 carbon atoms. The expression “lower alkyl” refers to alkyl groups of 1 to 4 carbon atoms. Examples of suitable alkyl groups include methyl, ethyl, proplyl and butyl. Typical quaternary ammonium hydroxides are tetraalkylammonium and aryltrialkylammonium hydroxides such as tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, ethyltrimethylammonium hydroxide, diethyldimethylammonium hydroxide and benzyltrimethylammonium hydroxide.
  • Typical concentrations of quaternary ammonium hydroxide bases is about 500 ppm or higher, more typically about 1000 ppm or higher and even more typically 2500 ppm or higher with the maximum typically being about 1.5%.
  • The compositions of the present invention also comprise at least one acid having a pKa of 2.5 or lower and more typically about 1.5 or lower. Typical acids are inorganic acids such as phosphoric acid, nitric acid, sulfuric and hydrochloric acid; and organic carboxylic acids such as oxalic acid, malonic acid, and citric acid; with phosphoric acid being the most typical. The amount of acid to provide an acidic pH for the slurry, typically above about 1.5 and more typically about 2 or above, and even more typically a maximum pH of about 5. A pH of above 1.5 makes it possible to reduce corrosion related issues. In the case of phosphoric acid, the concentration is about 0.05% to about 5% by weight and more typically about 0.1% by weight to about 1% by weight. Compositions may optionally also contain acids having pKa greater than 2.5 in combination to the acids with pKa of less than 2.5.
  • The water is typically present in amounts of about 70% to about 95% by weight, more typically about 80% to about 90% by weight, and even more typically about 85% by weight in the slurry.
  • The compositions of the present invention can optionally also include a copper corrosion inhibitor. The relative amounts of copper corrosion inhibitor, when present, is typically about 100 ppm (0.01%) to about 15,000 ppm (1.5%), and more typically about 1,000 ppm (0.1%) to about 10,000 ppm (1%) in the slurry. A typical corrosion inhibitor is benzotriazole
  • Examples of corrosion inhibitors are aromatic hydroxyl compounds, acetylenic alcohols, carboxyl group containing organic compounds and anhydrides thereof, imidazoles and triazole compounds.
  • Exemplary aromatic hydroxyl compounds include phenol, cresol, xylenol, pyrocatechol, resorcinol, hydroquinone, pyrogallol, 1.2.4-benzenetriol, salicyl alcohol, p-hydroxybenzyl alcohol, o-hydroxybenzyl alcohol, p-hydroxyphenethyl alcohol, p-aminophenol, m-aminophenol, diaminophenol, amino resorcinol, p-hydroxybenzoic acid, o-hydroxybenzoic acid 2,4-dihydroxybenzoic acid, 2-5-dihydroxybenzoic acid, 3,4-dihydroxybenzoic acid and 3,5-dihydroxybenzoic acid.
  • Exemplary acetylenic alcohols include 2-butyne-1,4-diol, 3,5-dimethyl-1-hexyn-3-ol, 2 methyl-3-butyn-2-ol, 3-methyl-1-pentyn-3-ol, 3,6-dimethyl-4-octyn-3,6-diol, 2,4-7,9-tetramethyl-5-decyne-4,7-diol and 2,5-dimethyl-3-hexyne 2,5-diol.
  • Exemplary carboxyl group containing organic compounds and anhydrides thereof include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, maleic acid, fumaric acid, benzoic acid, phthalic acid, 1,2,3-benzenetricarboxylic acid, glycolic acid, lactic acid, malic acid citric acid, acetic anhydride and salicylic acid.
  • Exemplary triazole compounds include benzotriazole, 1, 2, 4-triazole o-tolyltriazole, m-tolyltriazole, p-tolyltriazole, carboxybenzotriazole, 1-hydroxybenzotriazole, nitrobenzotriazole and dihydroxypropylbenzotriazole.
  • The compositions of the present invention also contain a surface active agent. Suitable surface active agents include anionic, cationic, nonionic and zwitterionic compounds. Examples of some surfactants for use in the present invention are disclosed in, for example, Kirk-Othmer, Encyclopedia of Chemical Terminology, 3rd Edition, Vol. 22 (John Wiley & Sons, 1983), Sislet & Wood, Encyclopedia of Surface Active Agents (Chemical Publishing Co., Inc. 1964), McCutcheon's Emulsifiers & Detergents, North American and International Edition (McCutcheon Division, The MC Publishing Co., 1991), Ash, The Condensed Encyclopedia of Surfactants (chemical Publishing Co., Inc., 1989), Ash, What Every Chemical Technologist Wants to Know About . . . Emulsifiers and Wetting Agents, Vol. 1 (Chemical Publishing Co., Inc., 1988), Tadros, Surfactants (Academic Press, 1984), Napper, Polymeric Stabilization of Colloidal Dispersion (Academic Press, 1983) and Rosen, Surfactants & Interfacial Phenomena, 2nd Edition (John Wiley & sons, 1989), all of which are incorporated herein by reference.
  • Typical examples of suitable surface active agents are: Na-alkyl sulfates, Na-alkyl sulfonates, quarternary such as tetramethyl ammonium halides, Cetyl trimethy ammonium halides, hydroxides, nonyl ethers and combinations thereof. Preferred surface active agents are sulfates such as Na-hexyl, -heptyl, -octyl, nonyl and -lauryl sulfates with Na octyl sulfate being most preferred. Na octyl sulfate is commercially available under its trade designation of Dupanol 80 (Witco)), Standapol LF(Henkel/Cognis), Texapon 842, (Henkel), Texapon 890 (Henkel) Sulfotex OA (Henkel) and Polystep B-29 (Stephan).
  • The amount of surface active agent is typically about 0.1 to about 100 ml/l and more typically about 20 to about 50 ml/l.
  • A further feature of the present invention is that the composition even in concentrated form is relatively stable. For instance, concentrates of the composition can be provided and transported to the end user, the user can then dilute it such as about a 5:1 dilution and more typically about a 3:1 dilution by weight at the process tool for convenience and for economical reasons.
  • The structures treated pursuant to the present invention are typically semiconductor devices having copper interconnects (lines, plugs, vias, global and local interconnects) embedded into a dielectric material such as silicon dioxide, which may also include a capping layer, such as silicon nitride as in low k dielectric/damascene and dual damascene structures. The silicon dioxide is typically a high density plasma deposited silicon dioxide or TEOS (tetraethylorthosilicate).
  • The copper interconnects typically use either tantalum, tantalum nitride, or titanium or titanium nitride as a barrier or liner material between the copper and the dielectric as discussed above, the present invention finds special suitability in fabricating non-volatile memory devices.
  • Accordingly the present invention is suitable for polishing a semiconductor substrate comprising of (i) a ferromagnetic metal layer (ii) a metal from group 3d or 5d of the periodic table (for example ruthenium, iridium, palladium, platinum, etc.) (iii) a conducting metal (such as copper, aluminum) (iv) an associated barrier layer (for example, tantalum, tantalum nitride, titanium, titanium nitride cobalt based barrier layers and/or tungsten based barrier layers) and (iv) an associated dielectric layer (such as silicon dioxide). Examples of such applications include but not restricted to manufacturing of capacitors which have relatively high dielectric constants, formation of copper damascene interconnects (with or without involving the noble metals or the ferromagnetic materials), and processing of hard disk drives.
  • As such, the CMP composition contacts a variety of different materials, copper, the dielectric or capping layer, as well as the wafer backside, which is generally a thin layer of oxidized silicon as a minimum. Accordingly, the polishing composition must be selective to remove the metal as contracted to the dielectric.
  • The parameters of the polishing or planarizing can be determined by those skilled in the art once aware of this disclosure, without exercising undue experimentation. For instance, the rate of rotation of the polishing platen (pads) is about 20 to about 100 rpm, and the speed of the rotation of the wafer carrier is about 20 to about 100 rpm and the down force about 2 to about 10 psi. The polishing pads are those conventionally used in polishing for microelectronics.
  • The following non-limiting examples are presented to further illustrate the present invention:
  • EXAMPLE 1
  • The following composition is made by missing the following components in the concentrations listed bellowed. The pH of the slurry is 2.5:
    Concentrations in ppm
    PoliEdge 2001 silica 128571
    Benzotriazole 6500
    Tetrabutyl ammonium hydroxide 4000
    Phosphoric acid 4488
    Deionized water Balance
  • To this slurry, hydrogen peroxide is added as an oxidizer to oxidize the metallic layers to be polished. Dilution for polishing is 70 parts by volume of slurry: 29 parts by volume of deionized water: 1 part by volume of 30% hydrogen peroxide.
  • Polishing is performed on a IPEC 472 CMP tool. The polishing parameters are:
      • Pressure: 3 psi
      • Platen Speed: 90 RPM
      • Carrier Speed: 30 RPM
      • Back-pressure: 2 psi
      • Slurry Flow rate: 200 ml/min
      • Polish Pad: k-grooved IC 1000
      • Polish time: 60 seconds
  • The removal rates of the metal films are calculated based on the changes in thickness upon polishing as measured by sheet resistance measurement technique with Prometrix RS35 tool. For the TEOS film thickness is measured by Philips SD200 ellipsometer.
  • The following table summarizes the removal rate data for various films on wafers with 8″ diameter.
    Film Removal Rate (RR) (A/min)
    Cu 304
    Ta 1024
    TEOS 1230
    FeNl 2008
    Ru 251
  • The data shows that the removal rates are high enough for a good industrial throughput.
  • EXAMPLE 2
  • A 8″ patterned wafer containing 9000A deep trenches patterned inside a TEOS dielectric. The trenches are filled with a metallization stack consisting of 200 A Ta/100A Ru/250A NiFe/100A Ru/9000A Cu. The copper film deposited on the regions outside the trenches is first removed using a copper CMP process using a slurry with Cu:Ta removal rate selectivity of more than 100. This results in a wafer with copper restricted to the trenches. The remaining wafer is still covered with the Ta/Ru/NiFe/Ru metallization layer. The slurry described in example 1 along with the hydrogen peroxide dilution also described in example 1 is used to remove these metallic layers. CMP polish parameters except for the polish time are identical as in example 1.
  • The following table tabulates the dishing topography for 100 micron lines for wafers polished at different stages. This topography is measured using VEECO AFP. After the initial 45 seconds, the wafer is mostly free from all the metallic layers from the regions outside the trenches. A subsequent 45 seconds of over polish results in correction of initial high topography. This results in a very planar surface with low topography.
    45 second polish 90 second polish
    After copper CMP using slurry in using slurry in
    step Example 1 Example 1
    Center Die 1625 1994 176
    Mid-Die 1716 1940 294
    Edge Die 2408 2397 786
  • Visual and microscopic examination of the polished wafers shows no corrosion defects.
  • EXAMPLE 3
  • Electrochemical polarization characteristics of different film types in the slurry described in example 1 and diluted with hydrogen peroxide as shown in example 1 are measured using EG&G M263 potentiostate/galvanostat controlled by SofetcorrII corrosion software. The Scan rate is 0.25 mV/s. FIG. 1 show the Electrochemical polarization curves.
  • The electrochemical polarization curves in the FIGURE show that the copper corrosion potential in the slurry mixed diluted as described in example 1 is anodic with respect to ruthenium and Fe—Ni alloy. So in case of a galvanic coupling formed between copper and any of these materials, copper will be thermodynamically favored to be protected. This would result in superior corrosion protection for copper lines/structures.
  • EXAMPLE 4
  • Slurry samples are prepared containing 2500 ppm phosphoric acid, 500 ppm nitric acid, 10% Poliedge 2001 silica and a base to bring the pH up-to 2. Different bases used for the slurry formulations are listed in table below. The samples are aged in an oven at 50° C. for 7 days. From the table it is apparent that the quaternary ammonium hydroxides such as tetrabutyl ammonium hydroxide and tetramethyl ammonium hydroxide are useful in improving the colloidal stability of the slurries in acidic pH.
    Base pH 7 days at 50° C.
    Ammonia 1.99 88.4
    KOH 1.97 88.5
    No base 1.53 84.1
    TBAH 1.99 75
    TMAH 1.99 77.5
  • EXAMPLE 5
  • The following compositions are fabricated:
    Composition (ppm)
    (grams)
    PoliEdge
    2001 Benzo- Phosphoric Nitric
    Example 1 Silica triazole TBAH Acid Acid pH
    6A 128571 5000 6480 500 2500 2.54
    6B 128571 1000 6091 500 2500 2.48
  • The balance of the above compositions is water.
  • These slurries are diluted with 30% hydrogen peroxide and water in the volume ratio 70 parts slurry: 29 parts water: 1 part of 30% hydrogen peroxide. Cu, Ta, TEOS, Ru and Re—Ni films on 8″ wafer substrates are polished using the protocol described in Example. The following table summarizes the removal rate data.
    EXAMPLE
    6A 6B
    Cu 418 355
    Ta 1161 1005
    TEOS 1139 1094
    Ru 542 744
    FeNl 1108 770
  • EXAMPLE 6
  • The slurry described in Example 1 is mixed with de-ionized water and 30% hydrogen peroxide in different ratios. These formulations are subsequently used to polish copper films with 6″ diameter. The polishing protocol is the same as described in Example 1.
  • As seen from this example, the copper removal rates can be tuned to whatever desired level by simply changing hydrogen peroxide amount added at the time of polishing. High copper removal rates are possible using this method which can potentially allow only compositions having the same components but differing in the relative concentration of the oxidizing agent to be used for entire polishing operation including copper overburden removal step and the barrier/sandwich removal layer step. If a tighter control of topography is needed, the same slurry can be used at two different concentrations during these stages. In copper overburden removal, peroxide concentration may be maintained high to allow high copper rates and thus high throughput. As the copper overburden is cleared, peroxide concentration may be reduced to reduce copper removal rates and thus making it possible to have a low final topography.
  • The foregoing description of the invention illustrates and describes the present invention. Additionally, the disclosure shows and describes only the preferred embodiments of the invention but, as mentioned above, it is to be understood that the invention is capable of use in various other combinations, modifications, and environments and is capable of changes or modifications within the scope of the inventive concept as expressed herein commensurate with the above teachings and/or the skill or knowledge of the relevant art. The embodiments described hereinabove are further intended to explain best modes known of practicing the invention and to enable others skilled in the art to utilize the invention in such, or other, embodiments and with the various modifications required by the particular applications or uses of the invention. Accordingly, the description is not intended to limit the invention to the form disclosed herein. Also, it is intended that the appended claims be construed to include alternative embodiments. All publications and patent applications cited in this specification are herein incorporated by reference as if each individual publication or patent application were specifically and individually indicated to be incorporated by reference.

Claims (22)

1. An aqueous slurry composition which comprises:
A. about 0.01% by weight to about 50% by weight of abrasive particles;
B. about 0.01% to about 50% by weight of an oxidizer;
C. at least about 500 ppm of a quaternary ammonium hydroxide;
D. an acid having a pKa of about 2.5 or lower in an sufficient amount to provide an acidic pH; and
E. water.
2. The composition of claim 1 wherein the amount of the abrasive particles is about 1% to about 20% by weight.
3. The composition of claim 1 wherein the amount of the abrasive particles is about 5% to about 15% by weight.
4. The composition of claim 1 wherein the abrasive particles comprises silica particles.
5. The composition of claim 1 wherein the oxidizer comprises hydrogen peroxide.
6. The composition of claim 1 wherein the amount of oxidizer is about 0.05 at % to about 5 wt %.
7. The composition of claim 1 wherein the amount of oxidizer is about 0.1 wt, % to about 1 wt. %.
8. The composition of claim 1 wherein the quaternary ammonium hydroxide is represented by the formula:

[NR4R5R6R7]OH
wherein each of R4, R5R6 and R7 individually is an alkyl group of 1 to 20 carbon atoms.
9. The composition of claim 8 wherein the alkyl group contains 1 to 4 carbon atoms.
10. The composition of claim 1 wherein the quaternary ammonium hydroxide comprises tetramethyl ammonium hydroxide or tetrabutyl ammonium hydroxide.
11. The composition of claim 1 wherein the amount of quaternary ammonium hydroxide is about 1000 ppm or higher.
12. The composition of claim 1 wherein the amount of quaternary ammonium hydroxide is about 2500 ppm or higher.
13. The composition of claim 1 wherein the acid comprises phosphoric acid.
14. The composition of claim 1 wherein the pH is at least about 1.5.
15. The composition of claim 1 wherein the pH is about 1.5 to about 5.
16. The composition of claim 1 wherein the pH is at least about 2.
17. The composition of claim 1 which further comprises a corrosion inhibitor.
18. The composition of claim 16 wherein the corrosion inhibitor comprises benzotriazole.
19. The composition of claim 1 which further comprises a surface active agent.
20. A method for polishing a metal which comprises providing on the metal an aqueous slurry composition comprising:
A. about 0.1% by weight to about 50% by weight of abrasive particles;
B. about 0.1% to about 50% by weight of an oxidizer;
C. at least about 500 ppm of a quaternary ammonium hydroxide;
D. an acid being a pKa of about 2.5 or lower in amount to provide an acidic pH;
and E. water;
and contacting the metal with a polishing pad.
21. A process for fabricating semiconductor integrated circuit structure comprising: forming circuits on the surface of a semiconductor wafer by photolithographic process; planarizing the surface by chemical mechanical polishing with the composition of claim 1.
22. The process of claim 21 wherein the integrated circuit structure comprise a semiconductor substrate; a ferromagnetic metal layer; a metal selected from the group consisting of group 3d and 5d of the periodic table; a layer of copper or aluminum; a barrier layer; and a dielectric layer.
US10/683,553 2003-10-10 2003-10-10 Polishing composition and use thereof Abandoned US20050076580A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/683,553 US20050076580A1 (en) 2003-10-10 2003-10-10 Polishing composition and use thereof
EP04023717A EP1522565A2 (en) 2003-10-10 2004-10-05 Polishing composition and use thereof
JP2004293733A JP2005117046A (en) 2003-10-10 2004-10-06 Abrasive composition and its use

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/683,553 US20050076580A1 (en) 2003-10-10 2003-10-10 Polishing composition and use thereof

Publications (1)

Publication Number Publication Date
US20050076580A1 true US20050076580A1 (en) 2005-04-14

Family

ID=34314161

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/683,553 Abandoned US20050076580A1 (en) 2003-10-10 2003-10-10 Polishing composition and use thereof

Country Status (3)

Country Link
US (1) US20050076580A1 (en)
EP (1) EP1522565A2 (en)
JP (1) JP2005117046A (en)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040027844A1 (en) * 2002-07-17 2004-02-12 Hasan Nejad Process flow for building MRAM structures
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060226122A1 (en) * 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
US20070039246A1 (en) * 2005-08-17 2007-02-22 Zhendong Liu Method for preparing polishing slurry
US20070219104A1 (en) * 2006-03-20 2007-09-20 Grumbine Steven K Oxidation-stabilized CMP compositions and methods
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
US20080060278A1 (en) * 2006-09-08 2008-03-13 White Michael L Onium-containing CMP compositions and methods of use thereof
WO2008040183A1 (en) * 2006-09-29 2008-04-10 Anji Microelectronics (Shanghai) Co., Ltd. A chemical-mechanical polishing liquid for polishing low-dielectric material
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
US20080118995A1 (en) * 2006-11-17 2008-05-22 Dnyanesh Chandrakant Tamboli Method and composition for restoring dielectric properties of porous dielectric materials
US20080148649A1 (en) * 2006-12-21 2008-06-26 Zhendong Liu Ruthenium-barrier polishing slurry
US20080203354A1 (en) * 2007-02-26 2008-08-28 Fujifilm Corporation Polishing liquid
US20080210665A1 (en) * 2004-03-19 2008-09-04 Fujimi Incorporated Polishing composition and polishing method
US20080274619A1 (en) * 2007-05-04 2008-11-06 Daniela White CMP compositions containing a soluble peroxometalate complex and methods of use thereof
US20090014683A1 (en) * 2005-01-06 2009-01-15 Liming Zhang Selective polish for fabricating electronic devices
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
US20100151683A1 (en) * 2008-12-11 2010-06-17 Zhendong Liu Chemical mechanical polishing composition and methods relating thereto
US20110177690A1 (en) * 2008-08-06 2011-07-21 Hitachi Ltd. Polishing solution for cmp, and method for polishing substrate using the polishing solution for cmp
US20140030886A1 (en) * 2011-03-30 2014-01-30 Tokyo Electron Limited Method for forming copper wiring
US9275899B2 (en) 2014-06-27 2016-03-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing tungsten
US20160086819A1 (en) * 2013-04-25 2016-03-24 Hitachi Chemical Company, Ltd. Cmp polishing solution and polishing method using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US20180105721A1 (en) * 2016-10-17 2018-04-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with improved dishing and pattern selectivity
US10283373B2 (en) * 2014-07-09 2019-05-07 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
US20200071613A1 (en) * 2018-08-30 2020-03-05 Samsung Electronics Co., Ltd. Slurry composition for chemical mechanical polishing, method of preparing the same, and method of fabricating semiconductor device by using the same
US10796921B2 (en) 2009-07-16 2020-10-06 Hitachi Chemical Company, Ltd. CMP fluid and method for polishing palladium

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7820067B2 (en) * 2006-03-23 2010-10-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
EP2152826B1 (en) 2007-05-24 2013-07-17 Basf Se Chemical-mechanical polishing composition comprising porous metal-organic framework materials
JP2009081200A (en) * 2007-09-25 2009-04-16 Fujifilm Corp Polishing liquid
JP5452859B2 (en) * 2007-11-05 2014-03-26 富士フイルム株式会社 Metal polishing composition and metal polishing method
TWI371481B (en) * 2009-04-02 2012-09-01 Uwiz Technology Co Ltd Slurry composition and method of fabricating damascene structure using the same
JP2011003665A (en) * 2009-06-17 2011-01-06 Jsr Corp Aqueous dispersant for chemical-mechanical polishing, and chemical-mechanical polishing method using the same
JP5533889B2 (en) 2010-02-15 2014-06-25 日立化成株式会社 CMP polishing liquid and polishing method
CN102304327A (en) * 2011-07-05 2012-01-04 复旦大学 Polishing solution based on metal Co for polishing process
WO2015005433A1 (en) * 2013-07-11 2015-01-15 株式会社フジミインコーポレーテッド Polishing composition and method for producing same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136711A (en) * 1997-07-28 2000-10-24 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US6471735B1 (en) * 1999-08-17 2002-10-29 Air Liquide America Corporation Compositions for use in a chemical-mechanical planarization process
US20030079416A1 (en) * 2001-08-14 2003-05-01 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20040229461A1 (en) * 2003-05-12 2004-11-18 Michael Darsillo Chemical mechanical polishing compositions for copper and associated materials and method of using same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136711A (en) * 1997-07-28 2000-10-24 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US6471735B1 (en) * 1999-08-17 2002-10-29 Air Liquide America Corporation Compositions for use in a chemical-mechanical planarization process
US20030079416A1 (en) * 2001-08-14 2003-05-01 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20040229461A1 (en) * 2003-05-12 2004-11-18 Michael Darsillo Chemical mechanical polishing compositions for copper and associated materials and method of using same

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7306954B2 (en) * 2002-07-17 2007-12-11 Micron Technology, Inc. Process flow for building MRAM structures
US20040027844A1 (en) * 2002-07-17 2004-02-12 Hasan Nejad Process flow for building MRAM structures
US20080210665A1 (en) * 2004-03-19 2008-09-04 Fujimi Incorporated Polishing composition and polishing method
US20090014683A1 (en) * 2005-01-06 2009-01-15 Liming Zhang Selective polish for fabricating electronic devices
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060226122A1 (en) * 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
US20070039246A1 (en) * 2005-08-17 2007-02-22 Zhendong Liu Method for preparing polishing slurry
US20070219104A1 (en) * 2006-03-20 2007-09-20 Grumbine Steven K Oxidation-stabilized CMP compositions and methods
US8497209B2 (en) 2006-03-20 2013-07-30 Cabot Microelectronics Corporation Oxidation-stabilized CMP compositions and methods
US20100200802A1 (en) * 2006-03-20 2010-08-12 Cabot Microelectronics Corporation Oxidation-stabilized cmp compositions and methods
US7732393B2 (en) * 2006-03-20 2010-06-08 Cabot Microelectronics Corporation Oxidation-stabilized CMP compositions and methods
WO2008013678A1 (en) * 2006-07-24 2008-01-31 Cabot Microelectronics Corporation Rate-enhanced cmp compositions for dielectric films
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
KR101325333B1 (en) * 2006-07-24 2013-11-11 캐보트 마이크로일렉트로닉스 코포레이션 Rate-enhanced cmp compositions for dielectric films
US20080060278A1 (en) * 2006-09-08 2008-03-13 White Michael L Onium-containing CMP compositions and methods of use thereof
US9129907B2 (en) 2006-09-08 2015-09-08 Cabot Microelectronics Corporation Onium-containing CMP compositions and methods of use thereof
WO2008040183A1 (en) * 2006-09-29 2008-04-10 Anji Microelectronics (Shanghai) Co., Ltd. A chemical-mechanical polishing liquid for polishing low-dielectric material
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US20080118995A1 (en) * 2006-11-17 2008-05-22 Dnyanesh Chandrakant Tamboli Method and composition for restoring dielectric properties of porous dielectric materials
US20080148649A1 (en) * 2006-12-21 2008-06-26 Zhendong Liu Ruthenium-barrier polishing slurry
US8715524B2 (en) 2007-02-26 2014-05-06 Fujifilm Corporation Polishing liquid
US20080203354A1 (en) * 2007-02-26 2008-08-28 Fujifilm Corporation Polishing liquid
US20080274619A1 (en) * 2007-05-04 2008-11-06 Daniela White CMP compositions containing a soluble peroxometalate complex and methods of use thereof
KR101184488B1 (en) 2007-05-04 2012-09-19 캐보트 마이크로일렉트로닉스 코포레이션 Cmp compositions containing a soluble peroxometalate complex and methods of use thereof
TWI384543B (en) * 2007-05-04 2013-02-01 Cabot Microelectronics Corp Cmp compositions containing a soluble peroxometalate complex and methods of use thereof
US8541310B2 (en) 2007-05-04 2013-09-24 Cabot Microelectronics Corporation CMP compositions containing a soluble peroxometalate complex and methods of use thereof
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
US20110177690A1 (en) * 2008-08-06 2011-07-21 Hitachi Ltd. Polishing solution for cmp, and method for polishing substrate using the polishing solution for cmp
US8900473B2 (en) * 2008-08-06 2014-12-02 Hitachi Chemical Company, Ltd. Polishing solution for CMP, and method for polishing substrate using the polishing solution for CMP
US8071479B2 (en) * 2008-12-11 2011-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
US20100151683A1 (en) * 2008-12-11 2010-06-17 Zhendong Liu Chemical mechanical polishing composition and methods relating thereto
US10796921B2 (en) 2009-07-16 2020-10-06 Hitachi Chemical Company, Ltd. CMP fluid and method for polishing palladium
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US20140030886A1 (en) * 2011-03-30 2014-01-30 Tokyo Electron Limited Method for forming copper wiring
US20160086819A1 (en) * 2013-04-25 2016-03-24 Hitachi Chemical Company, Ltd. Cmp polishing solution and polishing method using same
US9275899B2 (en) 2014-06-27 2016-03-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing tungsten
US10283373B2 (en) * 2014-07-09 2019-05-07 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
CN109906257A (en) * 2016-10-17 2019-06-18 嘉柏微电子材料股份公司 With improved recess and pattern selectivity to oxide and the selective chemical-mechanical polishing compositions of nitride
US10640679B2 (en) * 2016-10-17 2020-05-05 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with improved dishing and pattern selectivity
EP3526298A4 (en) * 2016-10-17 2020-06-24 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with improved dishing and pattern selectivity
US20180105721A1 (en) * 2016-10-17 2018-04-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with improved dishing and pattern selectivity
US20200071613A1 (en) * 2018-08-30 2020-03-05 Samsung Electronics Co., Ltd. Slurry composition for chemical mechanical polishing, method of preparing the same, and method of fabricating semiconductor device by using the same
CN110872472A (en) * 2018-08-30 2020-03-10 三星电子株式会社 Slurry composition, method of preparing the same, and method of manufacturing semiconductor device using the same
US10829690B2 (en) * 2018-08-30 2020-11-10 Samsung Electronics Co., Ltd. Slurry composition for chemical mechanical polishing, method of preparing the same, and method of fabricating semiconductor device by using the same

Also Published As

Publication number Publication date
EP1522565A2 (en) 2005-04-13
JP2005117046A (en) 2005-04-28

Similar Documents

Publication Publication Date Title
US20050076580A1 (en) Polishing composition and use thereof
EP1490897B1 (en) Tantalum barrier removal solution
US7402261B2 (en) Slurry compositions, methods of preparing slurry compositions, and methods of polishing an object using slurry compositions
EP1152046B1 (en) Polishing composition and polishing method employing it
US7316977B2 (en) Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US8222145B2 (en) Method and composition for chemical mechanical planarization of a metal-containing substrate
US7153335B2 (en) Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
EP2357059B1 (en) Method for chemical mechanical planarization of a tungsten-containing substrate
EP2539411B1 (en) Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
US20050194563A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US20100081279A1 (en) Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
KR101259489B1 (en) Metal polishing liquid and polishing method using it
TW200300442A (en) Chemical mechanical polishing compositions
KR20140117622A (en) Slurry for cobalt applications
US6787061B1 (en) Copper polish slurry for reduced interlayer dielectric erosion and method of using same
US20080148652A1 (en) Compositions for chemical mechanical planarization of copper
US20050097825A1 (en) Compositions and methods for a barrier removal
US20190382619A1 (en) Tungsten Chemical Mechanical Polishing Compositions
US20060213868A1 (en) Low-dishing composition and method for chemical-mechanical planarization with branched-alkylphenol-substituted benzotriazole
US20090101864A1 (en) Chemical Mechanical Polishing Paste for Tantalum Barrier Layer
WO2009056491A1 (en) Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
KR100772929B1 (en) CMP slurry composition for copper damascene process
JP2005056879A (en) Solution and method for polishing cooper-based metal
JP2007281020A (en) Aqueous dispersion solution for chemical-mechanical polishing, chemical-mechanical polishing method, and kit for preparing the aqueous dispersion solution

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214