US20050042883A1 - Method of forming low-k films - Google Patents

Method of forming low-k films Download PDF

Info

Publication number
US20050042883A1
US20050042883A1 US10/643,200 US64320003A US2005042883A1 US 20050042883 A1 US20050042883 A1 US 20050042883A1 US 64320003 A US64320003 A US 64320003A US 2005042883 A1 US2005042883 A1 US 2005042883A1
Authority
US
United States
Prior art keywords
silicon carbide
film
sccm
carbide layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/643,200
Other versions
US6849561B1 (en
Inventor
Kamal Goundar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to US10/643,200 priority Critical patent/US6849561B1/en
Assigned to ASM JAPAN K.K. reassignment ASM JAPAN K.K. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GOUNDAR, KAMAL KISHORE
Priority to KR1020040062084A priority patent/KR20050020612A/en
Priority to JP2004235463A priority patent/JP4566651B2/en
Application granted granted Critical
Publication of US6849561B1 publication Critical patent/US6849561B1/en
Publication of US20050042883A1 publication Critical patent/US20050042883A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Definitions

  • the present invention relates to oxygen doped silicon carbide layers referred to herein as SiCO and, more particularly to a method of forming low dielectric constant, low leakage current with high elastic modulus and hardness oxygen doped silicon carbide layers.
  • Integrated circuits have evolved into complex devices that include multiple levels of metal layers to electrically interconnect discrete layers of semiconductor devices on a single semiconductor chip. Recently, with the evolution of higher integration and higher density of integrated circuit components, the demand for greater speed of the data transfer rate is required. For this reason, an insulating film having low leakage current, low dielectric constant with high elastic modulus and hardness, to give the small RC delay is employed.
  • the RC time delay of the interconnect system becomes one of the most important limitation factors to the integrated circuits performance.
  • the RC delay is directly proportional to the resistivity of the metal and the dielectric constant of the dielectric.
  • ILD inter-layer and intra-layer dielectrics
  • the initial approach for providing low-dielectric films was the doping of the silicon oxide material with the other components such as fluorine that reduces the dielectric constant but only to that of about 3.5 to 3.9. Since the fluorine doped silicon oxide films offer only a small decrease in the dielectric constant, other solutions having lower dielectric constant are required. Furthermore, the stability of the fluorine doped silicon oxygen films with regard to moisture is problematic.
  • spin-on-glass composition have been prepared utilizing polyorganosilsesquioxanes as presented in U.S. Pat. No. 4,670,299.
  • the advantages of this film is that it has low dielectric constant such as that of 2.6 to 3.0, and also maintain the higher mechanical strengths of silicon oxide type films.
  • copper is easily diffused into the low dielectric constant insulating film from the copper wiring, thus increasing the leakage current between the upper and lower wiring.
  • the interface between copper and copper diffusion barrier layer is known to be the key point for the electro-migration reliability of copper interconnects.
  • the interface between copper and the copper diffusion barrier layer is the dominant diffusion path.
  • the interface can be not only the dominant path but also the electro-migration induced void nucleation site.
  • the strength of adhesion between copper and diffusion layer would affect the electro-migration induced void nucleation because electro-migration induced void nucleates when copper atom at the interface is stripped away from the diffusion layer. It is also suggested that in order to prevent the migration of metal atoms, the film has to have a stable film stress even after being directly exposed to air at room temperature of about 20 to 30° C. Furthermore, the leakage current and dielectric constant of such film at 1 MV/cm has to be less than that of 1 ⁇ 10 ⁇ 9 A/cm 2 and less than 3.5 respectively. SiCO films with dielectric constant less than 3.5 such that the leakage current at 1 MV/cm is less than 1 ⁇ 10 ⁇ 9 A/cm 2 are suggested to be suitable to substitute for such films.
  • silicon carbide film as an etch stop film was developed and presented in U.S. Pat. No. 5,800,878.
  • a dielectric constant of the silicon carbide film is approximately 5.
  • Silicon carbide films are applied to LSI devices using copper wiring in combination with carbon-containing silicon oxide films, whose dielectric constant is approximately 3.
  • One type is a silicon carbide film comprising Si, C and H. This film's stress and dielectric constant changes if left in the atmosphere. This is due to the oxidation of the top surface of the silicon carbide film.
  • low-k dielectric layer and copper diffusion barrier layers such as oxygen doped silicon carbide films (SiCO) developed using various embodiments of the present invention are as follows:
  • a method of forming low dielectric constant, low leakage current with high Elastic modulus and Hardness silicon carbide film for use in integrated circuit fabrication processes is provided.
  • the silicon carbide film is deposited on a substrate by introducing alkyl silicon compounds such as divinyl-dimethylsilane (Si(CH ⁇ CH 2 ) 2 (CH 3 ) 2 ), tri-methylsilane (SiH(CH 3 ) 3 ) or tetra-methylsilane (Si(CH 3 ) 4 ) referred to herein as TMS, a substantial source of oxygen such as oxygen (O2) or carbon dioxide (CO2) and an inert gas such as argon (Ar), helium (He), krypton (Kr), neon (Ne) or xenon (Xe) in the presence of an electric field in a plasma CVD reactor.
  • alkyl silicon compounds such as divinyl-dimethylsilane (Si(CH ⁇ CH 2 ) 2 (CH 3 ) 2 ), tri
  • a mixture of high and low frequency RF power such that high frequency RF power is in the range of 13.56 MHz to 30 MHz and low frequency RF power is in the range of 200 kHz to 500 kHz, wherein the ratio of low frequency to total power is less than about 0.5, generates the electric field.
  • the leakage current and dielectric constant of the silicon carbide in this invention is decreased by introducing excess amount of oxygen and inert gas.
  • FIG. 1 is a schematic cross-sectional view of an exemplary plasma chemical vapor deposition device.
  • FIGS. 2 a - 2 j illustrate a dual damascene structure in which a silicon carbide layer can be used.
  • FIG. 3 illustrates an exemplary sequence (deposition recipe) for forming a SiCO film.
  • a method for depositing a silicon carbide film having a low dielectric constant, low leakage current, high elastic modulus and high hardness onto a substrate in a CVD chamber comprising the steps of providing a silicon source, carbon source, oxygen source and an inert gas in a reaction zone containing a substrate, and reacting the silicon and carbon and oxygen source in the presence of a plasma to deposit a low dielectric constant and low leakage current silicon carbide film on the substrate.
  • a mixture of high and low frequency RF power generates the electric field, wherein the ratio of low frequency to total power is less than about 0.5.
  • the leakage current and dielectric constant in the resulting silicon carbide film is directly related to the carbon concentration, amount of oxygen and inert gas introduced.
  • a silicon carbide layer is formed by reacting a gas mixture including, silicon source, carbon source, oxygen source and an inert gas into a plasma enhanced chemical vapor deposition (PECVD) chamber. Details of the processing system are illustrated in FIG. 1 .
  • PECVD plasma enhanced chemical vapor deposition
  • FIG. 1 is a schematic cross section of an exemplary plasma CVD device according to a preferred embodiment.
  • a plasma CVD device 1 which is used to form a thin film on a semiconductor wafer 9 or other substrate, comprises a reaction chamber 2 , a support 3 provided within the reaction chamber to support the semiconductor wafer 9 , a shower-head 4 that is positioned to face the support 3 and is used to spray reaction gas uniformly onto the semiconductor wafer 9 , an outlet 20 to exhaust reaction gases and byproducts from the reaction chamber 2 , and a remote plasma chamber 17 .
  • Cleaning gas for the remote plasma chamber 17 is supplied through a conduit 18 having an inlet port 16 leading to a main flow controller 14 and a valve 15 .
  • the remote plasma discharge chamber 17 is linked to the showerhead 4 via piping 6 and valve 7 .
  • the remote plasma discharge chamber 17 generates active species using radio-frequency oscillating output energy of the designated frequency, and the piping 6 is made of materials that are not corroded by the active species.
  • the support 3 that is provided within the reaction chamber 2 and that is used to support the semiconductor wafer 9 is made of anodized aluminum alloy and is grounded 27 to constitute one side of an electrode of plasma discharge.
  • the reaction chamber 2 of the illustrated embodiment is thus a plasma CVD chamber configured for in situ (in chamber) plasma generation.
  • the support 3 includes a heater 5 having a ring-shape heating element 24 embedded therein.
  • the semiconductor wafer's temperature is controlled at a predetermined temperature using a temperature controller (not shown).
  • the support 3 is connected to a driving mechanism 26 that moves the support 3 up and down through a support piston 25 .
  • the showerhead 4 is provided at a position facing the support 3 .
  • the showerhead is electrically connected to a radio-frequency oscillator 8 and 8 ′ via matching circuit 10 and constitutes another electrode for plasma discharge.
  • a reaction gas conduit 12 is connected to a mass flow controller 14 with a shut-off valve 15 near the outlet from the flow controller and a valve 11 controlling flow to the piping 6 .
  • the number of the gas conduits is not limited to one. According to the type of reaction gas, any number of gas conduits can be installed.
  • One end of the gas conduit 12 constitutes a gas inlet port 13 to cause reaction gas to flow in and the other end constitutes a reaction gas exit port to cause gas to flow to the inlet 29 of the showerhead 4 .
  • the outlet 20 is connected to a vacuum pump (not shown) through piping 19 . Between the outlet 20 and the vacuum pump, a conductance-controlling valve 21 is provided to regulate pressure within the reaction chamber 2 .
  • the conductance-controlling valve 21 is electrically connected to an external regulator 22 and a pressure gauge 23 , preferably provided to measure pressure within the reaction chamber 2 .
  • the wafer 9 is inserted into the chamber 2 through a port 28 controlled by a gate valve 30 .
  • the silicon and carbon source may be an alkyl silicon compound having a general formula Si x C y H z , where x has a range from 1 to 2, y has a range from 1 to 6, and z has a range from 6 to 20.
  • x has a range from 1 to 2
  • y has a range from 1 to 6
  • z has a range from 6 to 20.
  • Oxygen source is oxygen (O2) and carbon dioxide (CO2).
  • Helium (He), argon (Ar), neon (Ne), krypton (Kr) and xenon (Xe) maybe used for the inert gas.
  • the deposition process parameters of forming a silicon carbide film on a 200 mm silicon wafer include a substrate temperature range of about 200° C. to about 400° C. (more preferably 300-350° C.), a chamber pressure of about 300 Pa to 1000 Pa, an alkyl silicon compound flow rate of about 100 sccm to 1000 sccm, oxygen source flow rate such as oxygen (O2) of about 10 sccm to 500 sccm, and an inert gas flow rate of about 200 sccm to 5000 sccm.
  • This creates a ratio of the inert gas flow rate to alkyl silicon compound is in the range of about 1:1 to about 1:10.
  • the process also includes a mixed frequency RF power having at least a first RF power with a frequency in a range of about 13 MHz to 30 MHz (high frequency) with a power in a range of about 100 Watts to 2000 Watts; and at least a second RF power with a frequency in a range of about 100 kHz to 500 kHz (low frequency) with a power in the range of about 10 Watts to 500 Watts.
  • the ratio of low frequency to total power is less than about 0.5
  • the RF power source generates the electric field.
  • the first RF power is in the range of 100to 1000 W and the second RF power is in the range of 10 to 250W.
  • the second RF power with a frequency in a range of 300 kHz to 450 kHz is preferably used in combination with the first RF power.
  • the ratio of the second RF power to the total mixed frequency power is preferably less than about 0.5 to 1.0.
  • the above process parameters provide a deposition rate for the silicon carbide layer in the range of about 0.2 ⁇ m/min to 1 ⁇ m/min, when implemented on a 200 mm substrate in a deposition chamber.
  • TABLE 1 Range Parameter Step 1 Tetra-methylsilane (TMS) flow rate 100 sccm ⁇ 1000 sccm 0 sccm ⁇ 500 sccm Helium (He) flow rate 100 sccm ⁇ 10000 sccm 100 sccm ⁇ 10000 sccm Oxygen (O2) flow rate 10 sccm ⁇ 5000 sccm 0 sccm ⁇ 1000 sccm Pressure 300 Pa ⁇ 1000 Pa 300 Pa ⁇ 1000 Pa Primary RF Power 100 W ⁇ 2000 W 100 W ⁇ 2000 W Secondary RF Power 10 W ⁇ 500 W 10 W ⁇ 500 W Substrate Temperature 200° C. ⁇ 400° C. 200° C. ⁇ 400° C.
  • Step 2 Tetra-methylsilane (TMS) flow rate 100 sccm ⁇ 700 sccm 0 sccm ⁇ 300 sccm Helium (He) flow rate 100 sccm ⁇ 3000 sccm 100 sccm ⁇ 5000 sccm Oxygen (O2) flow rate 20 sccm ⁇ 1000 sccm 0 sccm ⁇ 500 sccm Pressure 300 Pa ⁇ 1000 Pa 300 Pa ⁇ 1000 Pa Primary RF Power 100 W ⁇ 1000 W 100 W ⁇ 1000 W Secondary RF Power 20 W ⁇ 300 W 20 W ⁇ 300 W Substrate Temperature 250° C. ⁇ 350° C. 250° C. ⁇ 350° C.
  • Step 2 Tetra-methylsilane (TMS) flow rate 100 sccm ⁇ 500 sccm 0 sccm ⁇ 100 sccm Helium (He) flow rate 100 sccm ⁇ 1000 sccm 100 sccm ⁇ 2500 sccm Oxygen (O2) flow rate 20 sccm ⁇ 500 sccm 0 sccm ⁇ 250 sccm Pressure 300 Pa ⁇ 800 Pa 300 Pa ⁇ 800 Pa Primary RF Power 350 W ⁇ 500 W 350 W ⁇ 500 W Secondary RF Power 50 W ⁇ 150 W 50 W ⁇ 150 W Substrate Temperature 300° C. ⁇ 350° C. 300° C. ⁇ 350 ° C.
  • a reactive gas source such as tetra-methylsilane (TMS) is introduced into the reaction zone.
  • Oxygen is used as an oxygen source.
  • Helium is used as an inert gas. See TABLES 1 through 3 for gas flow rates.
  • the chamber is maintained preferably at about 300 to 1000 Pa, more preferably maintained at about 300 Pa to 800 Pa.
  • a mixed frequency of 27.12 MHz and 400 kHz RF power source preferably delivers at least about 100 Watts to 2000 Watts and at least about 10 Watts to 500 Watts respectively. More preferably 27.12 MHz RF power of 400 W and 4000 kHz RF power of 100 W is applied for forming films.
  • Silicon carbide film deposition steps are divided into 2 steps.
  • an active plasma treatment step is performed. After the basic film formation step, second film formation is carried out continuously. In this step, Helium flow is increased while TMS and O2 flow is decreased without changing plasma discharge.
  • TMS Fraction down to 0 sccm
  • O2 Ramp down to 0 sccm
  • He Ramp up to 2.5 slm
  • substrate temperature 340° C.
  • a silicon carbide film deposited by the basic film forming step alone is not stable, its film stress and dielectric constant changes when exposed to air at room temperature. This is due to the oxidation of the surface layer.
  • the method of minimizing the oxidation of carbon containing films, such as SiC is published in United States Patent Application Publication 2002/054962; however, no changes/improvements to the film properties are observed.
  • annealing is performed at 400° C. under nitrogen atmosphere for 10 hours, a drastic change in the film stress is observed. The change in the stress is about 400 MPa, which consequently implies to a poor thermal stress stability behavior.
  • the silicon carbide films deposited by the PECVD process described herein have significantly lower dielectric constant and lower leakage current in comparison to the conventional silicon carbide films. Furthermore, the silicon carbide film deposited according to the as described above has a mechanical properties such as high elastic modulus and high hardness.
  • the silicon carbide films can be deposited without a mixture of low and high frequency. However, the preferred mixture of high and low radio frequency corrects adverse film properties caused by the bombardment of the silicon carbide film with molecules of inert gas. Increasing the ratio of Si—C bonds in the film provides greater hardness and high elastic modulus in the silicon carbide film.
  • FIGS. 2 a - 2 j show a dual damascene structure in which a silicon carbide layer deposited according to the present invention can be used.
  • a copper (Cu) layer ( 31 ) is first covered with a silicon carbide layer ( 32 ). Since the silicon carbide layer ( 32 ) according to this embodiment has low oxygen content with low leakage current, low dielectric constant with high elastic modulus and high hardness, it is suggested to be the most suitable material to use as a copper diffusion barrier layer. Before depositing the silicon carbide layer ( 32 ), the copper surface can be improved by removing any copper oxide that may be remaining on the surface.
  • a hydrogen (H 2 ) or an ammonia (NH 3 ) or methane (CH 4 ) plasma based reduction is used before the deposition of silicon carbide layer ( 32 ).
  • This copper surface reduction to remove CMP residue can be performed in a PECVD chamber.
  • a photo resist ( 33 ) is coated as shown in FIG. 2 b .
  • any suitable methods can be employed. The following is an example:
  • a via hole ( 35 ) is formed by etching.
  • the process of forming a via hole is stated as follows: First a photo resist ( 33 ) is removed ( 34 ) as shown in FIG. 2 c . Next, a via etching is commenced through the silicon carbide layer ( 32 ) as shown in FIG. 2 d . Finally, The silicon carbide breakthrough step is performed to expose the underlying copper layer.
  • trench patterning commences. After via etch and cleans are performed as shown in FIG. 2 e , the wafer is coated with Sacrificial Light Absorbing Material (SLAM) and patterned with trench photo resist (not shown). Post trench etch, SLAM remains at the bottom of the vias and on top of the wafer (not shown). SLAM is removed from everywhere on the wafers with high selectivity to the silicon carbide during the trench etch clean step as shown in FIG. 2 f.
  • SLAM Sacrificial Light Absorbing Material
  • a copper barrier layer such as TaN or TiN ( 37 ), is formed inside the via hole as shown in FIG. 2 g .
  • a copper seed layer ( 38 ) is further deposited by PVD, or the like.
  • copper ( 39 ) is then deposited in the hole ( 36 ) by electric plating or the like.
  • CMP or the like copper barrier layer ( 37 ), copper ( 38 ), resist ( 33 ), and sacrificial amount of silicon carbide layer are removed so that the surface ( 40 ) is exposed.
  • a silicon carbide layer can also be deposited as a passivation layer (not shown) for protecting the device from scratching.
  • the dielectric constant and leakage current at 1 MV/cm of conventional silicon carbide barrier layer is approximately 5 and 5 ⁇ 10 ⁇ 7 A/cm 2 when compared to that of approximately 2.8 and 5 ⁇ 10 ⁇ 10 A/cm 2 respectively in a silicon carbide barrier layer fabricated as described herein.
  • silicon carbide film according to the present invention is mechanically strong such as has high elastic modulus and hardness of approximately >10 G Pa and >2 G Pa respectively when compared to the other low-k films typically made of, for example inorganic materials such as fluorosilicate (FSG), hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ), and others like the same.
  • FSG fluorosilicate
  • HSQ hydrogen silsesquioxane
  • MSQ methyl silsesquioxane
  • this silicon carbide layer has improved electrical properties, including: (1) a higher breakdown voltage, (2) lower leakage currents, and (3) both greater film stability, and improved mechanical properties in terms of hardness.
  • the silicon carbide according to this present invention displays a dielectric constant that is less than 3.0, which improves the electrical performance of devices.
  • the resulting silicon carbide layer has a relatively low dielectric constant, typically around less than 3.0, depending on the mixture and ratio of low frequency to the total frequency generated during deposition, and also depending on the ratio of gases used to form the silicon carbide.
  • An as-deposited silicon carbide layer has a dielectric constant and leakage current at 1 MV/cm less than about 3.0 and 5 ⁇ 10 ⁇ 10 A/cm 2 , respectively, making it suitable for use as an insulating material in integrated circuits.
  • the details of the film properties such as dielectric constant, leakage current and film stress of the silicon carbide layer deposited according to the deposition conditions shown in Table 5.
  • the dielectric constant of the silicon carbide layer is tunable, in that it can be varied as a function of the ratio of the mixed frequency RF powers. In particular, as the ratio of the low frequency RF power to the total mixed powers decreases, the dielectric constant of the silicon carbide layer also decreases.
  • the dielectric constant of the silicon carbide layer can also be tuned as a function of the composition of the gas mixture during layer formation. As the carbon concentration in the gas mixture increases, the carbon content of the as-deposited silicon carbide layer increases, making the silicon carbide film less dense and dielectric constant decrease. Also, as the carbon concentration of the as deposited silicon carbide layer increases, the hydrophobic properties thereof increases making such layers suitable for use as moisture barriers in integrated circuits.
  • the as-deposited silicon carbide layer has low oxygen content.
  • Thermal anneal test was used to check the barrier capability of the silicon carbide layer. Thermal penetration of the copper atom into the silicon carbide film was measured by secondary ion mass spectroscopy (SIMS). The copper penetration depth of the silicon carbide layer was less than 18 nm that indicates that the thermal diffusion of copper can be blocked effectively. It indicates that such a low oxygen content silicon carbide layer minimizes metal diffusion and improves the barrier layer properties.
  • the as-deposited silicon carbide layer has a current blocking ability at 1 MV/cm that is less than that about 1 ⁇ 10 ⁇ 8 A/cm 2 , which is suitable for minimizing cross-talk between integrated circuit interconnect structures. TABLE 5 Dielectric Leakage current at Elastic Film type constant 1 MV/cm Modulus Hardness SiCO 2.8 ⁇ 0.1 ⁇ 5 ⁇ 10 ⁇ 10 15 GPa 2.2 GPa

Abstract

To deposit silicon carbide into a substrate, there is introduced into a reaction zone a gas including source gas of silicon, carbon, oxygen and an inert gas. An electric field is generated using low and high frequency RF power to produce a plasma discharge in the reaction zone to cause the deposition.

Description

    FIELD OF THE INVENTION
  • The present invention relates to oxygen doped silicon carbide layers referred to herein as SiCO and, more particularly to a method of forming low dielectric constant, low leakage current with high elastic modulus and hardness oxygen doped silicon carbide layers.
  • BACKGROUND OF THE INVENTION
  • Integrated circuits have evolved into complex devices that include multiple levels of metal layers to electrically interconnect discrete layers of semiconductor devices on a single semiconductor chip. Recently, with the evolution of higher integration and higher density of integrated circuit components, the demand for greater speed of the data transfer rate is required. For this reason, an insulating film having low leakage current, low dielectric constant with high elastic modulus and hardness, to give the small RC delay is employed.
  • As the device dimensions continuously shrink, the RC time delay of the interconnect system becomes one of the most important limitation factors to the integrated circuits performance. The RC delay is directly proportional to the resistivity of the metal and the dielectric constant of the dielectric. In order to minimize the signal propagation delay, it is inevitable to use low dielectric constant materials as the inter-layer and intra-layer dielectrics (ILD).
  • The initial approach for providing low-dielectric films was the doping of the silicon oxide material with the other components such as fluorine that reduces the dielectric constant but only to that of about 3.5 to 3.9. Since the fluorine doped silicon oxide films offer only a small decrease in the dielectric constant, other solutions having lower dielectric constant are required. Furthermore, the stability of the fluorine doped silicon oxygen films with regard to moisture is problematic.
  • In an approach for providing a silicon oxide layer having a planar surface, spin-on-glass composition have been prepared utilizing polyorganosilsesquioxanes as presented in U.S. Pat. No. 4,670,299. The advantages of this film is that it has low dielectric constant such as that of 2.6 to 3.0, and also maintain the higher mechanical strengths of silicon oxide type films.
  • However, it would be advantageous to have a final dielectric film that combines the advantage of a film formed from organic polysilicas such as polyorgansilsesquioxanes referred to herein as POQS with an even lower dielectric constant (k<2.5). The most likely method for achieving this result is to blend the POSQ with another substance with lower dielectric constant. A substance with lower dielectric constant is air (k=1). So, in order to achieve lower dielectric constants, porosity needs to be introduced into the POSQ material. However, the process of introducing porosity is complex and is slow.
  • Furthermore, to reduce the size of interconnection lines and vias is to change the wiring materials from the conventional aluminum (Al) to copper (Cu) wiring having low electric resistance. However, to produce a semiconductor device having multi-layered copper wiring, a low dielectric constant insulating layer is formed as the interlayer insulating film on the copper wiring.
  • The use of copper as the interconnect material has various problems. For example, copper is easily diffused into the low dielectric constant insulating film from the copper wiring, thus increasing the leakage current between the upper and lower wiring.
  • The use of silicon carbide films as copper diffusion barrier layers has been published in U.S. Pat. No. 5,800,878. The dielectric constant of this film is about 5, and in addition it is used as copper diffusion barrier layers for 130 nm-nodes Large Scale Integration (LSI) technologies where the dielectric constant of the interlayer dielectric film is 3.
  • For next generation, 100 nm/65 nm-nodes Ultra Large Scale Integration (ULSI) technologies, the reduction of interconnect capacitance is important for suppressing the signal delay as well as the power consumption. Interlayer dielectric films with dielectric constant less than 2.5 are used with copper damascene structures. To decrease the effective dielectric of fine pitched lines, further reduction in the dielectric constant is necessary not only for the inter layer dielectric film itself but also the supporting dielectric films such as hard mask, etch stop layers and copper diffusion barrier layers. However, the process is difficult.
  • The interface between copper and copper diffusion barrier layer is known to be the key point for the electro-migration reliability of copper interconnects. The interface between copper and the copper diffusion barrier layer is the dominant diffusion path. However, there is no report on the identification of the dominant path for copper interconnects. On the other hand, the interface can be not only the dominant path but also the electro-migration induced void nucleation site.
  • The strength of adhesion between copper and diffusion layer would affect the electro-migration induced void nucleation because electro-migration induced void nucleates when copper atom at the interface is stripped away from the diffusion layer. It is also suggested that in order to prevent the migration of metal atoms, the film has to have a stable film stress even after being directly exposed to air at room temperature of about 20 to 30° C. Furthermore, the leakage current and dielectric constant of such film at 1 MV/cm has to be less than that of 1×10−9 A/cm2 and less than 3.5 respectively. SiCO films with dielectric constant less than 3.5 such that the leakage current at 1 MV/cm is less than 1×10−9 A/cm2 are suggested to be suitable to substitute for such films.
  • Using the silicon carbide film as an etch stop film was developed and presented in U.S. Pat. No. 5,800,878. A dielectric constant of the silicon carbide film is approximately 5. Silicon carbide films are applied to LSI devices using copper wiring in combination with carbon-containing silicon oxide films, whose dielectric constant is approximately 3. There are several different types of compositions for what is generally called silicon carbide films. One type is a silicon carbide film comprising Si, C and H. This film's stress and dielectric constant changes if left in the atmosphere. This is due to the oxidation of the top surface of the silicon carbide film. The method to minimize the oxidation of carbon containing materials, such as silicon carbide, with an inert gas plasma such as helium (He), Argon (Ar) is published in JP laid-open patent 2001/0060584. This inert gas plasma treatment only minimizes the top surface of the silicon carbide film from getting oxidized, however, no changes/improvements to the film properties are observed.
  • The method of forming nitrogen doped silicon carbide (SiCN), oxygen doped silicon carbides (SiCO) has been published in United States Patent Application Publication 2001/0030369, United States Patent Application Publication 2002/0027286, United States Patent Application Publication 2001/0051445, and United States Patent Application Publication 2001/0031563. Furthermore, these films have been proposed as copper diffusion barrier layers. Though a nitrogen doped silicon carbide layer has been proposed as a copper diffusion barrier layer with low leakage current, its dielectric constant is high such as 5.
  • Therefore, there is a need for a low dielectric constant film which also supports the copper diffusion barrier layers properties and is useful for the fabrication of IC devices, where the film is mechanically strong, useful at high temperatures, and is easily and quickly fabricated.
  • SUMMARY OF THE INVENTION
  • Thus, it is desired to develop a new method of forming low dielectric constant layers supporting copper diffusion barrier layers properties that can be employed in the next generation, 100 nm/65 nm-nodes Ultra Large Scale Integration (ULSI) technologies, where the copper damascene structures are used.
  • The main characteristics of low-k dielectric layer and copper diffusion barrier layers such as oxygen doped silicon carbide films (SiCO) developed using various embodiments of the present invention are as follows:
    • a) The dielectric constant is less than 3.0
    • b) No changes to its film properties such as changes to the film stress or changes to film dielectric constant are observed even when directly exposed to air at a room temperature between 20° C. to 30° C.
    • c) The leakage current at 1 MV/cm is extremely low, such as less than 5×10−9 A/cm2.
    • d) The elastic modulus and hardness are above 10G Pa and 2G Pa respectively.
  • According to one preferred embodiment of the present invention, a method of forming low dielectric constant, low leakage current with high Elastic modulus and Hardness silicon carbide film for use in integrated circuit fabrication processes is provided. The silicon carbide film is deposited on a substrate by introducing alkyl silicon compounds such as divinyl-dimethylsilane (Si(CH═CH2)2(CH3)2), tri-methylsilane (SiH(CH3)3) or tetra-methylsilane (Si(CH3)4) referred to herein as TMS, a substantial source of oxygen such as oxygen (O2) or carbon dioxide (CO2) and an inert gas such as argon (Ar), helium (He), krypton (Kr), neon (Ne) or xenon (Xe) in the presence of an electric field in a plasma CVD reactor.
  • A mixture of high and low frequency RF power, such that high frequency RF power is in the range of 13.56 MHz to 30 MHz and low frequency RF power is in the range of 200 kHz to 500 kHz, wherein the ratio of low frequency to total power is less than about 0.5, generates the electric field. The leakage current and dielectric constant of the silicon carbide in this invention is decreased by introducing excess amount of oxygen and inert gas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional view of an exemplary plasma chemical vapor deposition device.
  • FIGS. 2 a-2 j illustrate a dual damascene structure in which a silicon carbide layer can be used.
  • FIG. 3 illustrates an exemplary sequence (deposition recipe) for forming a SiCO film.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Disclosed herein is a method for depositing a silicon carbide film having a low dielectric constant, low leakage current, high elastic modulus and high hardness onto a substrate in a CVD chamber, comprising the steps of providing a silicon source, carbon source, oxygen source and an inert gas in a reaction zone containing a substrate, and reacting the silicon and carbon and oxygen source in the presence of a plasma to deposit a low dielectric constant and low leakage current silicon carbide film on the substrate.
  • A mixture of high and low frequency RF power generates the electric field, wherein the ratio of low frequency to total power is less than about 0.5. The leakage current and dielectric constant in the resulting silicon carbide film is directly related to the carbon concentration, amount of oxygen and inert gas introduced.
  • Silicon Carbide Layer Formation
  • In one preferred embodiment of the present invention, a silicon carbide layer is formed by reacting a gas mixture including, silicon source, carbon source, oxygen source and an inert gas into a plasma enhanced chemical vapor deposition (PECVD) chamber. Details of the processing system are illustrated in FIG. 1.
  • FIG. 1 is a schematic cross section of an exemplary plasma CVD device according to a preferred embodiment. A plasma CVD device 1, which is used to form a thin film on a semiconductor wafer 9 or other substrate, comprises a reaction chamber 2, a support 3 provided within the reaction chamber to support the semiconductor wafer 9, a shower-head 4 that is positioned to face the support 3 and is used to spray reaction gas uniformly onto the semiconductor wafer 9, an outlet 20 to exhaust reaction gases and byproducts from the reaction chamber 2, and a remote plasma chamber 17. Cleaning gas for the remote plasma chamber 17 is supplied through a conduit 18 having an inlet port 16 leading to a main flow controller 14 and a valve 15. The remote plasma discharge chamber 17 is linked to the showerhead 4 via piping 6 and valve 7. The remote plasma discharge chamber 17 generates active species using radio-frequency oscillating output energy of the designated frequency, and the piping 6 is made of materials that are not corroded by the active species.
  • The support 3 that is provided within the reaction chamber 2 and that is used to support the semiconductor wafer 9 is made of anodized aluminum alloy and is grounded 27 to constitute one side of an electrode of plasma discharge. The reaction chamber 2 of the illustrated embodiment is thus a plasma CVD chamber configured for in situ (in chamber) plasma generation. The support 3 includes a heater 5 having a ring-shape heating element 24 embedded therein. The semiconductor wafer's temperature is controlled at a predetermined temperature using a temperature controller (not shown). The support 3 is connected to a driving mechanism 26 that moves the support 3 up and down through a support piston 25.
  • Within the reaction chamber 2, the showerhead 4 is provided at a position facing the support 3. In the showerhead 4, thousands of fine holes are provided to inject reaction gas onto the semiconductor wafer 9. The showerhead is electrically connected to a radio- frequency oscillator 8 and 8′ via matching circuit 10 and constitutes another electrode for plasma discharge. To bring reaction gas to be used for film formation from the showerhead 4, a reaction gas conduit 12 is connected to a mass flow controller 14 with a shut-off valve 15 near the outlet from the flow controller and a valve 11 controlling flow to the piping 6. The number of the gas conduits is not limited to one. According to the type of reaction gas, any number of gas conduits can be installed. One end of the gas conduit 12 constitutes a gas inlet port 13 to cause reaction gas to flow in and the other end constitutes a reaction gas exit port to cause gas to flow to the inlet 29 of the showerhead 4.
  • The outlet 20 is connected to a vacuum pump (not shown) through piping 19. Between the outlet 20 and the vacuum pump, a conductance-controlling valve 21 is provided to regulate pressure within the reaction chamber 2. The conductance-controlling valve 21 is electrically connected to an external regulator 22 and a pressure gauge 23, preferably provided to measure pressure within the reaction chamber 2. The wafer 9 is inserted into the chamber 2 through a port 28 controlled by a gate valve 30.
  • The silicon and carbon source may be an alkyl silicon compound having a general formula SixCyHz, where x has a range from 1 to 2, y has a range from 1 to 6, and z has a range from 6 to 20. For example, divinyl-dimethylsilane, tri-methylsilane, and tetra-methylsilane among others maybe used as the alkyl silicon compound. Oxygen source is oxygen (O2) and carbon dioxide (CO2). Helium (He), argon (Ar), neon (Ne), krypton (Kr) and xenon (Xe) maybe used for the inert gas.
  • In general, the deposition process parameters of forming a silicon carbide film on a 200 mm silicon wafer include a substrate temperature range of about 200° C. to about 400° C. (more preferably 300-350° C.), a chamber pressure of about 300 Pa to 1000 Pa, an alkyl silicon compound flow rate of about 100 sccm to 1000 sccm, oxygen source flow rate such as oxygen (O2) of about 10 sccm to 500 sccm, and an inert gas flow rate of about 200 sccm to 5000 sccm. This creates a ratio of the inert gas flow rate to alkyl silicon compound is in the range of about 1:1 to about 1:10. The process also includes a mixed frequency RF power having at least a first RF power with a frequency in a range of about 13 MHz to 30 MHz (high frequency) with a power in a range of about 100 Watts to 2000 Watts; and at least a second RF power with a frequency in a range of about 100 kHz to 500 kHz (low frequency) with a power in the range of about 10 Watts to 500 Watts. Thus the ratio of low frequency to total power is less than about 0.5, and the RF power source generates the electric field. Preferably the first RF power is in the range of 100to 1000 W and the second RF power is in the range of 10 to 250W. The second RF power with a frequency in a range of 300 kHz to 450 kHz is preferably used in combination with the first RF power.
  • The ratio of the second RF power to the total mixed frequency power is preferably less than about 0.5 to 1.0. The above process parameters provide a deposition rate for the silicon carbide layer in the range of about 0.2 μ m/min to 1 μ m/min, when implemented on a 200 mm substrate in a deposition chamber.
  • The details on film forming steps and parameters are explained below.
  • Silicon Carbide Film Formation
  • As an exemplary process for growing silicon carbide film on a substrate according to the present invention, the parameters listed in TABLES 1 through 3 were used:
    TABLE 1
    Range
    Parameter Step 1 Step 2
    Tetra-methylsilane (TMS) flow rate 100 sccm˜1000 sccm 0 sccm˜500 sccm
    Helium (He) flow rate 100 sccm˜10000 sccm 100 sccm˜10000 sccm
    Oxygen (O2) flow rate 10 sccm˜5000 sccm 0 sccm˜1000 sccm
    Pressure 300 Pa˜1000 Pa 300 Pa˜1000 Pa
    Primary RF Power 100 W˜2000 W 100 W˜2000 W
    Secondary RF Power 10 W˜500 W 10 W˜500 W
    Substrate Temperature 200° C.˜400° C. 200° C.˜400° C.
  • TABLE 2
    Preferred Range
    Parameter Step 1 Step 2
    Tetra-methylsilane (TMS) flow rate 100 sccm˜700 sccm 0 sccm˜300 sccm
    Helium (He) flow rate 100 sccm˜3000 sccm 100 sccm˜5000 sccm
    Oxygen (O2) flow rate 20 sccm˜1000 sccm 0 sccm˜500 sccm
    Pressure 300 Pa˜1000 Pa 300 Pa˜1000 Pa
    Primary RF Power 100 W˜1000 W 100 W˜1000 W
    Secondary RF Power 20 W˜300 W 20 W˜300 W
    Substrate Temperature 250° C.˜350° C. 250° C.˜350° C.
  • TABLE 3
    More Preferred Range
    Parameter Step 1 Step 2
    Tetra-methylsilane (TMS) flow rate 100 sccm˜500 sccm 0 sccm˜100 sccm
    Helium (He) flow rate 100 sccm˜1000 sccm 100 sccm˜2500 sccm
    Oxygen (O2) flow rate 20 sccm˜500 sccm 0 sccm˜250 sccm
    Pressure 300 Pa˜800 Pa 300 Pa˜800 Pa
    Primary RF Power 350 W˜500 W 350 W˜500 W
    Secondary RF Power 50 W˜150 W 50 W˜150 W
    Substrate Temperature 300° C.˜350° C. 300° C.˜350 ° C.
  • Silicon Carbide Film Forming Conditions/Sequence
  • To deposit silicon carbide layer on 200 mm wafer, a reactive gas source such as tetra-methylsilane (TMS) is introduced into the reaction zone. Oxygen is used as an oxygen source. Helium is used as an inert gas. See TABLES 1 through 3 for gas flow rates. The chamber is maintained preferably at about 300 to 1000 Pa, more preferably maintained at about 300 Pa to 800 Pa. A mixed frequency of 27.12 MHz and 400 kHz RF power source preferably delivers at least about 100 Watts to 2000 Watts and at least about 10 Watts to 500 Watts respectively. More preferably 27.12 MHz RF power of 400 W and 4000 kHz RF power of 100 W is applied for forming films.
  • Silicon carbide film deposition steps are divided into 2 steps. First, basic film is formed on the substrate by flowing TMS, O2, He and applying RF power as shown in FIG. 3 (TMS=300 sccm; O2=100 sccm; He=400 sccm; 27.12 MHz at 400W; 400 kHz at 100W; substrate temperature=340° C.; chamber pressure=733 Pa).
  • Second, an active plasma treatment step is performed. After the basic film formation step, second film formation is carried out continuously. In this step, Helium flow is increased while TMS and O2 flow is decreased without changing plasma discharge. One has to consider that the film formation is continued during the active plasma treatment (TMS=Ramp down to 0 sccm; O2=Ramp down to 0 sccm; He=Ramp up to 2.5 slm; 27.12 MHz at 400W; 400 kHz at 100W; substrate temperature=340° C.; chamber pressure=733 Pa).
  • The basic film properties of the silicon carbide film deposited using the above steps and conditions are shown in TABLE 4.
  • A silicon carbide film deposited by the basic film forming step alone is not stable, its film stress and dielectric constant changes when exposed to air at room temperature. This is due to the oxidation of the surface layer. The method of minimizing the oxidation of carbon containing films, such as SiC is published in United States Patent Application Publication 2002/054962; however, no changes/improvements to the film properties are observed. Furthermore, when annealing is performed at 400° C. under nitrogen atmosphere for 10 hours, a drastic change in the film stress is observed. The change in the stress is about 400 MPa, which consequently implies to a poor thermal stress stability behavior.
  • When an active plasma treatment in this invention is performed on the silicon carbide films, the unstable phenomena of the film stress and dielectric constant are solved. Also the dielectric constant and leakage current is decreased. Furthermore, after annealing at 400° C. under nitrogen atmosphere for 10 hours, almost no changes to the film properties are observed. It is presumed that the silicon carbide film deposited according to the embodiments described above has a good thermal stress behavior.
  • The silicon carbide films deposited by the PECVD process described herein have significantly lower dielectric constant and lower leakage current in comparison to the conventional silicon carbide films. Furthermore, the silicon carbide film deposited according to the as described above has a mechanical properties such as high elastic modulus and high hardness. The silicon carbide films can be deposited without a mixture of low and high frequency. However, the preferred mixture of high and low radio frequency corrects adverse film properties caused by the bombardment of the silicon carbide film with molecules of inert gas. Increasing the ratio of Si—C bonds in the film provides greater hardness and high elastic modulus in the silicon carbide film.
  • The following example illustrates a dual damascene structure in which a silicon carbide layer deposited according to the present invention can be used. FIGS. 2 a-2 j show a dual damascene structure in which a silicon carbide layer deposited according to the present invention can be used. A copper (Cu) layer (31) is first covered with a silicon carbide layer (32). Since the silicon carbide layer (32) according to this embodiment has low oxygen content with low leakage current, low dielectric constant with high elastic modulus and high hardness, it is suggested to be the most suitable material to use as a copper diffusion barrier layer. Before depositing the silicon carbide layer (32), the copper surface can be improved by removing any copper oxide that may be remaining on the surface. Typically a hydrogen (H2) or an ammonia (NH3) or methane (CH4) plasma based reduction is used before the deposition of silicon carbide layer (32). This copper surface reduction to remove CMP residue can be performed in a PECVD chamber.
  • After the deposition of silicon carbide layer (32), a photo resist (33) is coated as shown in FIG. 2 b. To form via holes and trenches, any suitable methods can be employed. The following is an example:
  • A via hole (35) is formed by etching. The process of forming a via hole is stated as follows: First a photo resist (33) is removed (34) as shown in FIG. 2 c. Next, a via etching is commenced through the silicon carbide layer (32) as shown in FIG. 2 d. Finally, The silicon carbide breakthrough step is performed to expose the underlying copper layer.
  • After via realization, trench patterning commences. After via etch and cleans are performed as shown in FIG. 2 e, the wafer is coated with Sacrificial Light Absorbing Material (SLAM) and patterned with trench photo resist (not shown). Post trench etch, SLAM remains at the bottom of the vias and on top of the wafer (not shown). SLAM is removed from everywhere on the wafers with high selectivity to the silicon carbide during the trench etch clean step as shown in FIG. 2 f.
  • A copper barrier layer such as TaN or TiN (37), is formed inside the via hole as shown in FIG. 2 g. A copper seed layer (38) is further deposited by PVD, or the like. Thereafter copper (39) is then deposited in the hole (36) by electric plating or the like. By CMP or the like, copper barrier layer (37), copper (38), resist (33), and sacrificial amount of silicon carbide layer are removed so that the surface (40) is exposed. A silicon carbide layer can also be deposited as a passivation layer (not shown) for protecting the device from scratching.
  • The dielectric constant and leakage current at 1 MV/cm of conventional silicon carbide barrier layer is approximately 5 and 5×10−7 A/cm2 when compared to that of approximately 2.8 and 5×10−10 A/cm2 respectively in a silicon carbide barrier layer fabricated as described herein.
  • Furthermore, silicon carbide film according to the present invention is mechanically strong such as has high elastic modulus and hardness of approximately >10 G Pa and >2 G Pa respectively when compared to the other low-k films typically made of, for example inorganic materials such as fluorosilicate (FSG), hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ), and others like the same.
  • The advantage of the present invention is that this silicon carbide layer has improved electrical properties, including: (1) a higher breakdown voltage, (2) lower leakage currents, and (3) both greater film stability, and improved mechanical properties in terms of hardness. Moreover, the silicon carbide according to this present invention displays a dielectric constant that is less than 3.0, which improves the electrical performance of devices.
  • Thus, using a conventional silicon carbide barrier layer, as opposed to a silicon carbide layer fabricated as described herein, at an electric field of 2 MV/cm, maintains the same effectiveness in preventing the copper diffusion. Furthermore, the resulting silicon carbide layer has a relatively low dielectric constant, typically around less than 3.0, depending on the mixture and ratio of low frequency to the total frequency generated during deposition, and also depending on the ratio of gases used to form the silicon carbide.
  • The film formation was conducted according the deposition conditions shown in Table 4 below.
    TABLE 4
    Parameter Step 1 Step 2
    Tetra-methylsilane (TMS) flow rate 300 Ramp down to 0 sccm
    (sccm)
    Helium (He) flow rate (sccm) 400 Ramp up to 2500 sccm
    Oxygen (O2) (sccm) 100 Ramp down to 0 sccm
    Pressure (Pa) 733 733
    Low RF Power (W) 100 100
    High RF Power (W) 400 400
    Substrate Temperature (° C.) 340 340
  • An as-deposited silicon carbide layer has a dielectric constant and leakage current at 1 MV/cm less than about 3.0 and 5×10−10 A/cm2, respectively, making it suitable for use as an insulating material in integrated circuits. The details of the film properties such as dielectric constant, leakage current and film stress of the silicon carbide layer deposited according to the deposition conditions shown in Table 5. The dielectric constant of the silicon carbide layer is tunable, in that it can be varied as a function of the ratio of the mixed frequency RF powers. In particular, as the ratio of the low frequency RF power to the total mixed powers decreases, the dielectric constant of the silicon carbide layer also decreases.
  • The dielectric constant of the silicon carbide layer can also be tuned as a function of the composition of the gas mixture during layer formation. As the carbon concentration in the gas mixture increases, the carbon content of the as-deposited silicon carbide layer increases, making the silicon carbide film less dense and dielectric constant decrease. Also, as the carbon concentration of the as deposited silicon carbide layer increases, the hydrophobic properties thereof increases making such layers suitable for use as moisture barriers in integrated circuits.
  • In addition, the as-deposited silicon carbide layer has low oxygen content. Thermal anneal test was used to check the barrier capability of the silicon carbide layer. Thermal penetration of the copper atom into the silicon carbide film was measured by secondary ion mass spectroscopy (SIMS). The copper penetration depth of the silicon carbide layer was less than 18 nm that indicates that the thermal diffusion of copper can be blocked effectively. It indicates that such a low oxygen content silicon carbide layer minimizes metal diffusion and improves the barrier layer properties. For example, the as-deposited silicon carbide layer has a current blocking ability at 1 MV/cm that is less than that about 1×10−8 A/cm2, which is suitable for minimizing cross-talk between integrated circuit interconnect structures.
    TABLE 5
    Dielectric Leakage current at Elastic
    Film type constant 1 MV/cm Modulus Hardness
    SiCO 2.8 ± 0.1 <5 × 10−10 15 GPa 2.2 GPa

Claims (34)

1. (canceled)
2. The method of claim 34, wherein:
the high frequency RF power has a frequency between about 13 MHz and about 30 MHz, and has a power between about 200 watts and about 1000 watts; and
the low frequency RF power has a frequency between about 100 kHz and about 500 kHz, and has a power between about 50 watts and 500 watts.
3. The method of claim 34, wherein a ratio of the low frequency RF power to a total RF power is less than about 0.5.
4. The method of claim 34, wherein the average power at the electrode surface is substantially constant.
5. The method of claim 34, wherein the silicon and carbon source gas is one of the following: tri-methylsilane, tetra-methylsilane, or divinyl-dimethylsilane.
6. The method of claim 34, wherein the inert gas is one of the following: helium, argon or krypton.
7. The method of claim 34, wherein the oxygen source in either one of the following or both: Oxygen (O2) or Carbon dioxide (CO2).
8. The method of claim 34, wherein the ratio of the silicon and carbon source gas to the inert gas is between about 1:1 and about 1:15.
9. The method of claim 34, wherein the silicon and carbon source gas is provided into the reaction zone at a rate between about 200 sccm and about 500 sccm.
10. The method of claim 34, wherein the substrate is heated to a temperature between about 200° C. and about 400° C.
11. The method of claim 10, wherein the substrate is heated to a temperature between about 320° C. and about 350° C.
12. The method of claim 34, wherein the reaction zone is maintained at a pressure between about 300 Pa and about 1000 Pa.
13. The method of claim 34, wherein the reaction zone is maintained at a pressure between about 500 Pa and about 800 Pa.
14. The method of claim 34, wherein the silicon source and the carbon source are TMS, the oxygen source is O2, and the inert gas is He
15. The method of claim 14, where the film formation is continued during the active plasma treatment step.
16. The method of claim 14, wherein the He flow during active plasma treatment steps is increased to a rate of about 1500 sccm to about 3000 sccm.
17. The method of claim 14, wherein the O2 during active plasma treatment step is decreased to a rate of about 50 sccm to 0 sccm.
18. The method of claim 14, wherein the TMS flow during active plasma treatment step is decreased to a rate of about 100 to 0 sccm.
19. The method of claim 14, wherein the He, TMS and O2 during active plasma treatment is increased, decreased and decreased respectively without changing the plasma discharge.
20. The method of claim 14, where a ratio of the low frequency RF power to the total RF power during active plasma treatment step is substantially the same as during the basic film forming step which is less than that of 0.5.
21. The method of claim 14, wherein the pressure during active plasma treatment step is substantially the same as that during the basic film forming step which is maintained at a pressure between about 500 Pa to about 800 Pa.
22. The method of claim 14, wherein the silicon carbide layer is oxygen-doped, and wherein the oxygen-doped silicon carbide layer has a dielectric constant less than about 3.0.
23. The method of claim 14, wherein the silicon carbide layer has a leakage current of less than 5×10−10 A/cm2 at an electric field of 1 MV/cm.
24. The method of claim 14, wherein the silicon carbide layer is mechanically strong such as has high elastic modulus and hardness of approximately >10G Pa and >2G Pa respectively when compared to the other low-k films such as fluorosilicate (FSG), hydrogen silisesquioxane (HSQ), methyl silsesquioxane (MSQ), and others like the same.
25. The method of claim 14, where the silicon carbide layer has improved electrical properties by the active plasma step, including:
i) higher breakdown voltage,
ii) lower leakage current and
iii) greater film stability.
26. The method of claim 14, wherein the silicon carbide layer minimizes metal diffusion and improves the barrier layer properties.
27. The method of claim 14, wherein the dielectric constant of the silicon carbide layer in tunable, in that it can be varied as a function of the ratio of the mixed frequency RF powers.
28. The method of claim 14, wherein the dielectric constant of the silicon carbide can be tuned as a function of the composition of the gas mixture during film formation.
29. The method according to claim 14, wherein the film is a copper diffusion barrier layer.
30. The method according to claim 14, wherein the film is a low-k film.
31. A method of manufacturing on a semiconductor substrate a structure containing a film in contact with a copper layer, comprising the steps of:
i) forming a silicon carbide layer on a semiconductor substrate by plasma reaction according to a method comprising:
(a) providing a silicon source carbon source and oxygen source and an inert gas into a reaction zone including the substrate;
(b) applying low and high frequency RF energy to the reaction zone thereby depositing a silicon carbide film on the substrate; and
(c) continuously activating a plasma in the reaction zone by increasing flow of the inert gas while decreasing flow of the silicon source, carbon source and oxygen source while maintaining the RF energy, thereby reducing a dielectric constant of the silicon carbide film;
ii) forming a via in the silicon carbide layer to expose a portion of the copper layer;
iii) forming a trench in the silicon carbide layer above the via hole, the trench being used to accommodate a metal wiring;
iv) depositing copper in the hole; and
v) removing the excess of the copper and resist on top of the silicon carbide layer.
32. The method according to claim 31, wherein in step (ii) the hole is produced by forming a resist on top of the silicon carbide layer and forming a via hole and trench by etching the silicon carbide layer using the resist, and in step (v) by CMP or the like, the resist and the excess copper are removed so that a surface is exposed.
33. The method according to claim 31, wherein steps (i) through (iv) are repeated at least once.
34. A method for depositing a silicon carbide layer onto a substrate, comprising:
(a) providing a silicon source, carbon source, and oxygen source, and an inert gas into a reaction zone including the substrate;
(b) applying low and high frequency RF energy to the reaction zone, thereby depositing a silicon carbide film on the substrate; and
(c) continuously activating a plasma in the reaction zone by increasing flow of the inert gas while decreasing flow of the silicon source, carbon source, and oxygen source, while maintaining the RF energy, thereby reducing a dielectric constant of the silicon carbide film.
US10/643,200 2003-08-18 2003-08-18 Method of forming low-k films Expired - Lifetime US6849561B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/643,200 US6849561B1 (en) 2003-08-18 2003-08-18 Method of forming low-k films
KR1020040062084A KR20050020612A (en) 2003-08-18 2004-08-06 Method of forming low-k films
JP2004235463A JP4566651B2 (en) 2003-08-18 2004-08-12 Method for forming a low relative dielectric constant film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/643,200 US6849561B1 (en) 2003-08-18 2003-08-18 Method of forming low-k films

Publications (2)

Publication Number Publication Date
US6849561B1 US6849561B1 (en) 2005-02-01
US20050042883A1 true US20050042883A1 (en) 2005-02-24

Family

ID=34080754

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/643,200 Expired - Lifetime US6849561B1 (en) 2003-08-18 2003-08-18 Method of forming low-k films

Country Status (3)

Country Link
US (1) US6849561B1 (en)
JP (1) JP4566651B2 (en)
KR (1) KR20050020612A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090132189A1 (en) * 2006-06-16 2009-05-21 Shannon Steven C Method for determining plasma characteristics
CN102870199A (en) * 2010-04-30 2013-01-09 应用材料公司 Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN105866638A (en) * 2016-04-08 2016-08-17 重庆大学 City network cable joint insulation state online monitoring apparatus early warning apparatus and method
CN111118475A (en) * 2020-01-15 2020-05-08 中国科学院半导体研究所 High-temperature device and method for growth and post-treatment of silicon carbide material

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
JP2006294671A (en) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc Manufacturing method of low-permittivity silicon carbide film
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP5040162B2 (en) * 2006-04-27 2012-10-03 東ソー株式会社 Si-containing film forming material comprising alkenyl group-containing organosilane compound and use thereof
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
KR101312292B1 (en) * 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 Device of preventing substrate of plasma processing apparatus from breakdown and method of thereof
US7939911B2 (en) * 2008-08-14 2011-05-10 International Business Machines Corporation Back-end-of-line resistive semiconductor structures
US7977201B2 (en) * 2008-08-14 2011-07-12 International Business Machines Corporation Methods for forming back-end-of-line resistive semiconductor structures
KR20100128479A (en) * 2009-05-28 2010-12-08 진중 김 Continuous manufacturing system for metal film and compound semi-conductor light absorption film
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
TWI693295B (en) * 2015-02-06 2020-05-11 美商諾發系統有限公司 Conformal deposition of silicon carbide films
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN104947049A (en) * 2015-05-14 2015-09-30 宁波时代全芯科技有限公司 Coating device
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN111235633A (en) * 2020-01-16 2020-06-05 中国科学院半导体研究所 Method for preparing self-supporting silicon carbide wafer on surface of silicon melt through CVD
CN116904959A (en) * 2023-07-13 2023-10-20 淮安捷泰新能源科技有限公司 Preparation method of silicon carbide film

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US20010030369A1 (en) * 2000-01-19 2001-10-18 Macneil John Methods and apparatus for forming a film on s substrate
US20010031563A1 (en) * 2000-02-28 2001-10-18 Canon Sales Co., Inc. Semiconductor device and method of fabricating the same
US20010051445A1 (en) * 2000-05-18 2001-12-13 Canon Sales Co., Inc.And Semiconductor Process Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US20020027286A1 (en) * 1999-09-30 2002-03-07 Srinivasan Sundararajan Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6589888B2 (en) * 2000-09-12 2003-07-08 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US20030129827A1 (en) * 2001-12-14 2003-07-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20040126929A1 (en) * 2002-12-30 2004-07-01 Novellus Systems, Inc. Silicon carbide having low dielectric constant

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2506539B2 (en) * 1992-02-27 1996-06-12 株式会社ジーティシー Method of forming insulating film
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
CN1524291A (en) * 2001-01-03 2004-08-25 Metal ion diffusion barrier layers
JP4018432B2 (en) * 2002-04-12 2007-12-05 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP4066332B2 (en) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 Method for manufacturing silicon carbide film

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6668752B2 (en) * 1996-10-24 2003-12-30 Applied Materials Inc Mixed frequency RF generator coupled to the gas distribution system
US20020027286A1 (en) * 1999-09-30 2002-03-07 Srinivasan Sundararajan Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US20010030369A1 (en) * 2000-01-19 2001-10-18 Macneil John Methods and apparatus for forming a film on s substrate
US20010031563A1 (en) * 2000-02-28 2001-10-18 Canon Sales Co., Inc. Semiconductor device and method of fabricating the same
US20010051445A1 (en) * 2000-05-18 2001-12-13 Canon Sales Co., Inc.And Semiconductor Process Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6589888B2 (en) * 2000-09-12 2003-07-08 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US20030129827A1 (en) * 2001-12-14 2003-07-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20040126929A1 (en) * 2002-12-30 2004-07-01 Novellus Systems, Inc. Silicon carbide having low dielectric constant

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090132189A1 (en) * 2006-06-16 2009-05-21 Shannon Steven C Method for determining plasma characteristics
CN102870199A (en) * 2010-04-30 2013-01-09 应用材料公司 Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN105866638A (en) * 2016-04-08 2016-08-17 重庆大学 City network cable joint insulation state online monitoring apparatus early warning apparatus and method
CN111118475A (en) * 2020-01-15 2020-05-08 中国科学院半导体研究所 High-temperature device and method for growth and post-treatment of silicon carbide material

Also Published As

Publication number Publication date
JP4566651B2 (en) 2010-10-20
US6849561B1 (en) 2005-02-01
KR20050020612A (en) 2005-03-04
JP2005064518A (en) 2005-03-10

Similar Documents

Publication Publication Date Title
US6849561B1 (en) Method of forming low-k films
US7138332B2 (en) Method of forming silicon carbide films
US7238393B2 (en) Method of forming silicon carbide films
US7001850B2 (en) Method of depositing dielectric films
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6991959B2 (en) Method of manufacturing silicon carbide film
US6136680A (en) Methods to improve copper-fluorinated silica glass interconnects
US7271093B2 (en) Low-carbon-doped silicon oxide film and damascene structure using same
US8669181B1 (en) Diffusion barrier and etch stop films
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US6632735B2 (en) Method of depositing low dielectric constant carbon doped silicon oxide
US6531398B1 (en) Method of depositing organosillicate layers
US6750141B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
US20100291321A1 (en) Dielectric Barrier Deposition Using Nitrogen Containing Precursor
EP1186685A2 (en) Method for forming silicon carbide films
US20090176367A1 (en) OPTIMIZED SiCN CAPPING LAYER
US7091133B2 (en) Two-step formation of etch stop layer
US20020142104A1 (en) Plasma treatment of organosilicate layers
US20070155186A1 (en) OPTIMIZED SiCN CAPPING LAYER
US6511920B2 (en) Optical marker layer for etch endpoint determination

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM JAPAN K.K., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GOUNDAR, KAMAL KISHORE;REEL/FRAME:014411/0060

Effective date: 20030731

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12