US20050037193A1 - Clean, dense yttrium oxide coating protecting semiconductor processing apparatus - Google Patents

Clean, dense yttrium oxide coating protecting semiconductor processing apparatus Download PDF

Info

Publication number
US20050037193A1
US20050037193A1 US10/898,113 US89811304A US2005037193A1 US 20050037193 A1 US20050037193 A1 US 20050037193A1 US 89811304 A US89811304 A US 89811304A US 2005037193 A1 US2005037193 A1 US 2005037193A1
Authority
US
United States
Prior art keywords
coating
accordance
plasma
substrate
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/898,113
Other versions
US8067067B2 (en
Inventor
Jennifer Sun
Senh Thach
Jim Dempster
Li Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/075,967 external-priority patent/US6776873B1/en
Priority to US10/898,113 priority Critical patent/US8067067B2/en
Application filed by Individual filed Critical Individual
Priority to US10/918,232 priority patent/US7479304B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUN, JENNIFER Y., THACH, SENH, DEMPSTER, JIM, XU, LI
Publication of US20050037193A1 publication Critical patent/US20050037193A1/en
Priority to US11/592,905 priority patent/US7846264B2/en
Priority to US11/595,484 priority patent/US20070134416A1/en
Priority to US11/890,221 priority patent/US20080213496A1/en
Priority to US12/284,540 priority patent/US8016948B2/en
Priority to US12/290,437 priority patent/US20090087615A1/en
Priority to US12/925,271 priority patent/US20110036874A1/en
Publication of US8067067B2 publication Critical patent/US8067067B2/en
Application granted granted Critical
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Definitions

  • the present invention relates to equipment and apparatus used in the manufacture of electronic devices including semiconductor devices, MEM devices, and other devices used in data manipulation, storage, and display, for example and not by way of limitation.
  • the invention pertains to the use of yttrium oxide-comprising protective layers which are applied to a surface of apparatus, such as process chamber liners, gas feed plates, substrate support pedestals, and electrostatic chucks, valves, and similar components which are likely to be exposed to a corrosive environment.
  • the presence of the protective layer protects an underlying material, which makes up a portion of the body, from the corrosive environment.
  • Corrosion (including erosion) resistance is a critical property for components used in processing chambers where corrosive environments are present. This is especiaOlly true where high-energy plasma is present and electrical driving force may be combined with chemical driving force to act upon the surface of components present in the environment.
  • Process chambers and component apparatus present within processing chambers which are used in the fabrication of electronic devices and MEMS are frequently constructed from aluminum and aluminum alloys. Surfaces of a process chamber and component apparatus present within the chamber are frequently anodized to provide a degree of protection from the corrosive environment. However, the integrity of the anodization layer may be deteriorated by impurities in the aluminum or aluminum alloy, so that corrosion begins to occur early, shortening the life span of the protective coating. Ceramic materials of various compositions have been used in place of the aluminum oxide layer mentioned above, and have been used over the surface of the anodized layer to improve the protection of the underlying aluminum-based materials.
  • the protective layer continues to be deteriorated by impurities in the aluminum or aluminum alloy, even though the life span of the protective layer is extended. More recently, high purity aluminum alloy materials have been developed which reduce the tendency of the protective layer or layers to fail. However, the high purity aluminum alloy materials tend to be expensive.
  • Yttrium oxide is a ceramic material which has shown considerable promise in the protection of aluminum and aluminum alloy surfaces which are exposed to fluorine-containing plasmas of the kind used in the fabrication of semiconductor devices.
  • U.S. application Ser. No. 10/075,967 the parent application of the present continuation-in part application, it is disclosed that a yttrium oxide coating applied over an anodized surface of a high purity aluminum alloy process chamber surface or process component surface produces excellent corrosion protection.
  • the evaluation of pure ceramic materials used as apparatus components has illustrated that a long lifetime for the component may be achieved.
  • a protective layer or coating which can protect the more standard high temperature aluminum-based materials, such as those in the 2000 series and the 5000 through 7000 series.
  • Japanese Patent Application No. HEI 3[1991]-287797 discloses a corrosion-resistant ceramic film useful in protecting electric wires or component materials fabricated from aluminum or aluminum alloy from corrosive vapors or low melting point metals and highly corrosive inorganic halides and organic metal compounds.
  • the corrosion-resistant ceramic film is formed by first producing an oxidized film formed by the anodic oxidation of the surface of aluminum or an aluminum alloy.
  • the oxidized film is submersed in a solution to provide impregnation with at least one type of ion selected from the group consisting of chromium ions, yttrium ions, zirconium ions, and magnesium ions.
  • the corrosion-resistant protective film surrounding an electric wire is produced by firing in an oxygen gas stream at 500° C.
  • the particular example illustrating the concept is a coating on pure aluminum wire, where the ions in the anodized film are created using chromium trioxide aqueous solution.
  • the corrosion-resistant material described above is further submersed in a solution of a ceramic precursor consisting of a polymerizable organic metal compound, followed by heat treatment to form an outer insulation film of oxide ceramic.
  • a plasma processing chamber which includes a ceramic barrier material, preferably in the range of 130 ⁇ m to 250 ⁇ m thick, for protecting metallic walls of the process chamber from attack by the plasma.
  • the ceramic material is said to typically comprise aluminum oxide, although the oxide and fluoride forms of aluminum, magnesium, and tantalum are mentioned.
  • free-standing liners are described, protective ceramic layers which are deposited without consuming the underlying metal (aluminum) substrate are also described. For example, flame-sprayed or plasma-sprayed aluminum oxide is discussed.
  • the heated liner or chamber wall may be constructed from a “wide variety of materials, for example, ceramics, aluminum, steel, and/or quartz.
  • Aluminum is the preferred material because it is easy to machine.” However, since aluminum is reactive with a number of plasmas, it is recommended that “aluminum oxide or a coating thereof be disposed on the liner or chamber walls”, because aluminum oxide tends to be chemically inert.
  • a protective coating may be applied to the surfaces of the liner and/or chamber walls. Examples which are given include Al 2 O 3 , Sc 2 O 3 , or Y 2 O 3 .
  • U.S. Patent Application Publication No. US 2001/0003271A1 of Otsuki, published Jun. 14, 2001, describes a processing apparatus for semiconductor wafers, where the process may include a plasma, in which a film of Al 2 O 3 , or Al 2 O 3 and Y 2 O 3 , is formed on an inner wall surface of the chamber and on those exposed surfaces of the members within the chamber which require a high corrosion resistance and insulating property.
  • a base material of the chamber may be a ceramic material (Al 2 O 3 , SiO 2 , AlN, etc.), aluminum, or stainless steel, metal or metal alloy, which has a sprayed film over the base material.
  • the sprayed film may contain an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or fluoride of one of these metals.
  • the film may be made of a compound of a III-a element of the periodic table, such as Y 2 O 3
  • the film may substantially comprise Al 203 and Y 2 O 3 .
  • a sprayed film of yttrium-aluminum-garnet (YAG) is also mentioned.
  • the sprayed film thickness is said to range from 50 ⁇ m to 300 ⁇ m. There is no description of the manner in which the sprayed film is applied. There is no description of the condition of the interface between the base material and the film.
  • metal impurity concentrations in the sprayed film or loose particles which may be present on the film surface. This is important because the condition of the interface between the base material and the sprayed film will have a significant effect on the lifetime of the process chamber. The metal impurity content of the sprayed film and the loose particles present on the film surface will have a significant effect on the product yield for product produced in the process chamber, as will be addressed by applicants during the description of their invention.
  • a ceramic composition of matter used to produce a process kit and a dielectric window preferably contains a ceramic compound (e.g., Al 2 O 3 ) and an oxide of a Group 111 B metal (e.g., Y 2 O 3 ).
  • the ceramic compound may be selected from silicon carbide, silicon nitride, boron carbide, boron nitride, aluminum nitride, aluminum oxide, and mixtures thereof; however, aluminum oxide is said to be available in a pure form which does not outgas.
  • the Group IIIB metal may be selected from the group consisting of scandium, yttrium, the cerium subgroup, and the yttrium subgroup; however, yttrium is preferred, with the oxide being yttrium oxide.
  • the preferred process for forming or producing the dielectric member is by thermal processing of a powdered raw mixture comprising the ceramic compound, the oxide of a Group IIIB metal, a suitable additive agent, and a suitable binder agent.
  • an aluminum alloy of the 2000 series or the 5000 through 7000 Series where the aluminum alloy is protected by a plasma-resistant coating containing an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG).
  • An aluminum alloy coated with the coating of the invention applied by the method of the invention shows excellent plasma corrosion-resistance over a lifetime which is extended at least two times, and as much as four times, over the lifetime of a Y 2 O 3 coated aluminum alloy of the kind previously known in the art.
  • Porosity is an indicator of the density of the coating, i.e., the less porous the coating, the more dense the coating. Porosity is expressed as the percentage of open space in the total volume of the coating.
  • Yttrium oxide coatings which have been applied according to the present method have a porosity of about 1.4%.
  • yttrium oxide coatings are applied according to the present method under conditions which result in a yttrium oxide film having a compressive stress which is sufficient to provide a yttrium oxide film porosity of about 1.5% or less.
  • yttrium oxide coatings which were deposited using prior art methods typically have porosities within the range of about 3% to about 5%.
  • the upper surface of the aluminum alloy substrate To place the applied coating/film in compression, it is necessary to heat, at least to a nominal depth, the upper surface of the aluminum alloy substrate during application of the coating/film, so that upon cooling of the interfacial surface between the substrate and the coating, the coating is placed in compression by the contracting aluminum alloy.
  • the upper surface of the aluminum alloy should be preheated to a depth of at least 250 mils (0.25 inch), and to a temperature of at least about 150-200° C.
  • the entire substrate to which the coating is to be applied is preheated.
  • the upper surface of the aluminum alloy substrate to which the coating is applied is at a temperature of less than about 150-200° C., the coating will not be placed under adequate compression upon cooling to provide the desired corrosion resistance, and will not be sufficiently useful in acting to prevent particulates in the aluminum alloy substrate from migrating into the coating.
  • the film/coating may be applied using a number of different methods, such as thermal/flame spray, plasma discharge spray, sputtering, and chemical vapor deposition (CVD).
  • the structure of the coating obtained is different in each instance.
  • the coating is applied using sputtering or CVD, the application rate is much slower, and it may be advantageous to use the coating in combination with an underlying layer of aluminum oxide.
  • Plasma spray coating has provided excellent results.
  • the protective coating may contain an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG). Combinations of the oxides of such metals, and/or combinations of the metal oxides with aluminum oxide, may be used.
  • Y 2 O 3 in combination with a minority percentage of Al 2 O 3 may be used to improve thermal expansion compatibility of the coating with the underlying aluminum alloy substrate. This is important when the component of structure is exposed to the thermal cycling which often occurs with chemical processing apparatus (such as semiconductor processing apparatus).
  • the plasma sprayed coating may be applied over a bare aluminum alloy surface.
  • the aluminum alloy has a very thin film of native aluminum oxide on its surface, due to exposure of the aluminum surface to air. It is advantageous to apply the plasma sprayed coating over the bare aluminum alloy surface, or the surface exhibiting only a native oxide, as a better bond between the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG is achieved.
  • the plasma sprayed coating may also be applied over an aluminum oxide film which is intentionally created upon the aluminum alloy surface. Typically, the thickness of such an oxide coating is less than about 4 mils.
  • the plasma sprayed coating should be applied over such an intentionally created aluminum oxide film in order to better protect the underlying aluminum alloy from the corrosive chlorine plasma.
  • the thickness of the aluminum oxide film is typically within the range of about 0.5 mil to about 4 mils, and the temperature of the aluminum oxide film must be at least about 150-200° C. at the time of application of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG.
  • the temperature of the aluminum oxide film at the time of application of the protective coating should not exceed the glass transition temperature of the aluminum oxide.
  • the aluminum alloy surface is pre-roughened prior to anodization.
  • the aluminum alloy surface can be pre-roughened using a technique such as bead blasting or, preferably electrochemical etching, for example, and not by way of limitation.
  • the applied thickness of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG depends on the environment to which the aluminum alloy component or structure will be exposed during use. When the temperature to which the component or structure is exposed is lower, the thickness of the plasma sprayed coating can be increased without causing a coefficient of expansion problem. For example, when the component or structure may be exposed to thermal cycling between about 15° C. and about 120° C., and the protective coating is yttria (which has been plasma sprayed over an aluminum alloy from the 5000 through 7000 series having a native oxide present on its surface), the thickness of the yttria coating should range between about 12 mils and about 20 mils.
  • a yttria coating having a thickness of about 15 mils provides excellent results.
  • a thinner coating down to about 10 mils thickness may be used in combination with an anodized aluminum oxide coating, or other aluminum oxide coating having a thickness in the 0.5 mil to 4 mils range.
  • the protective, plasma-resistant coating by plasma spraying has produced excellent results.
  • plasma spraying to further improve the performance of the protective, plasma-resistant coating, it is advantageous to clean the coating after application to the substrate.
  • the cleaning process removes trace metal impurities which may cause problems during semiconductor proce0ssing, and also removes loose particles from the surface of the coating which are likely to become contaminating particulates during the processing of product adjacent to the coated surface, especially when that product is a semiconductor device.
  • the cleaning process should remove undesired contaminants and deposition process by-products without affecting the performance capability of the protective coating, and without harming the underlying aluminum alloy surface.
  • the coating is first saturated with an inert solvent which does not harm the aluminum alloy upon contact.
  • the coated substrate is immersed in a deionized water ultrasonic bath at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 5 minutes to about 30 minutes. Subsequently, a chemically active solvent is applied to remove contaminants from the protective coating.
  • the surface of the coated substrate may be wiped with a soft wipe which has been wetted with a dilute acid solution for a period of about 3 minutes to about 15 minutes.
  • a dilute acid solution comprises about 0.1 to about 5 volume % HF (more typically, about 1 to about 5 volume %); about 1 to about 15 volume % HNO 3 (more typically, about 5 to about 15 volume %); and about 80 to about 99 volume % deionized water.
  • the component is then rinsed with deionized water, followed by immersion in a deionized water ultrasonic bath at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 30 minutes to about 2 hours (typically, for a period of about 40 minutes to about 1 hour).
  • a deionized water ultrasonic bath at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 30 minutes to about 2 hours (typically, for a period of about 40 minutes to about 1 hour).
  • the step of wiping the coated component with the dilute HF solution provides fluorination to the coating surface. Fluorination of the coating surface results in a robust, stable coating which is inert to reactive plasmas. Fluorination of the coating surface can also be obtained by exposing the coated surface to a plasma containing fluorine species, such as a CF 4 plasma or a CHF 3 /CF 4 plasma having a plasma density within the range of about 1 ⁇ 10 9 e ⁇ /cm 3 to about 1 ⁇ 10 9 e ⁇ /cm 3 , under conditions which provide a coating surface which is at least partially fluorinated.
  • a plasma containing fluorine species such as a CF 4 plasma or a CHF 3 /CF 4 plasma having a plasma density within the range of about 1 ⁇ 10 9 e ⁇ /cm 3 to about 1 ⁇ 10 9 e ⁇ /cm 3
  • a protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG, which is under compression in accordance with the present invention, permits the drilling of patterns such as attachment openings through the protective coating and underlying aluminum alloy substrate, with less harm to both the protective coating and the interface between the protective coating and the underlying substrate.
  • Laser drilling may be used to form round through-holes.
  • Ultrasonic drilling provides excellent results when drilling through-holes of more complicated shapes (such as crescent shapes).
  • the ultrasonic drilling can be carried out either from the coating surface side or the aluminum alloy substrate side of the component or substrate.
  • the coated substrate may be cleaned after ultrasonic drilling according to the multiple step cleaning process described above.
  • the best results are achieved when a thin sacrificial layer of a flexible, polymeric material is applied or when a more rigid material having a similar thermal coefficient of linear expansion to that of the protective coating is applied over the protective coating surface.
  • the sacrificial layer is typically applied to have a thickness within the range of about 4 mils to about 6 mils.
  • FIG. 1 is a graph 100 which illustrates the relative erosion rates 102 for various materials upon exposure of the materials to a CHF 3 /CF 4 plasma
  • FIG. 2 is a cross-sectional schematic 200 of a type of plasma spraying system which is useful in applying the coatings of the present invention.
  • FIGS. 3A-3C show schematics of photomicrograph views ( 300 , 310 , 320 ) of the upper surface of a Y 2 O 3 spray coated 6061 aluminum substrate, where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating.
  • the view is looking directly down at the substrate, at magnifications of 100 ⁇ , 500 ⁇ , and 1000 ⁇ ( FIGS. 3A, 3B , and 3 C, respectively).
  • FIGS. 3D-3F show schematics of photomicrograph views ( 330 , 340 , 350 ) of the upper surface of the same Y 2 O 3 spray coated 6061 aluminum substrate shown in FIGS. 3A-3C .
  • the view of the sample surface was tilted during image generation to show the topography in more detail, at magnifications of 200 ⁇ , 750 ⁇ , and 1500 ⁇ ( FIGS. 3D, 3E , and 3 F, respectively).
  • FIGS. 4A-4D show schematics of photomicrograph views ( 400 , 410 , 420 , 430 ) of a cross-section of a 6061aluminum substrate 402 which has been spray coated with a layer 404 of Y 2 O 3 , where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating.
  • FIGS. 4A-4C show the cross-section of the spray-coated substrate at magnifications of 100 ⁇ , 200 ⁇ , and 250 ⁇ , respectively.
  • FIG. 4D shows the interface between the Y 2 O 3 coating 404 and the underlying aluminum 402 in detail (2000 ⁇ magnification).
  • FIG. 5A is a graph 500 illustrating the weight loss of a Y 2 O 3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, and where the substrate surface was not preheated prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon.
  • the graph shows the weight loss 502 of the coupon as a function of the time 504 of the ultrasonification treatment.
  • FIG. 5B is a graph 510 illustrating the weight loss of a Y 2 O 3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, and where the substrate surface was preheated to a temperature of about 150-200° C. prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon.
  • the graph shows the weight loss 512 of the coupon as a function of the time 514 of the ultrasonification treatment.
  • FIGS. 6A-6D show schematic illustrations ( 610 , 620 , 630 , 640 ) of photomicrograph cross-sectional views of a hole 600 which has been ultrasonically drilled from the coating side of an aluminum test coupon 602 which has been spray coated with a layer 604 of Y 2 O 3 , where the spray coating was applied to a thickness of about 250 ⁇ m, and where the aluminum substrate 602 was preheated to a temperature of 150-200° C. at the time the coating 604 was applied.
  • FIGS. 6A and 6B show cross-sectional views of the hole 600 at magnifications of 35 ⁇ and 150 ⁇ .
  • FIGS. 6C and 6D show cross-sectional views of the left side 606 and right side 608 of hole 600 , at a magnification of 250 ⁇ .
  • FIGS. 7A-7C show schematic illustrations ( 700 , 710 , 720 , 730 , 740 , 750 , 760 ) of various photomicrograph top views of the hole 600 shown in FIGS. 6A-6D , at magnifications of 25 ⁇ ( FIG. 7A ), 50 ⁇ (FIGS. 7 B( 1 & 2 )), and 150 ⁇ (FIGS. 7 C( 1 - 4 )).
  • FIGS. 8A-8D show schematic illustrations ( 810 , 820 , 830 , 840 ) of photomicrograph cross-sectional views of a hole 800 which has been ultrasonically drilled from the substrate side of an aluminum test coupon 802 which has been spray coated with a layer 804 of Y 2 O 3 , where the spray coating was applied to a thickness of about 250 ⁇ m, and where the aluminum substrate 802 was preheated to a temperature of 150-200° C. at the time the coating 804 was applied.
  • FIGS. 8A and 8B show cross-sectional views of the hole 700 at magnifications of 35 ⁇ and 150 ⁇ .
  • FIGS. 8C and 8D show cross-sectional views of the left side 806 and right side 808 of hole 800 , at a magnification of 250 ⁇ .
  • FIGS. 9A-9C show schematic illustrations ( 900 , 910 , 920 , 930 , 940 , 950 , 960 ) of various photomicrograph top views of the hole 800 shown in FIGS. 8A-8D , at magnifications of 25 ⁇ ( FIG. 9A ), 50 ⁇ (FIGS. 9 B( 1 & 2 )), and 150 ⁇ (FIGS. 9 C( 1 - 4 )).
  • a method of applying a plasma-resistant coating on an aluminum or an aluminum alloy substrate is particularly useful for applying a plasma-resistant coating to a substrate which comprises an aluminum alloy of the 2000 series or the 5000 through 7000 Series. Because of its greater malleability, 2000 series aluminum is particularly preferred when fabricating parts and components having complex shapes.
  • the plasma-resistant coating comprises an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG).
  • An aluminum alloy coated with the coating of the invention applied by the method of the invention shows excellent plasma corrosion-resistance over a lifetime which is extended at least two times, and as much as four times, over the lifetime of a Y 2 O 3 coated aluminum alloy of the kind previously known in the art.
  • Aluminum alloys which have been coated with the plasma-resistant coating applied by the method of the invention are particularly useful as interiors of semiconductor processing chambers and as substrates of component apparatus within such a processing chambers, such as process chamber liners, gas feed plates, substrate support pedestals, and electrostatic chucks, valves, and similar components which are likely to be exposed to a corrosive environment.
  • Porosity is an indicator of the density of the coating, i.e., the less porous the coating, the more dense the coating. Porosity is expressed as the percentage of open space in the total volume of the coating.
  • Yttrium oxide coatings which have been applied according to the present method have a porosity of about 1.4%.
  • yttrium oxide coatings are applied according to the present method under conditions which result in a yttrium oxide film having a compressive stress which is sufficient to provide a yttrium oxide film porosity of about 1.5% or less.
  • yttrium oxide coatings which were deposited using prior art methods typically have porosities within the range of about 3% to about 5%.
  • the upper surface of the aluminum alloy substrate To place the applied coating/film in compression, it is necessary to heat, at least to a nominal depth, the upper surface of the aluminum alloy substrate during application of the coating/film, so that upon cooling of the interfacial surface between the substrate and the coating, the coating is placed in compression by the contracting aluminum alloy.
  • the upper surface of the aluminum alloy should be preheated to a depth of at least 250 mils (0.25 inch), and to a temperature of at least about 150-200° C.
  • the entire substrate to which the coating is to be applied is preheated.
  • the upper surface of the aluminum alloy substrate to which the coating is applied is at a temperature of less than about 150-200° C., the coating will not be placed under adequate compression upon cooling to provide the desired corrosion resistance, and will not be sufficiently useful in acting to prevent particulates in the aluminum alloy substrate from migrating into the coating.
  • the film/coating may be applied using a number of different methods, such as thermal/flame spray, plasma discharge spray, sputtering, and chemical vapor deposition (CVD).
  • the structure of the coating obtained is different in each instance.
  • the coating is applied using sputtering or CVD, the application rate is much slower, and it may be advantageous to use the coating in combination with an underlying layer of aluminum oxide.
  • Plasma spray coating has provided excellent results.
  • the protective coating may contain an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG). Combinations of the oxides of such metals, and/or combinations of the metal oxides with aluminum oxide, may be used.
  • Y 2 O 3 in combination with aminority percentage of Al 2 O 3 may be used to improve thermal expansion compatibility of the coating with the underlying aluminum alloy substrate. This is important when the component of structure is exposed to the thermal cycling which often occurs with chemical processing apparatus (such as semiconductor processing apparatus).
  • the plasma sprayed coating may be applied over a bare aluminum alloy surface.
  • the aluminum alloy has a very thin film of native aluminum oxide on its surface, due to exposure of the aluminum surface to air. It is advantageous to apply the plasma sprayed coating over the bare aluminum alloy surface, or the surface exhibiting only a native oxide, as a better bond between the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG is achieved.
  • the plasma-sprayed coating may also be applied over a ceramic surface such as an aluminum oxide film which is intentionally created upon the aluminum alloy surface. Typically, the thickness of such an aluminum oxide film is less than about 4 mils.
  • the plasma sprayed coating should be applied over such an intentionally created aluminum oxide film, in order to better protect the underlying aluminum alloy from the corrosive chlorine plasma.
  • the thickness of the aluminum oxide film is typically within the range of about 0.5 mil to about 4 mils, and the temperature of the aluminum oxide film must be at least about 150-200° C. at the time of application of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG.
  • the temperature of the aluminum oxide film at the time of application of the protective coating should not exceed the glass transition temperature of the aluminum oxide.
  • the aluminum alloy surface is pre-roughened prior to anodization.
  • the aluminum alloy surface can be pre-roughened using a technique such as bead blasting or, preferably electrochemical etching, for example, and not by way of limitation. Bead blasting techniques are well-known in the art.
  • the electrochemical roughening method disclosed in the '683 application includes the steps of immersing the aluminum-comprising surface in an aqueous HCl solution having a concentration ranging from about 1 volume % to about 5 volume % (typically ranging from about 1 volume % to about 3 volume %), at a temperature ranging from about 45° C. to about 80° C. (typically ranging from about 50° C. to about 70° C.), then applying an electrical charge having a charge density ranging from about 80 amps/ft. 2 to about 250 amps/ft. 2 (typically ranging from about 120 amps/ft. 2 to about 250 amps/ft.
  • the HCl solution may further include a chelating agent (such as, for example, but without limitation, gluconic acid, available from VWR Scientific Products, West Chester, Pa.), at a concentration of about 0.5 volume % to about 3 volume %, to control the bath chemistry and conductivity.
  • a chelating agent such as, for example, but without limitation, gluconic acid, available from VWR Scientific Products, West Chester, Pa.
  • FIG. 1 is a graph 100 which illustrates the relative erosion rates 102 for various materials upon exposure of the materials to a CHF 3 /CF 4 plasma.
  • the materials include quartz; polysilicon (“Poly-Si”); single crystal silicon; CVD-deposited silicon carbide (“CVD SiC”); anodized Al 2 O 3 , having a thickness of 3 mils; spray-coated Al 2 O 3 , having a thickness of 10 mils; bulk Al 2 O 3 ; spray-coated Y 2 O 3 ; and bulk Y 2 O 3 .
  • the process conditions for the erosion rate test provided in Table One were selected in order to mimic the process conditions to which semiconductor processing chamber surfaces are exposed over time during etch processing of actual semiconductor substrates (such as silicon wafers having various material layers deposited thereon).
  • the applied thickness of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG depends on the environment to which the aluminum alloy component or structure will be exposed during use. When the temperature to which the component or structure is exposed is lower, the thickness of the plasma sprayed coating can be increased without causing a coefficient of expansion problem. For example, when the component or structure may be exposed to thermal cycling between about 15° C. and about 120° C., and the protective coating is yttria (which has been plasma sprayed over an aluminum alloy from the 5000 through 7000 series having a native oxide present on its surface), the thickness of the yttria coating should range between about 12 mils and about 20 mils.
  • a yttria coating having a thickness of about 15 mils provides excellent results.
  • a thinner coating down to about 10 mils thickness may be used in combination with an anodized aluminum oxide coating or other aluminum oxide coating having a thickness ranging from about 0.5 mil to about 4 mils.
  • Plasma spraying is a surface processing technology in which a powdered material is melted, using the high thermal energy of hot plasma, and is blown against the surface of a substrate material to form a film.
  • the spray material is typically a metal, ceramic, or combination thereof.
  • Plasma spraying has significant advantages over other types of spray application techniques. For example, films having good adhesion to substrate materials can be obtained at fast processing speeds on relatively cold (100° C.-300° C.) substrate materials at atmospheric pressure.
  • FIG. 2 is a cross-sectional schematic 200 of a type of plasma spraying system (a twin anode alpha torch 238 ) which is useful in applying the coatings of the present invention.
  • the particular apparatus illustrated in FIG. 2 is an APS 7000 Series Aeroplasma Spraying System available from Aeroplasma K.K. (Tokyo, Japan).
  • the apparatus 200 includes the following components: first DC main electrode 202 ; first auxiliary electrode 204 ; first argon source 206 ; first air source 208 ; spray material powder source 210 ; cathode torch 212 ; accelerator nozzle 214 ; plasma arc 216 ; second DC main electrode 218 ; second auxiliary electrode 220 ; dual anode torches 222 A and 222 B; second argon source 226 ; second air sources (plasma trimming) 228 A and 228 B; third argon source 236 ; plasma jet 232 ; molten powder source 234 ; and a base material source 224 which is to be sprayed.
  • Twin anode ⁇ torch 238 consists of two anode torches, so that each of the anode torches bears half of the thermal load.
  • twin anode torch a 2308 a high voltage can be obtained with relatively low current, so that the thermal load on each of the torches will be low.
  • Each nozzle and electrode rod of the torches is water-cooled separately, and the arc starting point and ending point are protected by inert gas, so that stable operation at 200 hours or more is ensured, the service life of consumed parts is extended, and maintenance costs are reduced.
  • a high temperature arc is formed stably between the cathode torch 212 and the anode torch 222 , and spray material can be fed directly into the arc.
  • the spray material is completely melted by the high temperature arc column.
  • the arc starting and ending points are protected by inert gas, so that air or oxygen can be used for the plasma gas inroduced through the accelerator nozzle 214 .
  • a plasma trimming function 228 is used for twin anode ⁇ . Plasma trimming trims the heat of the plasma jet that does not contribute to melting of the spray material, and reduces the thermal load on the substrate material and film to making spraying at short distances possible.
  • the coating When plasma spraying is used to apply the coating, to further improve the performance of the protective, plasma-resistant coating, it is advantageous to clean the coating after application to the substrate.
  • the cleaning process removes trace metal impurities which may cause problems during semiconductor processing, and also removes loose particles from the surface of the coating which are likely to become contaminating particulates during the processing of product adjacent to the coated surface, especially when that product is a semiconductor device.
  • the cleaning process should remove undesired contaminants and deposition process by-products without affecting the performance capability of the protective coating, and without harming the underlying aluminum alloy surface.
  • the coating is first saturated with an inert solvent which does not harm the aluminum alloy upon contact. Subsequently, a chemically active solvent is applied to remove contaminants from the protective coating.
  • a coated substrate such as a semiconductor processing system component
  • first DI bath a deionized water ultrasonic bath
  • first DI bath a deionized water ultrasonic bath
  • the coated component is then chemically cleaned to remove trace metals by wiping the component surface with a soft wipe which has been wetted with a dilute acid solution for a period of about 3 minutes to about 15 minutes.
  • One advantageous dilute acid solution comprises about 0.1 to about 5 volume % HF (more typically, about 1 to about 5 volume %); about 1 to about 15 volume % HNO 3 (more typically, about 5 to about 15 volume %); and about 80 to about 99 volume % deionized water.
  • the dilute acid solution should not contact an anodized area of the aluminum alloy surface.
  • the component is then rinsed with deionized water, followed by immersion in a deionized water ultrasonic bath (“second DI bath”) at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 30 minutes to about 2 hours (typically, for about 40 minutes to about 1 hour).
  • second DI bath a deionized water ultrasonic bath
  • the component is rinsed with deionized water again, then blow dried with N 2 and lamp/oven baked at a temperature of about 50° C. to about 70° C. for a period of up to 2 hours.
  • the component is typically further cleaned using CO 2 snow (very fine dry ice), which causes any remaining particles to freeze, crumble, and detach from the component surface.
  • Table Two presents the results of an analysis of the number of 0.2 ⁇ m diameter or larger particles per square centimeter remaining on a coated substrate surface after cleaning according to the multiple step cleaning process described above, with second DI bath times of 10, 40, and 70 minutes.
  • the number of particles present on the substrate surface has been reduced to approximately one-third of the number of particles remaining after 10 minutes immersion in the second DI bath.
  • Table Three presents the results of an analysis of the surface concentration ( ⁇ 10 10 atoms/cm 2 ) of mobile elements on a coated substrate surface after a standard cleaning process and after cleaning according to the multiple step cleaning process described above.
  • the standard cleaning process is a single-step process in which a coated substrate is immersed in a deionized water ultrasonic bath at a frequency of about 40 kHz for a period of up to 1 hour (typically, about 40-50 minutes).
  • the step of wiping the coated component with the dilute HF solution provides fluorination to the coating surface. Fluorination of the coating surface results in a robust, stable coating which is inert to reactive plasmas. Fluorination of the coating surface can also be obtained by exposing the coated surface to a plasma containing fluorine species, such as a CF 4 or CF 4 /CHF 3 having a plasma density within the range of about 1 ⁇ 10 9 e ⁇ /cm 3 to about 1 ⁇ 10 9 e ⁇ /cm 3 , under conditions which provide a coating surface which is at least partially fluorinated.
  • a plasma containing fluorine species such as a CF 4 or CF 4 /CHF 3 having a plasma density within the range of about 1 ⁇ 10 9 e ⁇ /cm 3 to about 1 ⁇ 10 9 e ⁇ /cm 3
  • FIGS. 3A-3C show schematics of photomicrograph views ( 300 , 310 , 320 ) of the upper surface of a Y 2 O 3 spray coated 6061 aluminum substrate, where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating.
  • the view is looking directly down at the substrate, at magnifications of 100 ⁇ , 500 ⁇ , and 1000 ⁇ ( FIGS. 3A, 3B , and 3 C, respectively).
  • FIGS. 3D-3F show schematics of photomicrograph views ( 330 , 340 , 350 ) of the upper surface of the same Y 2 O 3 spray coated 6061 aluminum substrate shown in FIGS. 3A-3C .
  • the view of the sample surface was tilted during image generation to show the topography in more detail, at magnifications of 200 ⁇ , 750 ⁇ , and 1500 ⁇ ( FIGS. 3D, 3E , and 3 F, respectively).
  • FIGS. 3A-3F show, in detail, the microstructure of the Y 2 O 3 coating on the aluminum substrate.
  • the roughness of the surface is desirable in that it allows polymer and other byproducts of semiconductor manufacturing processes to collect on semiconductor processing apparatus surfaces, thereby extending the mean time required between apparatus cleanings.
  • FIGS. 4A-4D show schematics of photomicrograph views ( 400 , 410 , 420 , 430 ) of a cross-section of a 6061 aluminum substrate 402 which has been spray coated with a layer 404 of Y 2 O 3 , where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating.
  • FIGS. 4A-4C show the cross-section of the spray-coated substrate at magnifications of 100 ⁇ , 200 ⁇ , and 250 ⁇ , respectively.
  • FIG. 4D shows the interface between the Y 2 O 3 coating 404 and the underlying aluminum 402 in detail (2000 ⁇ magnification).
  • FIGS. 4A-4D illustrate the very dense compression microstructure of the Y 2 O 3 coating 404 , as well as the superior interface between the Y 2 O 3 coating 404 and the underlying aluminum substrate 402 .
  • FIG. 5A is a graph 500 illustrating the weight loss of a Y 2 O 3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, where the substrate surface was not preheated prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon.
  • the Y 2 O 3 coating was not cleaned after application and prior to the ultrasonification.
  • the graph shows the weight loss 502 of the coupon as a function of the time 504 of the ultrasonification treatment.
  • the weight loss 502 of the coupon increased to about 0.08% within 30 minutes of the start of ultrasonification, then continued to increase up to about 0.12% after 120 minutes of ultrasonification.
  • FIG. 5B is a graph 510 illustrating the weight loss of a Y 2 O 3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 ⁇ m on the substrate surface, where the substrate surface was preheated to a temperature of about 150-200° C. prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon.
  • the Y 2 O 3 coating was not cleaned after application and prior to the ultrasonification.
  • the graph shows the weight loss 512 of the coupon as a function of the time 514 of the ultrasonification treatment.
  • the weight loss 512 of the coupon increased steadily to about 0.06% after 120 minutes of ultrasonification.
  • the total weight loss (0.06%) of the coupon with substrate preheating was about half of the coupon weight loss (0.12%) without substrate preheating (shown in FIG. 5A ).
  • a protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG, which is under compression in accordance with the present invention, permits the drilling of patterns such as attachment openings through the protective coating and underlying aluminum alloy substrate, with less harm to both the protective coating and the interface between the protective coating and the underlying substrate.
  • Laser drilling may be used to form round through-holes.
  • Ultrasonic drilling provides excellent results when drilling through-holes of more complicated shapes (such as crescent shapes).
  • the ultrasonic drilling can be carried out either from the coating surface side or the aluminum alloy substrate side of the component or substrate.
  • the coated substrate may be cleaned after ultrasonic drilling according to the multiple step cleaning process described above.
  • the best results are achieved when a thin sacrificial layer of a flexible polymeric material is applied or when a more rigid material having a similar thermal coefficient of linear expansion to that of the protective coating is applied over the protective coating surface.
  • the sacrificial layer is typically applied to have a thickness within the range of about 4 mils to about 6 mils.
  • FIGS. 6A-6D show schematic illustrations ( 610 , 620 , 630 , 640 ) of photomicrograph cross-sectional views of a hole 600 which has been ultrasonically drilled from the coating side of an aluminum test coupon 602 which has been spray coated with a layer 604 of Y 2 O 3 , where the spray coating was applied to a thickness of about 250 ⁇ m, and where the aluminum substrate 602 was preheated to a temperature of 150-200° C. at the time the coating 604 was applied.
  • the coated aluminum test coupon 602 was cleaned according to the multiple step cleaning process described above after ultrasonic drilling.
  • FIGS. 6A and 6B show cross-sectional views of the hole 600 at magnifications of 35 ⁇ and 150 ⁇ .
  • 6C and 6D show cross-sectional views of the left side 606 and right side 608 of hole 600 , at a magnification of 250 ⁇ .
  • the edges of the hole 600 showed no evidence of breaking or chipping, and no delamination of the coating from the aluminum surface was observed.
  • FIGS. 7A-7C show schematic illustrations ( 700 , 710 , 720 , 730 , 740 , 750 , 760 ) of various photomicrograph top views of the hole 600 shown in FIGS. 6A-6D , at magnifications of 25 ⁇ ( FIG. 7A ), 50 ⁇ (FIGS. 7 B( 1 & 2 )), and 150 ⁇ (FIGS. 7 C( 1 - 4 )).
  • the bright areas observed in the photomicrographs are optical depth-of-field effects which represent high spots on the coating surface. Again, the edges of the hole 600 showed no evidence of breaking or chipping.
  • FIGS. 8A-8D show schematic illustrations ( 810 , 820 , 830 , 840 ) of photomicrograph cross-sectional views of a hole 800 which has been ultrasonically drilled from the substrate side of an aluminum test coupon 802 which has been spray coated with a layer 804 of Y 2 O 3 , where the spray coating was applied to a thickness of about 250 ⁇ m, and where the aluminum substrate 802 was preheated to a temperature of 150-200° C. at the time the coating 804 was applied.
  • the coated aluminum test coupon 702 was cleaned according to the multiple step cleaning process described above after ultrasonic drilling.
  • FIGS. 8A and 8B show cross-sectional views of the hole 700 at magnifications of 35 ⁇ and 150 ⁇ .
  • FIGS. 8C and 8D show cross-sectional views of the left side 806 and right side 808 of hole 800 , at a magnification of 250 ⁇ .
  • the edges of the hole 800 showed no evidence of breaking or chipping, and no delamination of the coating from the aluminum surface was observed.
  • FIGS. 9A-9C show schematic illustrations ( 900 , 910 , 920 , 930 , 940 , 950 , 960 ) of various photomicrograph top views of the hole 800 shown in FIGS. 8A-8D , at magnifications of 25 ⁇ ( FIG. 9A ), 50 ⁇ (FIGS. 9 B( 1 & 2 )), and 150 ⁇ (FIGS. 9 C( 1 - 4 )). Again, the edges of the hole 800 showed no evidence of breaking or chipping

Abstract

Disclosed herein is a method for applying plasma-resistant coatings for use in semiconductor processing apparatus. The coatings are applied over a substrate which typically comprises an aluminum alloy of the 2000 series or the 5000 through 7000 series. The coating typically comprises an oxide or a fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or yttrium-aluminum-garnet (YAG). The coating may further comprise about 20 volume % or less of Al2O3. The coatings are typically applied to a surface of an aluminum alloy substrate or an anodized aluminum alloy substrate using a technique selected from the group consisting of thermal/flame spraying, plasma spraying, sputtering, and chemical vapor deposition (CVD). To provide the desired corrosion resistance, it is necessary to place the coating in compression. This is accomplished by controlling deposition conditions during application of the coating.

Description

  • The present application in a continuation-in-part application of U.S. application Ser. No. 10/075,967, filed Feb. 14, 2002, which is presently pending.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to equipment and apparatus used in the manufacture of electronic devices including semiconductor devices, MEM devices, and other devices used in data manipulation, storage, and display, for example and not by way of limitation. In particular, the invention pertains to the use of yttrium oxide-comprising protective layers which are applied to a surface of apparatus, such as process chamber liners, gas feed plates, substrate support pedestals, and electrostatic chucks, valves, and similar components which are likely to be exposed to a corrosive environment. The presence of the protective layer protects an underlying material, which makes up a portion of the body, from the corrosive environment.
  • 2. Description of the Background Art
  • Corrosion (including erosion) resistance is a critical property for components used in processing chambers where corrosive environments are present. This is especiaOlly true where high-energy plasma is present and electrical driving force may be combined with chemical driving force to act upon the surface of components present in the environment.
  • Process chambers and component apparatus present within processing chambers which are used in the fabrication of electronic devices and MEMS are frequently constructed from aluminum and aluminum alloys. Surfaces of a process chamber and component apparatus present within the chamber are frequently anodized to provide a degree of protection from the corrosive environment. However, the integrity of the anodization layer may be deteriorated by impurities in the aluminum or aluminum alloy, so that corrosion begins to occur early, shortening the life span of the protective coating. Ceramic materials of various compositions have been used in place of the aluminum oxide layer mentioned above, and have been used over the surface of the anodized layer to improve the protection of the underlying aluminum-based materials. However, the protective layer continues to be deteriorated by impurities in the aluminum or aluminum alloy, even though the life span of the protective layer is extended. More recently, high purity aluminum alloy materials have been developed which reduce the tendency of the protective layer or layers to fail. However, the high purity aluminum alloy materials tend to be expensive.
  • Yttrium oxide is a ceramic material which has shown considerable promise in the protection of aluminum and aluminum alloy surfaces which are exposed to fluorine-containing plasmas of the kind used in the fabrication of semiconductor devices. In U.S. application Ser. No. 10/075,967, the parent application of the present continuation-in part application, it is disclosed that a yttrium oxide coating applied over an anodized surface of a high purity aluminum alloy process chamber surface or process component surface produces excellent corrosion protection. In addition, the evaluation of pure ceramic materials used as apparatus components has illustrated that a long lifetime for the component may be achieved. However, there remains a need for a protective layer or coating which can protect the more standard high temperature aluminum-based materials, such as those in the 2000 series and the 5000 through 7000 series.
  • Japanese Patent Application No. HEI 3[1991]-287797, of Shinji Inazawa et al., published Dec. 18, 1991, discloses a corrosion-resistant ceramic film useful in protecting electric wires or component materials fabricated from aluminum or aluminum alloy from corrosive vapors or low melting point metals and highly corrosive inorganic halides and organic metal compounds. The corrosion-resistant ceramic film is formed by first producing an oxidized film formed by the anodic oxidation of the surface of aluminum or an aluminum alloy. Subsequently, the oxidized film is submersed in a solution to provide impregnation with at least one type of ion selected from the group consisting of chromium ions, yttrium ions, zirconium ions, and magnesium ions. The corrosion-resistant protective film surrounding an electric wire is produced by firing in an oxygen gas stream at 500° C. The particular example illustrating the concept is a coating on pure aluminum wire, where the ions in the anodized film are created using chromium trioxide aqueous solution.
  • In a further embodiment of the above-described technology, the corrosion-resistant material described above is further submersed in a solution of a ceramic precursor consisting of a polymerizable organic metal compound, followed by heat treatment to form an outer insulation film of oxide ceramic.
  • In U.S. Pat. No. 5,366,585, to Robertson et al., issued Nov. 3, 1994, a plasma processing chamber is described which includes a ceramic barrier material, preferably in the range of 130 μm to 250 μm thick, for protecting metallic walls of the process chamber from attack by the plasma. The ceramic material is said to typically comprise aluminum oxide, although the oxide and fluoride forms of aluminum, magnesium, and tantalum are mentioned. Although free-standing liners are described, protective ceramic layers which are deposited without consuming the underlying metal (aluminum) substrate are also described. For example, flame-sprayed or plasma-sprayed aluminum oxide is discussed.
  • U.S. Pat. No. 5,798,016, to Oehrlein et al., issued Aug. 25, 1998, describes a method and apparatus for etching semiconductor devices where undesirable deposition of films on internal surfaces of the apparatus are prevented using a heatable liner or process chamber wall. The heated liner or chamber wall may be constructed from a “wide variety of materials, for example, ceramics, aluminum, steel, and/or quartz. Aluminum is the preferred material because it is easy to machine.” However, since aluminum is reactive with a number of plasmas, it is recommended that “aluminum oxide or a coating thereof be disposed on the liner or chamber walls”, because aluminum oxide tends to be chemically inert. In addition to the materials used to construct the liner and/or chamber walls, a protective coating may be applied to the surfaces of the liner and/or chamber walls. Examples which are given include Al2O3, Sc2O3, or Y2O3.
  • U.S. Patent Application Publication No. US 2001/0003271A1, of Otsuki, published Jun. 14, 2001, describes a processing apparatus for semiconductor wafers, where the process may include a plasma, in which a film of Al2O3, or Al2O3 and Y2O3, is formed on an inner wall surface of the chamber and on those exposed surfaces of the members within the chamber which require a high corrosion resistance and insulating property. An example is given of a processing chamber where a base material of the chamber may be a ceramic material (Al2O3, SiO2, AlN, etc.), aluminum, or stainless steel, metal or metal alloy, which has a sprayed film over the base material. The sprayed film may contain an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or fluoride of one of these metals. The film may be made of a compound of a III-a element of the periodic table, such as Y2O3 The film may substantially comprise Al203 and Y2O3. A sprayed film of yttrium-aluminum-garnet (YAG) is also mentioned. The sprayed film thickness is said to range from 50 μm to 300 μm. There is no description of the manner in which the sprayed film is applied. There is no description of the condition of the interface between the base material and the film. Further, there is no description of metal impurity concentrations in the sprayed film or loose particles which may be present on the film surface. This is important because the condition of the interface between the base material and the sprayed film will have a significant effect on the lifetime of the process chamber. The metal impurity content of the sprayed film and the loose particles present on the film surface will have a significant effect on the product yield for product produced in the process chamber, as will be addressed by applicants during the description of their invention.
  • U.S. Pat. No. 6,352,611, to Han et al., issued Mar. 5, 2002, describes a dielectric window of a reactor chamber where substrates are processed in a plasma of a processing gas. A ceramic composition of matter used to produce a process kit and a dielectric window preferably contains a ceramic compound (e.g., Al2O3) and an oxide of a Group 111B metal (e.g., Y2O3). The ceramic compound may be selected from silicon carbide, silicon nitride, boron carbide, boron nitride, aluminum nitride, aluminum oxide, and mixtures thereof; however, aluminum oxide is said to be available in a pure form which does not outgas. The Group IIIB metal may be selected from the group consisting of scandium, yttrium, the cerium subgroup, and the yttrium subgroup; however, yttrium is preferred, with the oxide being yttrium oxide. The preferred process for forming or producing the dielectric member is by thermal processing of a powdered raw mixture comprising the ceramic compound, the oxide of a Group IIIB metal, a suitable additive agent, and a suitable binder agent.
  • U.S. Pat. No. 6,565,984, to Wu et al., issued May 20, 2003, describes the use of a high purity aluminum alloy to form process chambers and processing components used for plasma processing. The high purity aluminum alloy is protected by an anodization layer. By controlling the composition of the alloy and the size of particulate inclusions in the alloy, an improved performance is achieved with respect to corrosion resistance for the alloy protected by an anodization layer.
  • The above-described references are only a few of the background references available. However, in view of the existing art known to applicants, there is still a need for a protective layer or coating which can protect the more standard high temperature aluminum-based materials, such as those in the 2000 series or 5000 through 7000 series, where the alloy composition and size of particulate inclusions can cause problems of the kind described in the Wu et al. reference. The problems exist with respect to a protective aluminum oxide coating, whether formed by anodization or by spray application. Problems similar to those occurring in the aluminum oxide coatings occur when other protective spray coatings, such as sprayed films containing oxides of Y, Sc, La, Ce, Eu, Dy, or the like, or fluorides of one of these metals, such as Y2O3 or yttrium-aluminum-garnet (YAG), are applied over a surface of the 2000 series or 5000 through 7000 series of aluminum alloys. These aluminum alloys are very desirable as substrates for process chamber and component fabrication due to availability and cost, as well as performance properties not related to plasma corrosion resistance, so ability to apply a protective layer with an extended lifetime over these alloys is important.
  • SUMMARY OF THE INVENTION
  • We have determined that it is possible to extend the lifetime of an aluminum alloy of the 2000 series or the 5000 through 7000 Series, where the aluminum alloy is protected by a plasma-resistant coating containing an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG). An aluminum alloy coated with the coating of the invention applied by the method of the invention shows excellent plasma corrosion-resistance over a lifetime which is extended at least two times, and as much as four times, over the lifetime of a Y2O3 coated aluminum alloy of the kind previously known in the art.
  • To provide the extended lifetime corrosion resistance described, it is necessary to place the coating in compression. This is accomplished by controlling deposition conditions during application of the coating. Placing the coating under adequate compression helps prevent mobile impurities in the aluminum alloy substrate from migrating from the substrate into the coating and causing defects in the coating which enable penetration of the coating by reactive species which are in contact with the exterior surface of the coating. Placing the coating under compression also increases the density of the coating. The increased density of the coating provides better protection from corrosive plasmas and improves the machinability of a substrate protected by the sprayed film. Porosity is an indicator of the density of the coating, i.e., the less porous the coating, the more dense the coating. Porosity is expressed as the percentage of open space in the total volume of the coating. Yttrium oxide coatings which have been applied according to the present method have a porosity of about 1.4%. Typically, yttrium oxide coatings are applied according to the present method under conditions which result in a yttrium oxide film having a compressive stress which is sufficient to provide a yttrium oxide film porosity of about 1.5% or less. In comparison, yttrium oxide coatings which were deposited using prior art methods typically have porosities within the range of about 3% to about 5%.
  • To place the applied coating/film in compression, it is necessary to heat, at least to a nominal depth, the upper surface of the aluminum alloy substrate during application of the coating/film, so that upon cooling of the interfacial surface between the substrate and the coating, the coating is placed in compression by the contracting aluminum alloy. The upper surface of the aluminum alloy should be preheated to a depth of at least 250 mils (0.25 inch), and to a temperature of at least about 150-200° C. (Typically, the entire substrate to which the coating is to be applied is preheated.) When the upper surface of the aluminum alloy substrate to which the coating is applied is at a temperature of less than about 150-200° C., the coating will not be placed under adequate compression upon cooling to provide the desired corrosion resistance, and will not be sufficiently useful in acting to prevent particulates in the aluminum alloy substrate from migrating into the coating.
  • The film/coating may be applied using a number of different methods, such as thermal/flame spray, plasma discharge spray, sputtering, and chemical vapor deposition (CVD). The structure of the coating obtained is different in each instance. When the coating is applied using sputtering or CVD, the application rate is much slower, and it may be advantageous to use the coating in combination with an underlying layer of aluminum oxide. Plasma spray coating has provided excellent results. The protective coating may contain an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG). Combinations of the oxides of such metals, and/or combinations of the metal oxides with aluminum oxide, may be used. For example, Y2O3 in combination with a minority percentage of Al2O3 (typically, less than about 20% by volume) may be used to improve thermal expansion compatibility of the coating with the underlying aluminum alloy substrate. This is important when the component of structure is exposed to the thermal cycling which often occurs with chemical processing apparatus (such as semiconductor processing apparatus).
  • The plasma sprayed coating may be applied over a bare aluminum alloy surface. Typically, the aluminum alloy has a very thin film of native aluminum oxide on its surface, due to exposure of the aluminum surface to air. It is advantageous to apply the plasma sprayed coating over the bare aluminum alloy surface, or the surface exhibiting only a native oxide, as a better bond between the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG is achieved. The plasma sprayed coating may also be applied over an aluminum oxide film which is intentionally created upon the aluminum alloy surface. Typically, the thickness of such an oxide coating is less than about 4 mils.
  • When the coated component is to be used in a plasma processing chamber where it will be exposed to chlorine species, the plasma sprayed coating should be applied over such an intentionally created aluminum oxide film in order to better protect the underlying aluminum alloy from the corrosive chlorine plasma. In this instance, the thickness of the aluminum oxide film is typically within the range of about 0.5 mil to about 4 mils, and the temperature of the aluminum oxide film must be at least about 150-200° C. at the time of application of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG. The temperature of the aluminum oxide film at the time of application of the protective coating should not exceed the glass transition temperature of the aluminum oxide.
  • Typically, the aluminum alloy surface is pre-roughened prior to anodization. The aluminum alloy surface can be pre-roughened using a technique such as bead blasting or, preferably electrochemical etching, for example, and not by way of limitation.
  • The applied thickness of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG depends on the environment to which the aluminum alloy component or structure will be exposed during use. When the temperature to which the component or structure is exposed is lower, the thickness of the plasma sprayed coating can be increased without causing a coefficient of expansion problem. For example, when the component or structure may be exposed to thermal cycling between about 15° C. and about 120° C., and the protective coating is yttria (which has been plasma sprayed over an aluminum alloy from the 5000 through 7000 series having a native oxide present on its surface), the thickness of the yttria coating should range between about 12 mils and about 20 mils. A yttria coating having a thickness of about 15 mils provides excellent results. A thinner coating down to about 10 mils thickness may be used in combination with an anodized aluminum oxide coating, or other aluminum oxide coating having a thickness in the 0.5 mil to 4 mils range.
  • Application of the protective, plasma-resistant coating by plasma spraying has produced excellent results. When plasma spraying is used, to further improve the performance of the protective, plasma-resistant coating, it is advantageous to clean the coating after application to the substrate. The cleaning process removes trace metal impurities which may cause problems during semiconductor proce0ssing, and also removes loose particles from the surface of the coating which are likely to become contaminating particulates during the processing of product adjacent to the coated surface, especially when that product is a semiconductor device.
  • The cleaning process should remove undesired contaminants and deposition process by-products without affecting the performance capability of the protective coating, and without harming the underlying aluminum alloy surface. To protect the aluminum alloy surface while the coating is cleaned, the coating is first saturated with an inert solvent which does not harm the aluminum alloy upon contact. Typically, the coated substrate is immersed in a deionized water ultrasonic bath at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 5 minutes to about 30 minutes. Subsequently, a chemically active solvent is applied to remove contaminants from the protective coating. For example, the surface of the coated substrate may be wiped with a soft wipe which has been wetted with a dilute acid solution for a period of about 3 minutes to about 15 minutes. One advantageous dilute acid solution comprises about 0.1 to about 5 volume % HF (more typically, about 1 to about 5 volume %); about 1 to about 15 volume % HNO3 (more typically, about 5 to about 15 volume %); and about 80 to about 99 volume % deionized water. After wiping, the component is then rinsed with deionized water, followed by immersion in a deionized water ultrasonic bath at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 30 minutes to about 2 hours (typically, for a period of about 40 minutes to about 1 hour).
  • In addition to removing impurities and contaminants from the coating surface, the step of wiping the coated component with the dilute HF solution provides fluorination to the coating surface. Fluorination of the coating surface results in a robust, stable coating which is inert to reactive plasmas. Fluorination of the coating surface can also be obtained by exposing the coated surface to a plasma containing fluorine species, such as a CF4 plasma or a CHF3/CF4 plasma having a plasma density within the range of about 1×109 e/cm3 to about 1×109 e/cm3, under conditions which provide a coating surface which is at least partially fluorinated.
  • We have also discovered that application of a protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG, which is under compression in accordance with the present invention, permits the drilling of patterns such as attachment openings through the protective coating and underlying aluminum alloy substrate, with less harm to both the protective coating and the interface between the protective coating and the underlying substrate. Laser drilling may be used to form round through-holes. Ultrasonic drilling provides excellent results when drilling through-holes of more complicated shapes (such as crescent shapes). The ultrasonic drilling can be carried out either from the coating surface side or the aluminum alloy substrate side of the component or substrate. The coated substrate may be cleaned after ultrasonic drilling according to the multiple step cleaning process described above.
  • When ultrasonic drilling a surface of a component or substrate, the best results are achieved when a thin sacrificial layer of a flexible, polymeric material is applied or when a more rigid material having a similar thermal coefficient of linear expansion to that of the protective coating is applied over the protective coating surface. The sacrificial layer is typically applied to have a thickness within the range of about 4 mils to about 6 mils.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a graph 100 which illustrates the relative erosion rates 102 for various materials upon exposure of the materials to a CHF3/CF4 plasma
  • FIG. 2 is a cross-sectional schematic 200 of a type of plasma spraying system which is useful in applying the coatings of the present invention.
  • FIGS. 3A-3C show schematics of photomicrograph views (300, 310, 320) of the upper surface of a Y2O3 spray coated 6061 aluminum substrate, where the spray coating was applied to a thickness of about 200 μm on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating. The view is looking directly down at the substrate, at magnifications of 100×, 500×, and 1000× (FIGS. 3A, 3B, and 3C, respectively).
  • FIGS. 3D-3F show schematics of photomicrograph views (330, 340, 350) of the upper surface of the same Y2O3 spray coated 6061 aluminum substrate shown in FIGS. 3A-3C. The view of the sample surface was tilted during image generation to show the topography in more detail, at magnifications of 200×, 750×, and 1500×(FIGS. 3D, 3E, and 3F, respectively).
  • FIGS. 4A-4D show schematics of photomicrograph views (400, 410, 420, 430) of a cross-section of a 6061aluminum substrate 402 which has been spray coated with a layer 404 of Y2O3, where the spray coating was applied to a thickness of about 200 μm on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating. FIGS. 4A-4C show the cross-section of the spray-coated substrate at magnifications of 100×, 200×, and 250×, respectively. FIG. 4D shows the interface between the Y2O3 coating 404 and the underlying aluminum 402 in detail (2000× magnification).
  • FIG. 5A is a graph 500 illustrating the weight loss of a Y2O3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 μm on the substrate surface, and where the substrate surface was not preheated prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon. The graph shows the weight loss 502 of the coupon as a function of the time 504 of the ultrasonification treatment.
  • FIG. 5B is a graph 510 illustrating the weight loss of a Y2O3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 μm on the substrate surface, and where the substrate surface was preheated to a temperature of about 150-200° C. prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon. The graph shows the weight loss 512 of the coupon as a function of the time 514 of the ultrasonification treatment.
  • FIGS. 6A-6D show schematic illustrations (610, 620, 630, 640) of photomicrograph cross-sectional views of a hole 600 which has been ultrasonically drilled from the coating side of an aluminum test coupon 602 which has been spray coated with a layer 604 of Y2O3, where the spray coating was applied to a thickness of about 250 μm, and where the aluminum substrate 602 was preheated to a temperature of 150-200° C. at the time the coating 604 was applied. FIGS. 6A and 6B show cross-sectional views of the hole 600 at magnifications of 35× and 150×. FIGS. 6C and 6D show cross-sectional views of the left side 606 and right side 608 of hole 600, at a magnification of 250×.
  • FIGS. 7A-7C show schematic illustrations (700, 710, 720, 730, 740, 750, 760) of various photomicrograph top views of the hole 600 shown in FIGS. 6A-6D, at magnifications of 25× (FIG. 7A), 50× (FIGS. 7B(1 & 2)), and 150× (FIGS. 7C(1-4)).
  • FIGS. 8A-8D show schematic illustrations (810, 820, 830, 840) of photomicrograph cross-sectional views of a hole 800 which has been ultrasonically drilled from the substrate side of an aluminum test coupon 802 which has been spray coated with a layer 804 of Y2O3, where the spray coating was applied to a thickness of about 250 μm, and where the aluminum substrate 802 was preheated to a temperature of 150-200° C. at the time the coating 804 was applied. FIGS. 8A and 8B show cross-sectional views of the hole 700 at magnifications of 35× and 150×. FIGS. 8C and 8D show cross-sectional views of the left side 806 and right side 808 of hole 800, at a magnification of 250×.
  • FIGS. 9A-9C show schematic illustrations (900, 910, 920, 930, 940, 950, 960) of various photomicrograph top views of the hole 800 shown in FIGS. 8A-8D, at magnifications of 25× (FIG. 9A), 50× (FIGS. 9B(1 & 2)), and 150× (FIGS. 9C(1-4)).
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents, unless the context clearly dictates otherwise.
  • Disclosed herein is a method of applying a plasma-resistant coating on an aluminum or an aluminum alloy substrate. The present method is particularly useful for applying a plasma-resistant coating to a substrate which comprises an aluminum alloy of the 2000 series or the 5000 through 7000 Series. Because of its greater malleability, 2000 series aluminum is particularly preferred when fabricating parts and components having complex shapes.
  • The plasma-resistant coating comprises an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG). An aluminum alloy coated with the coating of the invention applied by the method of the invention shows excellent plasma corrosion-resistance over a lifetime which is extended at least two times, and as much as four times, over the lifetime of a Y2O3 coated aluminum alloy of the kind previously known in the art. Aluminum alloys which have been coated with the plasma-resistant coating applied by the method of the invention are particularly useful as interiors of semiconductor processing chambers and as substrates of component apparatus within such a processing chambers, such as process chamber liners, gas feed plates, substrate support pedestals, and electrostatic chucks, valves, and similar components which are likely to be exposed to a corrosive environment.
  • To provide the extended lifetime corrosion resistance described, it is necessary to place the coating in compression. This is accomplished by controlling deposition conditions during application of the coating. Placing the coating under adequate compression helps prevent mobile impurities in the aluminum alloy substrate from migrating from the substrate into the coating and causing defects in the coating which enable penetration of the coating by reactive species which are in contact with the exterior surface of the coating. Placing the coating under compression also increases the density of the coating. The increased density of the coating provides better protection from corrosive plasmas and improves the machinability of a substrate protected by the sprayed film. Porosity is an indicator of the density of the coating, i.e., the less porous the coating, the more dense the coating. Porosity is expressed as the percentage of open space in the total volume of the coating. Yttrium oxide coatings which have been applied according to the present method have a porosity of about 1.4%. Typically, yttrium oxide coatings are applied according to the present method under conditions which result in a yttrium oxide film having a compressive stress which is sufficient to provide a yttrium oxide film porosity of about 1.5% or less. In comparison, yttrium oxide coatings which were deposited using prior art methods typically have porosities within the range of about 3% to about 5%.
  • To place the applied coating/film in compression, it is necessary to heat, at least to a nominal depth, the upper surface of the aluminum alloy substrate during application of the coating/film, so that upon cooling of the interfacial surface between the substrate and the coating, the coating is placed in compression by the contracting aluminum alloy. The upper surface of the aluminum alloy should be preheated to a depth of at least 250 mils (0.25 inch), and to a temperature of at least about 150-200° C. (Typically, the entire substrate to which the coating is to be applied is preheated.) When the upper surface of the aluminum alloy substrate to which the coating is applied is at a temperature of less than about 150-200° C., the coating will not be placed under adequate compression upon cooling to provide the desired corrosion resistance, and will not be sufficiently useful in acting to prevent particulates in the aluminum alloy substrate from migrating into the coating.
  • The film/coating may be applied using a number of different methods, such as thermal/flame spray, plasma discharge spray, sputtering, and chemical vapor deposition (CVD). The structure of the coating obtained is different in each instance. When the coating is applied using sputtering or CVD, the application rate is much slower, and it may be advantageous to use the coating in combination with an underlying layer of aluminum oxide. Plasma spray coating has provided excellent results. The protective coating may contain an oxide of Y, Sc, La, Ce, Eu, Dy, or the like, or a fluoride of one of these metals, or yttrium-aluminum-garnet (YAG). Combinations of the oxides of such metals, and/or combinations of the metal oxides with aluminum oxide, may be used. For example, Y2O3 in combination with aminority percentage of Al2O3 (typically, less than about 20% by volume) may be used to improve thermal expansion compatibility of the coating with the underlying aluminum alloy substrate. This is important when the component of structure is exposed to the thermal cycling which often occurs with chemical processing apparatus (such as semiconductor processing apparatus).
  • The plasma sprayed coating may be applied over a bare aluminum alloy surface. Typically, the aluminum alloy has a very thin film of native aluminum oxide on its surface, due to exposure of the aluminum surface to air. It is advantageous to apply the plasma sprayed coating over the bare aluminum alloy surface, or the surface exhibiting only a native oxide, as a better bond between the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG is achieved. However, the plasma-sprayed coating may also be applied over a ceramic surface such as an aluminum oxide film which is intentionally created upon the aluminum alloy surface. Typically, the thickness of such an aluminum oxide film is less than about 4 mils.
  • When the coated component is to be used in a plasma processing chamber where it will be exposed to chlorine species, the plasma sprayed coating should be applied over such an intentionally created aluminum oxide film, in order to better protect the underlying aluminum alloy from the corrosive chlorine plasma. In this instance, the thickness of the aluminum oxide film is typically within the range of about 0.5 mil to about 4 mils, and the temperature of the aluminum oxide film must be at least about 150-200° C. at the time of application of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG. The temperature of the aluminum oxide film at the time of application of the protective coating should not exceed the glass transition temperature of the aluminum oxide.
  • Typically, the aluminum alloy surface is pre-roughened prior to anodization. The aluminum alloy surface can be pre-roughened using a technique such as bead blasting or, preferably electrochemical etching, for example, and not by way of limitation. Bead blasting techniques are well-known in the art. Commonly owned, copending U.S. application Ser. No. 09/918,683 (“the '683 application”), filed Jul. 27, 2001, discloses a method of electrochemically roughening an aluminum or aluminum alloy surface. The electrochemical roughening method disclosed in the '683 application includes the steps of immersing the aluminum-comprising surface in an aqueous HCl solution having a concentration ranging from about 1 volume % to about 5 volume % (typically ranging from about 1 volume % to about 3 volume %), at a temperature ranging from about 45° C. to about 80° C. (typically ranging from about 50° C. to about 70° C.), then applying an electrical charge having a charge density ranging from about 80 amps/ft.2 to about 250 amps/ft.2 (typically ranging from about 120 amps/ft.2 to about 250 amps/ft.2), for a time period ranging from about 4 minutes to about 25 minutes (typically ranging from about 4 minutes to about 20 minutes). The HCl solution may further include a chelating agent (such as, for example, but without limitation, gluconic acid, available from VWR Scientific Products, West Chester, Pa.), at a concentration of about 0.5 volume % to about 3 volume %, to control the bath chemistry and conductivity.
  • FIG. 1 is a graph 100 which illustrates the relative erosion rates 102 for various materials upon exposure of the materials to a CHF3/CF4 plasma. The materials include quartz; polysilicon (“Poly-Si”); single crystal silicon; CVD-deposited silicon carbide (“CVD SiC”); anodized Al2O3, having a thickness of 3 mils; spray-coated Al2O3, having a thickness of 10 mils; bulk Al2O3; spray-coated Y2O3; and bulk Y2O3.
  • Table One, below, presents process chemistry and conditions which were used to perform the erosion rate tests in an Applied Materials' PRODUCERTM etch chamber (available from Applied Materials, Inc., Santa Clara, Calif.).
    TABLE ONE
    Process Conditions for Erosion Rate Test
    Step: ARC Open Main Etch Overetch
    CHF3 (sccm) 200 125 75
    CF4 (sccm) 120 125 0
    O2 (sccm) 15 32 0
    Ar (sccm) 0 0 200
    He coolant applied to 8 8 10
    substrate (feed ° C.)
    He pressure * (Torr) 12 12 10
    Chamber Pressure (mTorr) 35 50 50
    Substrate Bias (W) 300 1250 1000
    Cathode Temperature (° C.) 15 15 15
    Wall Temperature (° C.) 15 15 15
    Time (hours) 8.7 8.7 2.6

    * Pressure of helium beneath substrate which is allowed to “leak” around the edges of the substrate to provide a flow of cooling fluid over the surface of the substrate.
  • The process conditions for the erosion rate test provided in Table One were selected in order to mimic the process conditions to which semiconductor processing chamber surfaces are exposed over time during etch processing of actual semiconductor substrates (such as silicon wafers having various material layers deposited thereon). As shown in FIG. 1, after exposure to the CHF3/CF4 plasma, the average erosion rate of plasma spray-coated Y2O3 applied by the method of the invention (ave. erosion rate=243 Å/min) is not much different than the average erosion rate of bulk Y2O3 (ave. erosion rate=127 Å/min), and is significantly lower than the average erosion rates of conventional coatings such as anodized Al2O3 (ave. erosion rate=866 Å/min), spray-coated Al2O3 (ave. erosion rate=741 Å/min), and CVD deposited silicon carbide (ave. erosion rate=526 Å/min).
  • The applied thickness of the protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG depends on the environment to which the aluminum alloy component or structure will be exposed during use. When the temperature to which the component or structure is exposed is lower, the thickness of the plasma sprayed coating can be increased without causing a coefficient of expansion problem. For example, when the component or structure may be exposed to thermal cycling between about 15° C. and about 120° C., and the protective coating is yttria (which has been plasma sprayed over an aluminum alloy from the 5000 through 7000 series having a native oxide present on its surface), the thickness of the yttria coating should range between about 12 mils and about 20 mils. A yttria coating having a thickness of about 15 mils provides excellent results. A thinner coating down to about 10 mils thickness may be used in combination with an anodized aluminum oxide coating or other aluminum oxide coating having a thickness ranging from about 0.5 mil to about 4 mils.
  • Application of the protective, plasma-resistant coating by plasma spraying has produced excellent results. Plasma spraying is a surface processing technology in which a powdered material is melted, using the high thermal energy of hot plasma, and is blown against the surface of a substrate material to form a film. The spray material is typically a metal, ceramic, or combination thereof. Plasma spraying has significant advantages over other types of spray application techniques. For example, films having good adhesion to substrate materials can be obtained at fast processing speeds on relatively cold (100° C.-300° C.) substrate materials at atmospheric pressure.
  • FIG. 2 is a cross-sectional schematic 200 of a type of plasma spraying system (a twin anode alpha torch 238) which is useful in applying the coatings of the present invention. The particular apparatus illustrated in FIG. 2 is an APS 7000 Series Aeroplasma Spraying System available from Aeroplasma K.K. (Tokyo, Japan). The apparatus 200 includes the following components: first DC main electrode 202; first auxiliary electrode 204; first argon source 206; first air source 208; spray material powder source 210; cathode torch 212; accelerator nozzle 214; plasma arc 216; second DC main electrode 218; second auxiliary electrode 220; dual anode torches 222A and 222B; second argon source 226; second air sources (plasma trimming) 228A and 228B; third argon source 236; plasma jet 232; molten powder source 234; and a base material source 224 which is to be sprayed.
  • Twin anode α torch 238 consists of two anode torches, so that each of the anode torches bears half of the thermal load. Using twin anode torch a 238, a high voltage can be obtained with relatively low current, so that the thermal load on each of the torches will be low. Each nozzle and electrode rod of the torches is water-cooled separately, and the arc starting point and ending point are protected by inert gas, so that stable operation at 200 hours or more is ensured, the service life of consumed parts is extended, and maintenance costs are reduced.
  • A high temperature arc is formed stably between the cathode torch 212 and the anode torch 222, and spray material can be fed directly into the arc. The spray material is completely melted by the high temperature arc column. The arc starting and ending points are protected by inert gas, so that air or oxygen can be used for the plasma gas inroduced through the accelerator nozzle 214.
  • A plasma trimming function 228 is used for twin anode α. Plasma trimming trims the heat of the plasma jet that does not contribute to melting of the spray material, and reduces the thermal load on the substrate material and film to making spraying at short distances possible.
  • One skilled in the art will be able to adapt the method of the invention to a similar type apparatus used for thermal/plasma spray coating.
  • When plasma spraying is used to apply the coating, to further improve the performance of the protective, plasma-resistant coating, it is advantageous to clean the coating after application to the substrate. The cleaning process removes trace metal impurities which may cause problems during semiconductor processing, and also removes loose particles from the surface of the coating which are likely to become contaminating particulates during the processing of product adjacent to the coated surface, especially when that product is a semiconductor device.
  • The cleaning process should remove undesired contaminants and deposition process by-products without affecting the performance capability of the protective coating, and without harming the underlying aluminum alloy surface. To protect the aluminum alloy surface while the coating is cleaned, the coating is first saturated with an inert solvent which does not harm the aluminum alloy upon contact. Subsequently, a chemically active solvent is applied to remove contaminants from the protective coating.
  • In the coating cleaning process, a coated substrate (such as a semiconductor processing system component) is immersed in a deionized water ultrasonic bath (“first DI bath”) at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 5 minutes to about 30 minutes. This presaturates the coating with water and removes loose particles from the coating surface prior to chemical cleaning. The coated component is then chemically cleaned to remove trace metals by wiping the component surface with a soft wipe which has been wetted with a dilute acid solution for a period of about 3 minutes to about 15 minutes. One advantageous dilute acid solution comprises about 0.1 to about 5 volume % HF (more typically, about 1 to about 5 volume %); about 1 to about 15 volume % HNO3 (more typically, about 5 to about 15 volume %); and about 80 to about 99 volume % deionized water. The dilute acid solution should not contact an anodized area of the aluminum alloy surface.
  • After wiping the component with the dilute acid solution, as described above, the component is then rinsed with deionized water, followed by immersion in a deionized water ultrasonic bath (“second DI bath”) at a frequency of about 40 kHz (for example, and not by way of limitation) for a period of about 30 minutes to about 2 hours (typically, for about 40 minutes to about 1 hour). Following removal from the second DI bath, the component is rinsed with deionized water again, then blow dried with N2 and lamp/oven baked at a temperature of about 50° C. to about 70° C. for a period of up to 2 hours. The component is typically further cleaned using CO2 snow (very fine dry ice), which causes any remaining particles to freeze, crumble, and detach from the component surface.
  • Table Two, below, presents the results of an analysis of the number of 0.2 μm diameter or larger particles per square centimeter remaining on a coated substrate surface after cleaning according to the multiple step cleaning process described above, with second DI bath times of 10, 40, and 70 minutes.
    TABLE TWO
    Particles Remaining on Coated Substrate Surface After Cleaning
    Cleaning Time (minutes) Number of ≧0.2 μm particles/cm 2
    10 760,000
    40 240,000
    70 230,000
  • After 40 minutes of immersion in the second DI bath, the number of particles present on the substrate surface has been reduced to approximately one-third of the number of particles remaining after 10 minutes immersion in the second DI bath.
  • Table Three, below, presents the results of an analysis of the surface concentration (×1010 atoms/cm2) of mobile elements on a coated substrate surface after a standard cleaning process and after cleaning according to the multiple step cleaning process described above. The standard cleaning process is a single-step process in which a coated substrate is immersed in a deionized water ultrasonic bath at a frequency of about 40 kHz for a period of up to 1 hour (typically, about 40-50 minutes).
    TABLE THREE
    Surface Concentration of Mobile Elements on Coated Substrate
    After Standard and Preferred Cleaning Processes
    Surface Concentration (×1010 atoms/cm2)
    Element After Standard Cleaning After Preferred Cleaning
    Chromium (Cr) <20 <20
    Copper (Cu) 350 600
    Iron (Fe) <20 130
    Magnesium (Mg) 140,000 17,000
    Manganese (Mn) 120 48
    Titanium (Ti) <20 <20
    Zinc (Zn) 2400 120
  • The surface concentrations of mobile elements magnesium, manganese, and zinc have been greatly reduced after cleaning using the preferred process in comparison with the “standard” cleaning process. This reduces the possibility that these mobile elements will travel to a workpiece surface during processing in an apparatus protected by the coating.
  • In addition to removing impurities and contaminants from the coating surface, the step of wiping the coated component with the dilute HF solution provides fluorination to the coating surface. Fluorination of the coating surface results in a robust, stable coating which is inert to reactive plasmas. Fluorination of the coating surface can also be obtained by exposing the coated surface to a plasma containing fluorine species, such as a CF4 or CF4/CHF3 having a plasma density within the range of about 1×109 e/cm3 to about 1×109 e/cm3, under conditions which provide a coating surface which is at least partially fluorinated.
  • FIGS. 3A-3C show schematics of photomicrograph views (300, 310, 320) of the upper surface of a Y2O3 spray coated 6061 aluminum substrate, where the spray coating was applied to a thickness of about 200 μm on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating. The view is looking directly down at the substrate, at magnifications of 100×, 500×, and 1000× (FIGS. 3A, 3B, and 3C, respectively).
  • FIGS. 3D-3F show schematics of photomicrograph views (330, 340, 350) of the upper surface of the same Y2O3 spray coated 6061 aluminum substrate shown in FIGS. 3A-3C. The view of the sample surface was tilted during image generation to show the topography in more detail, at magnifications of 200×, 750×, and 1500×(FIGS. 3D, 3E, and 3F, respectively).
  • The photomicrographs shown in FIGS. 3A-3F show, in detail, the microstructure of the Y2O3 coating on the aluminum substrate. The roughness of the surface is desirable in that it allows polymer and other byproducts of semiconductor manufacturing processes to collect on semiconductor processing apparatus surfaces, thereby extending the mean time required between apparatus cleanings.
  • FIGS. 4A-4D show schematics of photomicrograph views (400, 410, 420, 430) of a cross-section of a 6061 aluminum substrate 402 which has been spray coated with a layer 404 of Y2O3, where the spray coating was applied to a thickness of about 200 μm on the substrate surface, which was preheated to a temperature of about 150-200° C. prior to application of the coating. FIGS. 4A-4C show the cross-section of the spray-coated substrate at magnifications of 100×, 200×, and 250×, respectively. FIG. 4D shows the interface between the Y2O3 coating 404 and the underlying aluminum 402 in detail (2000× magnification).
  • The photomicrographs shown in FIGS. 4A-4D illustrate the very dense compression microstructure of the Y2O3 coating 404, as well as the superior interface between the Y2O3 coating 404 and the underlying aluminum substrate 402.
  • FIG. 5A is a graph 500 illustrating the weight loss of a Y2O3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 μm on the substrate surface, where the substrate surface was not preheated prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon. The Y2O3 coating was not cleaned after application and prior to the ultrasonification. The graph shows the weight loss 502 of the coupon as a function of the time 504 of the ultrasonification treatment. The weight loss 502 of the coupon increased to about 0.08% within 30 minutes of the start of ultrasonification, then continued to increase up to about 0.12% after 120 minutes of ultrasonification.
  • FIG. 5B is a graph 510 illustrating the weight loss of a Y2O3 spray coated 6061 aluminum test coupon (where the spray coating was applied to a thickness of about 200 μm on the substrate surface, where the substrate surface was preheated to a temperature of about 150-200° C. prior to application of the coating), after an ultrasonification treatment was carried out on the test coupon. The Y2O3 coating was not cleaned after application and prior to the ultrasonification. The graph shows the weight loss 512 of the coupon as a function of the time 514 of the ultrasonification treatment. The weight loss 512 of the coupon increased steadily to about 0.06% after 120 minutes of ultrasonification. The total weight loss (0.06%) of the coupon with substrate preheating was about half of the coupon weight loss (0.12%) without substrate preheating (shown in FIG. 5A).
  • We have also discovered that application of a protective coating comprising an oxide or fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or YAG, which is under compression in accordance with the present invention, permits the drilling of patterns such as attachment openings through the protective coating and underlying aluminum alloy substrate, with less harm to both the protective coating and the interface between the protective coating and the underlying substrate. Laser drilling may be used to form round through-holes. Ultrasonic drilling provides excellent results when drilling through-holes of more complicated shapes (such as crescent shapes). The ultrasonic drilling can be carried out either from the coating surface side or the aluminum alloy substrate side of the component or substrate. The coated substrate may be cleaned after ultrasonic drilling according to the multiple step cleaning process described above.
  • When ultrasonic drilling from a surface of a component or substrate, the best results are achieved when a thin sacrificial layer of a flexible polymeric material is applied or when a more rigid material having a similar thermal coefficient of linear expansion to that of the protective coating is applied over the protective coating surface. The sacrificial layer is typically applied to have a thickness within the range of about 4 mils to about 6 mils.
  • FIGS. 6A-6D show schematic illustrations (610, 620, 630, 640) of photomicrograph cross-sectional views of a hole 600 which has been ultrasonically drilled from the coating side of an aluminum test coupon 602 which has been spray coated with a layer 604 of Y2O3, where the spray coating was applied to a thickness of about 250 μm, and where the aluminum substrate 602 was preheated to a temperature of 150-200° C. at the time the coating 604 was applied. The coated aluminum test coupon 602 was cleaned according to the multiple step cleaning process described above after ultrasonic drilling. FIGS. 6A and 6B show cross-sectional views of the hole 600 at magnifications of 35× and 150×. FIGS. 6C and 6D show cross-sectional views of the left side 606 and right side 608 of hole 600, at a magnification of 250×. The edges of the hole 600 showed no evidence of breaking or chipping, and no delamination of the coating from the aluminum surface was observed.
  • FIGS. 7A-7C show schematic illustrations (700, 710, 720, 730, 740, 750, 760) of various photomicrograph top views of the hole 600 shown in FIGS. 6A-6D, at magnifications of 25× (FIG. 7A), 50× (FIGS. 7B(1 & 2)), and 150× (FIGS. 7C(1-4)). The bright areas observed in the photomicrographs are optical depth-of-field effects which represent high spots on the coating surface. Again, the edges of the hole 600 showed no evidence of breaking or chipping.
  • FIGS. 8A-8D show schematic illustrations (810, 820, 830, 840) of photomicrograph cross-sectional views of a hole 800 which has been ultrasonically drilled from the substrate side of an aluminum test coupon 802 which has been spray coated with a layer 804 of Y2O3, where the spray coating was applied to a thickness of about 250 μm, and where the aluminum substrate 802 was preheated to a temperature of 150-200° C. at the time the coating 804 was applied. The coated aluminum test coupon 702 was cleaned according to the multiple step cleaning process described above after ultrasonic drilling. FIGS. 8A and 8B show cross-sectional views of the hole 700 at magnifications of 35× and 150×. FIGS. 8C and 8D show cross-sectional views of the left side 806 and right side 808 of hole 800, at a magnification of 250×. The edges of the hole 800 showed no evidence of breaking or chipping, and no delamination of the coating from the aluminum surface was observed.
  • FIGS. 9A-9C show schematic illustrations (900, 910, 920, 930, 940, 950, 960) of various photomicrograph top views of the hole 800 shown in FIGS. 8A-8D, at magnifications of 25× (FIG. 9A), 50× (FIGS. 9B(1 & 2)), and 150× (FIGS. 9C(1-4)). Again, the edges of the hole 800 showed no evidence of breaking or chipping
  • The above described exemplary embodiments are not intended to limit the scope of the present invention, as one skilled in the art can, in view of the present disclosure expand such embodiments to correspond with the subject matter of the invention claimed below.

Claims (51)

1. A method of providing a plasma-resistant coating on an aluminum substrate or an aluminum alloy substrate, wherein said method comprises applying a coating over at least a portion of a surface of said substrate, wherein said coating comprises a material selected from the group consisting of: yttrium-aluminum-garnet (YAG); an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; a fluoride of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; and
combinations thereof, wherein said coating is applied to said substrate surface using a technique selected from the group consisting of thermal/flame spraying, plasma spraying, sputtering, and chemical vapor deposition (CVD), and wherein said coating is placed in compression by applying said coating at a substrate surface temperature of at least about 150-200° C.
2. A method in accordance with claim 1, wherein said substrate comprises an aluminum alloy of the 2000 series or the 5000 through 7000 series.
3. A method in accordance with claim 2, wherein said substrate comprises an aluminum alloy of the 5000 through 7000 series.
4. A method in accordance with claim 1, wherein said substrate surface is preheated to a depth of at least 250 mils at a temperature of at least about 150-200° C. prior to application of said coating.
5. A method in accordance with claim 1, wherein said coating is applied by plasma spraying.
6. A method in accordance with claim 1, wherein said method further comprises performing a cleaning process following application of said coating, wherein said cleaning process comprises the steps of:
a) saturating said coating with an inert solvent which does not harm the aluminum alloy upon contact, wherein said saturation is carried out in an ultrasonic bath; followed by
b) applying to said coating a chemically active solvent, whereby contaminants are removed from said coating.
7. A method in accordance with claim 6, wherein said inert solvent is deionized water.
8. A method in accordance with step a) of claim 7, wherein said coated substrate is placed in a deionized water ultrasonic bath for a period of about 5 minutes to about 30 minutes.
9. A method in accordance with claim 6, wherein said chemically active solvent is a dilute acid solution.
10. A method in accordance with claim 9, wherein said dilute acid solution includes HF and HNO3.
11. A method in accordance with claim 10, wherein said dilute acid solution comprises about 0.1 to about 5 volume % HF, about 1 to about 15 volume % HNO3, and about 80 to about 99 volume % deionized water.
12. A method in accordance claim 6, wherein, subsequent to said step b) application of said dilute acid solution, said coated substrate is placed in a deionized water ultrasonic bath for a period of about 30 minutes to about 2 hours.
13. A method in accordance with claim 12, wherein, subsequent to said step b) application of said dilute acid solution, said coated substrate is placed in a deionized water ultrasonic bath for a period of about 40 minutes to about 1 hour.
14. A method in accordance with claim 1, wherein said coating comprises an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy, and wherein said coating is exposed to a fluorine-containing plasma having a plasma density within the range of about 1×109 e/cm3 to about 1×109 e/cm3, whereby the surface characteristics of said coating are improved with respect to mobile impurity content and the amount of loose particles on said surface.
15. A method in accordance with claim 14, wherein said plasma is generated from a source gas comprising CF4.
16. A method in accordance with claim 1, wherein said coating comprises yttria.
17. A method in accordance with claim 16, wherein said yttria coating is applied under conditions which result in said yttria coating having a compressive stress which is sufficient to provide a yttria film porosity of about 1.5% or less.
18. A method in accordance with claim 16, wherein said yttria coating is applied to have a thickness within the range of about 12 mils to about 20 mils.
19. A method in accordance with claim 1, wherein said coating further comprises Al2O3.
20. A method in accordance with claim 19, wherein said Al2O3 comprises less than about 20 volume % of said coating composition.
21. A method in accordance with claim 1, wherein said coating is applied over a layer of aluminum oxide.
22. A method in accordance with claim 21, wherein said aluminum oxide layer has a thickness within the range of about 0.5 mil to about 4 mils.
23. A method in accordance with claim 19, wherein said coating comprises yttria, and wherein said yttria coating has a thickness within the range of about 10 mils to about 20 mils.
24. A method in accordance with claim 1, wherein said method further comprises the step of forming openings through said coated substrate by ultrasonic drilling.
25. A method in accordance with claim 24, wherein said method further comprises the step of applying a layer of a sacrificial material over at least a portion of a surface of said coating, wherein said sacrificial material has a thermal coefficient of linear expansion which is similar to a thermal coefficient of linear expansion of said coating material, and wherein said sacrificial layer is applied over said coating prior to the formation of openings through said coated substrate.
26. A method in accordance with claim 25, wherein said sacrificial layer has a thickness within the range of about 4 mils to about 6 mils.
27. A plasma-resistant coating for a semiconductor processing apparatus component, wherein said semiconductor processing apparatus component comprises aluminum or an aluminum alloy, wherein said coating is applied over at least a portion of a semiconductor processing apparatus component, wherein said coating comprises a material selected from the group consisting of: yttrium-aluminum-garnet (YAG); an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; a fluoride of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy;
and combinations thereof, wherein said coating is under compression such that a film porosity of said coating is about 1.5% or less.
28. A plasma-resistant coating in accordance with claim 27, wherein said semiconductor processing apparatus component comprises an aluminum alloy of the 2000 series or the 5000 through 7000 series.
29. A plasma-resistant coating in accordance with claim 28, wherein said semiconductor processing apparatus component comprises an aluminum alloy of the 5000 through 7000 series.
30. A plasma-resistant coating in accordance with claim 26, wherein said coating comprises yttria.
31. A plasma-resistant coating in accordance with claim 30, wherein said yttria coating has a thickness within the range of about 12 mils to about 20 mils.
32. A plasma-resistant coating in accordance with claim 26, wherein said coating further comprises Al2O3.
33. A plasma-resistant coating in accordance with claim 32, wherein said Al2O3 comprises less than about 20 volume % of said coating composition.
34. A plasma-resistant coating in accordance with claim 26, wherein said coating has been applied using a technique selected from the group consisting of thermal/flame spraying, plasma spraying, sputtering, and chemical vapor deposition (CVD).
35. A plasma-resistant coating in accordance with claim 34, wherein said coating has been applied by plasma spraying.
36. A plasma-resistant coating in accordance with claim 26, wherein said coating is applied over a layer of aluminum oxide.
37. A plasma-resistant coating in accordance with claim 36, wherein said aluminum oxide layer has a thickness within the range of about 0.5 mil to about 4 mils.
38. A plasma-resistant coating in accordance with claim 36, wherein said coating comprises yttria, and wherein said yttria coating has a thickness within the range of about 10 mils to about 20 mils.
39. A plasma-resistant coating in accordance with claim 36, wherein said coating has been applied by sputtering or chemical vapor deposition (CVD).
40. A plasma-resistant coating in accordance with claim 26, wherein said coating comprises an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy, and wherein a surface of said coating is at least partially fluorinated.
41. A method of cleaning a surface of a plasma-resistant coating which has been applied to an aluminum substrate or an aluminum alloy substrate, wherein said method comprises:
a) saturating said coating with an inert solvent which does not harm the aluminum alloy upon contact, wherein said saturation is carried out in an ultrasonic bath; followed by
b) applying to said coating a chemically active solvent, whereby contaminants are removed from said coating.
42. A method in accordance with claim 41, wherein said coating comprises a material selected from the group consisting of: yttrium-aluminum-garnet (YAG); an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; a fluoride of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; and combinations thereof
43. A method in accordance with claim 42, wherein said coating is applied to said substrate surface using a technique selected from the group consisting of thermal/flame spraying, plasma spraying, sputtering, and chemical vapor deposition (CVD).
44. A method in accordance with claim 41, wherein said inert solvent is deionized water.
45. A method in accordance with step a) of claim 41, wherein said coated substrate is placed in a deionized water ultrasonic bath for a period of about 5 minutes to about 30 minutes.
46. A method in accordance with claim 45, wherein said chemically active solvent is a dilute acid solution.
47. A method in accordance with claim 46, wherein said dilute acid solution includes HF and HNO3.
48. A method in accordance with claim 47, wherein said dilute acid solution comprises about 0.1 to about 5 volume % HF, about 1 to about 15 volume % HNO3, and about 80 to about 99 volume % deionized water.
49. A method in accordance with claim 41, wherein, subsequent to said step b) application of said dilute acid solution, said coated substrate is placed in a deionized water ultrasonic bath for a period of about 30 minutes to about 2 hours.
50. A method in accordance with claim 49, wherein, subsequent to said step b) application of said dilute acid solution, said coated substrate is placed in a deionized water ultrasonic bath for a period of about 40 minutes to about 1 hour.
51. A method in accordance with claim 41, wherein said ultrasonic bath is operated at a frequency within the range of about 40 kHz.
US10/898,113 2002-02-14 2004-07-22 Clean, dense yttrium oxide coating protecting semiconductor processing apparatus Expired - Fee Related US8067067B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US10/898,113 US8067067B2 (en) 2002-02-14 2004-07-22 Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US10/918,232 US7479304B2 (en) 2002-02-14 2004-08-13 Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US11/592,905 US7846264B2 (en) 2002-02-14 2006-11-03 Cleaning method used in removing contaminants from a solid yttrium oxide-containing substrate
US11/595,484 US20070134416A1 (en) 2002-02-14 2006-11-10 Cleaning method used in removing contaminants from the surface of an oxide or fluoride comprising a group III B metal
US11/890,221 US20080213496A1 (en) 2002-02-14 2007-08-02 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US12/284,540 US8016948B2 (en) 2002-02-14 2008-09-22 Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal
US12/290,437 US20090087615A1 (en) 2002-02-14 2008-10-29 Corrosion-resistant gas distribution plate for plasma processing chamber
US12/925,271 US20110036874A1 (en) 2002-02-14 2010-10-18 Solid yttrium oxide-containing substrate which has been cleaned to remove impurities

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/075,967 US6776873B1 (en) 2002-02-14 2002-02-14 Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US10/898,113 US8067067B2 (en) 2002-02-14 2004-07-22 Clean, dense yttrium oxide coating protecting semiconductor processing apparatus

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/075,967 Continuation-In-Part US6776873B1 (en) 2002-02-14 2002-02-14 Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US11/796,210 Continuation-In-Part US20080264564A1 (en) 2002-02-14 2007-04-27 Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US10/918,232 Continuation-In-Part US7479304B2 (en) 2002-02-14 2004-08-13 Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US11/595,484 Division US20070134416A1 (en) 2002-02-14 2006-11-10 Cleaning method used in removing contaminants from the surface of an oxide or fluoride comprising a group III B metal
US11/890,221 Continuation-In-Part US20080213496A1 (en) 2002-02-14 2007-08-02 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings

Publications (2)

Publication Number Publication Date
US20050037193A1 true US20050037193A1 (en) 2005-02-17
US8067067B2 US8067067B2 (en) 2011-11-29

Family

ID=38139712

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/898,113 Expired - Fee Related US8067067B2 (en) 2002-02-14 2004-07-22 Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US11/595,484 Abandoned US20070134416A1 (en) 2002-02-14 2006-11-10 Cleaning method used in removing contaminants from the surface of an oxide or fluoride comprising a group III B metal
US12/284,540 Expired - Fee Related US8016948B2 (en) 2002-02-14 2008-09-22 Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/595,484 Abandoned US20070134416A1 (en) 2002-02-14 2006-11-10 Cleaning method used in removing contaminants from the surface of an oxide or fluoride comprising a group III B metal
US12/284,540 Expired - Fee Related US8016948B2 (en) 2002-02-14 2008-09-22 Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal

Country Status (1)

Country Link
US (3) US8067067B2 (en)

Cited By (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233926A1 (en) * 2004-04-05 2005-10-20 Quantum Global Technologies, Llc Etchants for removing titanium contaminant species from titanium substrates
US20060032586A1 (en) * 2003-05-09 2006-02-16 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20060073349A1 (en) * 2004-09-30 2006-04-06 Ngk Insulators, Ltd. Ceramic member and manufacturing method for the same
US20060159940A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
US20060185795A1 (en) * 2003-05-09 2006-08-24 Applied Materials, Inc. Anodized substrate support
EP1777731A1 (en) * 2005-10-21 2007-04-25 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US20070178810A1 (en) * 2006-01-27 2007-08-02 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US20070181065A1 (en) * 2006-02-09 2007-08-09 General Electric Company Etch resistant heater and assembly thereof
US20070186857A1 (en) * 2006-02-13 2007-08-16 Samsung Electronics Co., Ltd. Plasma processing apparatus and method of using the same
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070221132A1 (en) * 2006-03-24 2007-09-27 General Electric Company Composition, coating, coated article, and method
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080141938A1 (en) * 2006-12-13 2008-06-19 General Electric Company Processing apparatus, coated article and method
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US20080142159A1 (en) * 2004-12-17 2008-06-19 Tokyo Electron Limited Plasma Processing Apparatus
US20080206539A1 (en) * 2007-02-23 2008-08-28 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
EP1988187A2 (en) 2007-04-27 2008-11-05 Applied Materials, INC. Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US7624742B1 (en) 2004-04-05 2009-12-01 Quantum Global Technologies, Llc. Method for removing aluminum fluoride contamination from aluminum-containing surfaces of semiconductor process equipment
US20100068489A1 (en) * 2007-02-23 2010-03-18 Applied Microstructures, Inc. Wear-resistant, carbon-doped metal oxide coatings for MEMS and nanoimprint lithography
US20100119843A1 (en) * 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20110036874A1 (en) * 2002-02-14 2011-02-17 Applied Materials, Inc. Solid yttrium oxide-containing substrate which has been cleaned to remove impurities
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20130134147A1 (en) * 2011-11-25 2013-05-30 Nhk Spring Co., Ltd. Substrate support device
WO2013082079A2 (en) 2011-11-29 2013-06-06 Corning Incorporated Yttrium oxide coated optical elements with improved mid-infrared performance
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20150329955A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20160002811A1 (en) * 2013-03-14 2016-01-07 Applied Materials, Inc. High purity aluminum top coat on substrate
US20160076129A1 (en) * 2014-09-17 2016-03-17 Tokyo Electron Limited Component for plasma processing apparatus, and manufacturing method therefor
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20160204379A1 (en) * 2010-09-10 2016-07-14 Industrial Technology Research Institute Environmental sensitive element package and encapsulation method thereof
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20160240726A1 (en) * 2015-02-16 2016-08-18 Advanced Micro-Fabrication Equipment Inc, Shanghai Process component and method to improve mocvd reaction process
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160276141A1 (en) * 2008-11-12 2016-09-22 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9879348B2 (en) 2013-11-13 2018-01-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US20180061617A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
TWI722986B (en) * 2014-05-16 2021-04-01 美商應用材料股份有限公司 Plasma spray coating design using phase and stress control
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
CN113260732A (en) * 2018-12-05 2021-08-13 京瓷株式会社 Member for plasma processing apparatus and plasma processing apparatus provided with same
CN114256047A (en) * 2020-09-25 2022-03-29 中微半导体设备(上海)股份有限公司 Semiconductor component, coating forming method and plasma reaction device
WO2022108888A1 (en) * 2020-11-18 2022-05-27 Entegris, Inc. Articles coated with crack-resistant fluoro-annealed films and methods of making

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US8430970B2 (en) 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
KR20140110069A (en) * 2012-02-09 2014-09-16 도카로 가부시키가이샤 Method for forming thermal-spray fluoride coating film, and member coated with thermal-spray fluoride coating film
US10266943B2 (en) * 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
WO2015200432A1 (en) * 2014-06-27 2015-12-30 Meacham Kirby G B Variable compression connecting rod
KR102182690B1 (en) * 2014-11-11 2020-11-25 (주) 코미코 Internal member applying plasma treatment apparatus and method for manufacturing the same
WO2016111693A1 (en) 2015-01-09 2016-07-14 Apple Inc. Processes to reduce interfacial enrichment of alloying elements under anodic oxide films and improve anodized appearance of heat treatable alloys
US9970080B2 (en) 2015-09-24 2018-05-15 Apple Inc. Micro-alloying to mitigate the slight discoloration resulting from entrained metal in anodized aluminum surface finishes
US9999907B2 (en) 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
US10174436B2 (en) 2016-04-06 2019-01-08 Apple Inc. Process for enhanced corrosion protection of anodized aluminum
US11352708B2 (en) 2016-08-10 2022-06-07 Apple Inc. Colored multilayer oxide coatings
US11242614B2 (en) 2017-02-17 2022-02-08 Apple Inc. Oxide coatings for providing corrosion resistance on parts with edges and convex features
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US11402548B2 (en) 2017-05-25 2022-08-02 Raytheon Company Method of strengthening an optical element
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
CN108531849A (en) * 2018-04-13 2018-09-14 浙江宏鑫科技有限公司 Reflectal hub surface plasma thermal sprayed technique
US11549191B2 (en) 2018-09-10 2023-01-10 Apple Inc. Corrosion resistance for anodized parts having convex surface features
JP7268177B2 (en) * 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド Method for manufacturing chamber parts
JP7189371B2 (en) * 2019-09-30 2022-12-13 京セラ株式会社 Member for plasma processing apparatus and plasma processing apparatus provided with the same
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5102496A (en) * 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5162133A (en) * 1990-03-05 1992-11-10 International Business Machines Corporation Process for fabricating silicon carbide films with a predetermined stress
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5407121A (en) * 1993-11-19 1995-04-18 Mcnc Fluxless soldering of copper
US5413877A (en) * 1992-09-22 1995-05-09 Moller International, Inc. Combination thermal barrier and wear coating for internal combustion engines
US5488925A (en) * 1993-10-28 1996-02-06 Fujitsu Limited Gas handling device assembly used for a CVD apparatus
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US6027629A (en) * 1994-11-16 2000-02-22 Kabushiki Kaisha Kobe Seiko Sho Vacuum chamber made of aluminum or its alloys, and surface treatment and material for the vacuum chamber
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US6153270A (en) * 1996-11-13 2000-11-28 Ewald Dorken Ag Process for application of an inorganic coating to an electrically conducting body
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20020015853A1 (en) * 2000-07-31 2002-02-07 Kazuhiro Wataya Method for formation of thermal-spray coating layer of rare earth fluoride
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US20020142611A1 (en) * 2001-03-30 2002-10-03 O'donnell Robert J. Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US20020177001A1 (en) * 1999-12-10 2002-11-28 Yoshio Harada Plasma processing container internal member and production method thereof
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
US20030051811A1 (en) * 2001-03-30 2003-03-20 Toshiba Ceramics Co., Ltd. Plasma resistant member
US6547978B2 (en) * 1997-08-13 2003-04-15 Applied Materials Inc. Method of heating a semiconductor substrate
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6592707B2 (en) * 1999-04-13 2003-07-15 Applied Materials Inc. Corrosion-resistant protective coating for an apparatus and method for processing a substrate
US20030134134A1 (en) * 2002-01-11 2003-07-17 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20040002221A1 (en) * 2002-06-27 2004-01-01 O'donnell Robert J. Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040067392A1 (en) * 2001-07-19 2004-04-08 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US20040229078A1 (en) * 2003-05-12 2004-11-18 Takao Maeda Plasma resistant article and method of manufacture
US20050003240A1 (en) * 2002-03-21 2005-01-06 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3868351A (en) * 1970-12-02 1975-02-25 Gen Electric Solution process for the preparation of polyimdies from diamines and anhydrides
US4906524A (en) 1987-05-29 1990-03-06 Orient Watch Co., Ltd. Surface-coated article and a method for the preparation thereof
JPH03287797A (en) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd Corrosion resistant member
US5651797A (en) * 1995-08-07 1997-07-29 Joray Corporation Apparatus and method for the immersion cleaning and transport of semiconductor components
JP2001023908A (en) 1999-07-07 2001-01-26 Texas Instr Japan Ltd Vacuum processor
DE19955134A1 (en) 1999-11-17 2001-05-23 Sms Demag Ag Recuperator burner has metallic components subjected to high temperatures that are provided with a ceramic protective layer
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US20050215059A1 (en) * 2004-03-24 2005-09-29 Davis Ian M Process for producing semi-conductor coated substrate
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5102496A (en) * 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5162133A (en) * 1990-03-05 1992-11-10 International Business Machines Corporation Process for fabricating silicon carbide films with a predetermined stress
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5413877A (en) * 1992-09-22 1995-05-09 Moller International, Inc. Combination thermal barrier and wear coating for internal combustion engines
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5488925A (en) * 1993-10-28 1996-02-06 Fujitsu Limited Gas handling device assembly used for a CVD apparatus
US5407121A (en) * 1993-11-19 1995-04-18 Mcnc Fluxless soldering of copper
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US6027629A (en) * 1994-11-16 2000-02-22 Kabushiki Kaisha Kobe Seiko Sho Vacuum chamber made of aluminum or its alloys, and surface treatment and material for the vacuum chamber
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US6153270A (en) * 1996-11-13 2000-11-28 Ewald Dorken Ag Process for application of an inorganic coating to an electrically conducting body
US6547978B2 (en) * 1997-08-13 2003-04-15 Applied Materials Inc. Method of heating a semiconductor substrate
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6352611B1 (en) * 1998-07-29 2002-03-05 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6592707B2 (en) * 1999-04-13 2003-07-15 Applied Materials Inc. Corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6783863B2 (en) * 1999-12-10 2004-08-31 Tocalo Co., Ltd. Plasma processing container internal member and production method thereof
US20020177001A1 (en) * 1999-12-10 2002-11-28 Yoshio Harada Plasma processing container internal member and production method thereof
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
US20020015853A1 (en) * 2000-07-31 2002-02-07 Kazuhiro Wataya Method for formation of thermal-spray coating layer of rare earth fluoride
US20030051811A1 (en) * 2001-03-30 2003-03-20 Toshiba Ceramics Co., Ltd. Plasma resistant member
US20020142611A1 (en) * 2001-03-30 2002-10-03 O'donnell Robert J. Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US20040067392A1 (en) * 2001-07-19 2004-04-08 Ngk Insulators, Ltd. Film of yttria-alumina complex oxide, a method of producing the same, a sprayed film, a corrosion resistant member, and a member effective for reducing particle generation
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US20030134134A1 (en) * 2002-01-11 2003-07-17 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20050003240A1 (en) * 2002-03-21 2005-01-06 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20040002221A1 (en) * 2002-06-27 2004-01-01 O'donnell Robert J. Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040229078A1 (en) * 2003-05-12 2004-11-18 Takao Maeda Plasma resistant article and method of manufacture

Cited By (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110036874A1 (en) * 2002-02-14 2011-02-17 Applied Materials, Inc. Solid yttrium oxide-containing substrate which has been cleaned to remove impurities
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20060032586A1 (en) * 2003-05-09 2006-02-16 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20060185795A1 (en) * 2003-05-09 2006-08-24 Applied Materials, Inc. Anodized substrate support
US7732010B2 (en) 2003-05-09 2010-06-08 Applied Materials, Inc. Method for supporting a glass substrate to improve uniform deposition thickness
US20050233926A1 (en) * 2004-04-05 2005-10-20 Quantum Global Technologies, Llc Etchants for removing titanium contaminant species from titanium substrates
US7624742B1 (en) 2004-04-05 2009-12-01 Quantum Global Technologies, Llc. Method for removing aluminum fluoride contamination from aluminum-containing surfaces of semiconductor process equipment
US20060073349A1 (en) * 2004-09-30 2006-04-06 Ngk Insulators, Ltd. Ceramic member and manufacturing method for the same
US7582367B2 (en) * 2004-09-30 2009-09-01 Ngk Insulators, Ltd. Ceramic member and manufacturing method for the same
US7897009B2 (en) * 2004-12-17 2011-03-01 Tokyo Electron Limited Plasma processing apparatus
US20080142159A1 (en) * 2004-12-17 2008-06-19 Tokyo Electron Limited Plasma Processing Apparatus
US7732056B2 (en) * 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
JP2006241589A (en) * 2005-01-18 2006-09-14 Applied Materials Inc Corrosion-resistant aluminum component having multi-layer coating
US20060159940A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
US20070111030A1 (en) * 2005-10-21 2007-05-17 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
EP1777731A1 (en) * 2005-10-21 2007-04-25 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
KR101304082B1 (en) * 2005-10-21 2013-09-05 신에쓰 가가꾸 고교 가부시끼가이샤 Corrosion resistant multilayer member
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US20070178810A1 (en) * 2006-01-27 2007-08-02 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US20070181065A1 (en) * 2006-02-09 2007-08-09 General Electric Company Etch resistant heater and assembly thereof
US20070186857A1 (en) * 2006-02-13 2007-08-16 Samsung Electronics Co., Ltd. Plasma processing apparatus and method of using the same
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US20070221132A1 (en) * 2006-03-24 2007-09-27 General Electric Company Composition, coating, coated article, and method
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080141938A1 (en) * 2006-12-13 2008-06-19 General Electric Company Processing apparatus, coated article and method
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US20080206539A1 (en) * 2007-02-23 2008-08-28 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20100068489A1 (en) * 2007-02-23 2010-03-18 Applied Microstructures, Inc. Wear-resistant, carbon-doped metal oxide coatings for MEMS and nanoimprint lithography
US8900695B2 (en) 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US8034734B2 (en) 2007-04-27 2011-10-11 Applied Materials, Inc. Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US20100160143A1 (en) * 2007-04-27 2010-06-24 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic of yttrium oxide and zirconium oxide
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US9051219B2 (en) 2007-04-27 2015-06-09 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8623527B2 (en) 2007-04-27 2014-01-07 Applied Materials, Inc. Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
EP1988187A2 (en) 2007-04-27 2008-11-05 Applied Materials, INC. Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8871312B2 (en) 2007-08-02 2014-10-28 Applied Materials, Inc. Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2009017766A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8758858B2 (en) 2007-12-21 2014-06-24 Applied Materials, Inc. Method of producing a plasma-resistant thermal oxide coating
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20100119843A1 (en) * 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20160276141A1 (en) * 2008-11-12 2016-09-22 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US10157731B2 (en) * 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US9935289B2 (en) * 2010-09-10 2018-04-03 Industrial Technology Research Institute Institute Environmental sensitive element package and encapsulation method thereof
US20160204379A1 (en) * 2010-09-10 2016-07-14 Industrial Technology Research Institute Environmental sensitive element package and encapsulation method thereof
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US20130134147A1 (en) * 2011-11-25 2013-05-30 Nhk Spring Co., Ltd. Substrate support device
WO2013082079A2 (en) 2011-11-29 2013-06-06 Corning Incorporated Yttrium oxide coated optical elements with improved mid-infrared performance
WO2013082079A3 (en) * 2011-11-29 2013-08-08 Corning Incorporated Yttrium oxide coated optical elements with improved mid-infrared performance
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US10020170B2 (en) 2012-07-27 2018-07-10 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US11587771B2 (en) 2012-07-27 2023-02-21 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9850591B2 (en) * 2013-03-14 2017-12-26 Applied Materials, Inc. High purity aluminum top coat on substrate
US10774436B2 (en) 2013-03-14 2020-09-15 Applied Materials, Inc. High purity aluminum top coat on substrate
US20160002811A1 (en) * 2013-03-14 2016-01-07 Applied Materials, Inc. High purity aluminum top coat on substrate
US10418229B2 (en) 2013-05-24 2019-09-17 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10734202B2 (en) 2013-06-05 2020-08-04 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10119188B2 (en) 2013-06-20 2018-11-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US10796888B2 (en) 2013-07-19 2020-10-06 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9812341B2 (en) 2013-07-20 2017-11-07 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US11424136B2 (en) 2013-07-20 2022-08-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US10930526B2 (en) 2013-07-20 2021-02-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9869012B2 (en) 2013-07-20 2018-01-16 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings
US9617188B2 (en) 2013-11-12 2017-04-11 Applied Material, Inc. Rare-earth oxide based coating
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US10934216B2 (en) 2013-11-12 2021-03-02 Applied Materials, Inc. Rare-earth oxide based chamber material
US9890086B2 (en) 2013-11-12 2018-02-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9884787B2 (en) 2013-11-12 2018-02-06 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US10584068B2 (en) 2013-11-12 2020-03-10 Applied Materials, Inc. Rare-earth oxide based chamber material
US10577286B2 (en) 2013-11-12 2020-03-03 Applied Materials, Inc. Rare-earth oxide based chamber material
US10260160B2 (en) 2013-11-13 2019-04-16 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9879348B2 (en) 2013-11-13 2018-01-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9797037B2 (en) 2013-12-06 2017-10-24 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566317B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566319B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566318B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US10563297B2 (en) 2014-04-25 2020-02-18 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10544500B2 (en) 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US11773479B2 (en) 2014-04-25 2023-10-03 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9970095B2 (en) 2014-04-25 2018-05-15 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10815562B2 (en) 2014-04-25 2020-10-27 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US20150329955A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US11578398B2 (en) 2014-05-16 2023-02-14 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10604831B2 (en) * 2014-05-16 2020-03-31 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US20190136360A1 (en) * 2014-05-16 2019-05-09 Applied Materials, Inc. Plasma spray coating design using phase and stress control
TWI722986B (en) * 2014-05-16 2021-04-01 美商應用材料股份有限公司 Plasma spray coating design using phase and stress control
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105431926A (en) * 2014-05-16 2016-03-23 应用材料公司 Plasma spray coating design using phase and stress control
CN113620710A (en) * 2014-05-16 2021-11-09 应用材料公司 Plasma spray coating design using phase and stress control
WO2015175987A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US20160076129A1 (en) * 2014-09-17 2016-03-17 Tokyo Electron Limited Component for plasma processing apparatus, and manufacturing method therefor
US20160240726A1 (en) * 2015-02-16 2016-08-18 Advanced Micro-Fabrication Equipment Inc, Shanghai Process component and method to improve mocvd reaction process
US11476146B2 (en) 2015-11-17 2022-10-18 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11769683B2 (en) 2015-11-17 2023-09-26 Applied Materials, Inc. Chamber component with protective ceramic coating containing yttrium, aluminum and oxygen
US10679885B2 (en) 2015-11-17 2020-06-09 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US20180061617A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
JP2018032858A (en) * 2016-08-23 2018-03-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
CN107768279A (en) * 2016-08-23 2018-03-06 应用材料公司 Method for depositing etch quantity of the fluorine alumina layer with fast quick-recovery in etching chamber
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11667577B2 (en) 2018-03-07 2023-06-06 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US20220042161A1 (en) * 2018-12-05 2022-02-10 Kyocera Corporation Member for plasma processing device and plasma processing device provided with same
CN113260732A (en) * 2018-12-05 2021-08-13 京瓷株式会社 Member for plasma processing apparatus and plasma processing apparatus provided with same
CN114256047A (en) * 2020-09-25 2022-03-29 中微半导体设备(上海)股份有限公司 Semiconductor component, coating forming method and plasma reaction device
WO2022108888A1 (en) * 2020-11-18 2022-05-27 Entegris, Inc. Articles coated with crack-resistant fluoro-annealed films and methods of making

Also Published As

Publication number Publication date
US8016948B2 (en) 2011-09-13
US20090025751A1 (en) 2009-01-29
US20070134416A1 (en) 2007-06-14
US8067067B2 (en) 2011-11-29

Similar Documents

Publication Publication Date Title
US8067067B2 (en) Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
JP5978236B2 (en) Method for coating semiconductor processing equipment with protective film containing yttrium
US7479304B2 (en) Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20090214825A1 (en) Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP4985928B2 (en) Multi-layer coated corrosion resistant member
US5494713A (en) Method for treating surface of aluminum material and plasma treating apparatus
KR101107542B1 (en) Thermal sprayed yttria-containing coating for plasma reactor
KR101304082B1 (en) Corrosion resistant multilayer member
JP4606121B2 (en) Corrosion-resistant film laminated corrosion-resistant member and manufacturing method thereof
KR20180118696A (en) Coated semiconductor processing elements with chlorine and fluorine plasma corrosion resistance and their composite oxide coatings
JPH10251871A (en) Boron carbide parts for plasma reactor
WO2007108546A1 (en) Ceramic coating member for semiconductor processing apparatus
US20050161061A1 (en) Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
JP2007321183A (en) Plasma resistant member
KR20230027298A (en) Erosion-resistant metal fluoride coated articles, methods of making and using them
KR20060031135A (en) Coating layer for vacuum plasma chamber and fabrication method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, JENNIFER Y.;THACH, SENH;DEMPSTER, JIM;AND OTHERS;REEL/FRAME:016155/0834;SIGNING DATES FROM 20040729 TO 20040730

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, JENNIFER Y.;THACH, SENH;DEMPSTER, JIM;AND OTHERS;SIGNING DATES FROM 20040729 TO 20040730;REEL/FRAME:016155/0834

ZAAA Notice of allowance and fees due

Free format text: ORIGINAL CODE: NOA

ZAAB Notice of allowance mailed

Free format text: ORIGINAL CODE: MN/=.

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20231129