US20050022932A1 - Disturbance-free, recipe-controlled plasma processing system and method - Google Patents

Disturbance-free, recipe-controlled plasma processing system and method Download PDF

Info

Publication number
US20050022932A1
US20050022932A1 US10/933,413 US93341304A US2005022932A1 US 20050022932 A1 US20050022932 A1 US 20050022932A1 US 93341304 A US93341304 A US 93341304A US 2005022932 A1 US2005022932 A1 US 2005022932A1
Authority
US
United States
Prior art keywords
recipe
result
processed
optimum
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/933,413
Inventor
Akira Kagoshima
Hideyuki Yamamoto
Shoji Ikuhara
Toshio Masuda
Hiroyuki Kitsunai
Junichi Tanaka
Natsuyo Morioka
Kenji Tamaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/933,413 priority Critical patent/US20050022932A1/en
Publication of US20050022932A1 publication Critical patent/US20050022932A1/en
Priority to US11/346,298 priority patent/US7601240B2/en
Priority to US11/783,653 priority patent/US20070193687A1/en
Priority to US12/351,159 priority patent/US20090120580A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present invention relates to plasma processing systems and methods and more particularly, to a plasma processing system and method which can suppress influences caused by a disturbance.
  • a plasma processing system there is known, for example, a system wherein an etching gas is introduced into a vacuum processing chamber so that plasma is generated under a vacuum pressure, and radicals or ions generated in the plasma react with a surface of a wafer to be processed for etching.
  • the etching is carried out under manufacturing conditions (gas flow rate, gas pressure, input power, etching time, etc.) called recipe.
  • the recipe is always kept constant in a specific manufacturing step (in the same process) of a semiconductor device.
  • the single process may sometimes be divided into several steps and the manufacturing conditions may be changed for each of the steps.
  • wafer processing is carried out with manufacturing conditions called the recipe set constant for each wafer processing.
  • a plasma processing control system which includes a plasma processor for performing plasma processing operation over a sample accommodated within a vacuum processing chamber, a sensor for monitoring process parameters during processing operation of the processor, means for providing a processed-result estimation model to estimate a processed result on the basis of a monitored output from the sensor and a preset processed-result prediction equation, means for providing an optimum recipe calculation model to calculate optimum processing conditions in such a manner that the processed result becomes a target value on the basis of the estimated result of the processed-result estimation model, and a controller for controlling the plasma processing system on the basis of the recipe generated using the optimum recipe calculation model.
  • a method for performing plasma processing operation over a sample accommodated within a vacuum processing chamber which includes the steps of monitoring process parameters during the processing operation, estimating a processed result on the basis of the monitored result, calculating correction values of processing conditions in such a manner that the processed result becomes a target value on the basis of an estimated result of the processed result to thereby generate an optimum recipe, and controlling a plasma processor on the basis of the generated optimum recipe.
  • FIG. 1 is a block diagram of a dry etching system in accordance with an embodiment of the present invention
  • FIG. 2 shows an arrangement of the entire dry etching system
  • FIG. 3 is a flowchart for explaining feedback control of the dry etching system
  • FIG. 4 is a diagram for explaining how to correct an optimum recipe calculation model
  • FIG. 5 is a diagram for explaining how to calculate an optimum recipe
  • FIG. 6 shows another embodiment of the dry etching system
  • FIG. 7 shows a further embodiment of the dry etching system
  • FIG. 8 shows processed result estimation and processing control effect using an in-situ sensor
  • FIG. 9 is a flowchart for explaining etching control of the dry etching system.
  • FIG. 10 shows a stabilized effect caused by feedback control or feedforward control
  • FIG. 11 is a diagram for explaining operations of generating a processed-result prediction equation
  • FIG. 12 shows yet another embodiment of the dry etching system
  • FIG. 13 is a flowchart for explaining how to construct an optimum recipe calculation model
  • FIG. 14 is a diagram for explaining how to select a usable recipe with use of a usable recipe selecting means
  • FIG. 15 is a still further embodiment of the dry etching system.
  • FIG. 16 is an additional embodiment of the dry etching system.
  • FIG. 1 shows a block diagram of a dry etching system in accordance with an embodiment of the present invention.
  • reference numeral 1 denotes a plasma processor for generating a plasma 1 c
  • reference symbol 1 b denotes a wafer as an object to be processed which is mounted in a wafer stage 1 a within a processing chamber.
  • Reference numeral 2 denotes sensors for monitoring in-process parameters including a flow rate, pressure of a gas supplied to the dry etching system and an input power thereof. These sensors are usually incorporated as standard components in the dry etching system.
  • Reference numeral 3 denotes an additional sensor such as an optical emission spectroscopy (OES) for analyzing a spectrum of plasma light or a quadrupole mass spectrometer (QMS) for analyzing the mass of a plasma particle.
  • Reference numeral 4 denotes an actuator for controlling the dry etching system according to data indicative of a recipe 6
  • numeral 5 denotes a database for saving therein in-process parameters linked to the recipe or production management information such as a lot number or wafer ID. In this connection, the recipe may be changed during processing operation of the wafer or for each wafer processing operation.
  • FIG. 2 is an arrangement of an entire dry etching system in accordance with a first embodiment of the present invention.
  • the system includes a feedback (FB) control system and a feedforward (FF) system.
  • FB feedback
  • FF feedforward
  • reference numeral 21 denotes a photolithographical processor which coats a resist on, e.g., semiconductor substrate and etches the resist in such a manner that, for example, a gate electrode having a desired electrode width can be obtained at a gate part of a field-effect transistor (FET) as a target.
  • FET field-effect transistor
  • the target value of the electrode width or the value of a processed result will be referred to as the critical dimension (CD) value, hereinafter.
  • Reference numeral 22 denotes a measuring instrument such as a CD-scanning electron microscope (SEM) for measuring the CD value of the resist after the etching process
  • numeral 23 denotes a plasma etcher
  • 24 denotes sensors (which will be referred to as in-situ sensors, hereinafter) for monitoring a flow rate and pressure of a gas supplied to the plasma etcher, an input power thereof, and in-process parameters of the OES and QMS.
  • Reference numeral 25 denotes information indicative of a processed-result estimation model which is used to estimate a processed result (e.g., the aforementioned CD value of the processed result) with use of monitored outputs of the in-situ sensors or a processed-result prediction equation.
  • the estimation model can be used to estimate a processed result for each wafer. Further, the estimation model can be corrected based on an output of an instrument for measuring a processed result (which will be explained later).
  • Reference numeral 26 denotes information indicative of an optimum recipe calculation model, which can be corrected on the basis of the estimated result of the processed-result estimation model and a target value 27 , e.g., as shown by Example 1 or 2 in the drawings to generates an optimum recipe. Further, in the calculation model, the output of the measuring instrument 22 such as the CD-SEM can be used as a feedforward control input as shown in Example 3.
  • Reference numeral 28 denotes a usable recipe selecting means which acts to select one of recipes stored in a recipe server 29 which is the closest to the optimum recipe generated by the optimum recipe calculation model and set it as a usable recipe.
  • Reference numeral 30 denotes a critical dimension scanning electron microscope (CD-SEM) for measuring the CD value of a processed result
  • numeral 31 denotes a processed result measuring instrument such as a cross section scanning electron microscope (X-SEM) which outputs a processed result as the CD value or gate shape signal 32 .
  • the CD-SEM 30 and measuring instrument such as the X-SEM 31 sample wafers for each processing unit of the plasma etcher, that is, on a lot basis, for measurement. For this reason, the CD value or gate shape can be obtained for each lot.
  • FIG. 3 is a flowchart for explaining feedback control of the dry etching system of the present embodiment.
  • a step 1 first, the system set a processing target value (CD value).
  • the system calculates an optimum recipe for attaining the target value from the processing target value on the basis of the optimum recipe calculation model.
  • the system selects in a step 3 one of recipes which is the closest to the optimum recipe and sets in a step 4 the selected recipe for the plasma etcher 23 .
  • the system starts its etching operation.
  • the system monitors a state of the system during the etching operation with use of the in-situ sensors.
  • the system estimates a processed result of the wafer on the basis of the measured values of the in-situ sensors and with use of the processed-result estimation model in a step 8.
  • the system corrects the optimum recipe calculation model on the basis of the estimated processed result and target value as shown in FIG. 4 , and sets the corrected optimum recipe for the plasma etcher 23 .
  • the system then proceeds to the step 2 for processing of the next wafer.
  • wafers in each lot may be sequentially sampled one after another, actual dimensions of each wafer may be measured by the CD-SEM 30 or the processed result measuring instrument such as the X-SEM 31 , and a processed-result estimation model can be accurately corrected based on the measured results.
  • the correction of the estimation model enables realization of highly accurate inspection comparable to the wafer total-number inspection by the above sampling inspection alone.
  • the processed result can be estimated with use of the measured values of the in-situ sensors and feedback control can be correspondingly carried out. Further, since the measured values of the in-situ sensors are used, when compared to a method (not using the in-situ sensors) of measuring actual dimensions of wafers with use of the CD-SEM 30 or the processed result measuring instrument such as the X-SEM 31 alone, the method of the present invention can form a high-speed feedback loop (feedback control loop for each wafer) and thus mass production of defective wafers can be suppressed.
  • a resist processing dimension e.g., CD value
  • the optimum recipe calculation model 26 compares the measured value with the target value 27 to obtain a difference or shift therebetween, estimates (Y in FIG. 5 ) a processing amount (CD shift value) for cancellation of the shift from the target value of the resist processing dimension in the photolithography step, and calculates an optimum recipe on the basis of the estimated value.
  • the usable recipe selecting means 28 next selects one of recipes stored in the recipe server which is the closest to the optimum recipe generated by the optimum recipe calculation model, and sets the selected recipe as a usable recipe.
  • Shown in FIG. 4 is an example when the processed result in the photolithography step is larger than the target CD value.
  • resist is made thinner (slimming process) through etching operation so as to reach the target CD value, or the resist is adjusted through the etching operation of BARC/HLD (bottom anti-reflection coating/high temperature, low pressure dielectric) so as to reach the target CD value.
  • BARC/HLD bottom anti-reflection coating/high temperature, low pressure dielectric
  • Next etching operation is carried out with use of the resist having the target CD value or BARC/HLD as a mask.
  • the system estimates a CD shift value generated by side-etching of the target resist, and calculates an optimum recipe on the basis of the estimated CD shift value with use of the optimum recipe calculation model as shown in FIG. 5 . Thereafter the system selects usable one of the recipes which is the closest to the calculated optimum recipe and performs its etching operation over the resist using the selected recipe.
  • the system calculates an optimum recipe with use of the optimum recipe calculation model, selects usable one of the recipes which is the closest to the calculated optimum recipe, performs its etching operation over the wafer based on the selected recipe, and completes its etching step.
  • FIG. 6 shows a block diagram of a dry etching system in accordance with another embodiment of the present invention.
  • parts having the same functions as those in FIG. 2 are denoted by the same reference numerals, and explanation thereof is omitted.
  • such a processed-result estimation model as shown in FIG. 2 is not used. With no use of the model, the feedback loop speed becomes slow, but feedback using actually-measured data from the processed result measuring instrument 30 or 31 can be realized. For this reason, the optimum recipe calculation model can be more accurately corrected.
  • FIG. 16 shows a dry etching system in accordance with a further embodiment of the present invention.
  • parts having the same functions as those in FIG. 2 are denoted by the same reference numerals, and explanation thereof is omitted.
  • the CD-SEM 30 , X-SEM 31 and processed result 32 shown in FIG. 2 are not used. This is because, when the in-situ sensors 24 and processed-result estimation model 25 can be kept highly accurate as in the present embodiment, the need for model correction from the CD-SEM or the like can be eliminated. In this manner, a processing method which can eliminate the need for an inspection instrument such as the CD-SEM or X-SEM can be realized, and thus the number of inspection steps in manufacturing a semiconductor can be reduced.
  • FIG. 7 shows a dry etching system in accordance with yet another embodiment of the present invention.
  • parts having the same functions as those in FIG. 2 are denoted by the same reference numerals, and explanation thereof is omitted.
  • the in-situ sensors 24 shown in FIG. 2 are replaced by a scattered-light shape estimating means (scatterometry).
  • the scatterometry radiates light on a plurality of lattice marks provided on a wafer with a wavelength or incident angle as a parameter to measure a reflectivity.
  • the system compares the measured reflectivity with a feature library previously made through theoretical computation, searches the library for a library waveform having a good matching therewith, and adjusts shape parameters to estimate shape and dimensions of the wafer formed by the plurality of grating marks.
  • the shape estimation accuracy can be corrected without implementation of destructive inspection by the X-SEM.
  • the scatterometry 24 A is built in the plasma etcher 23 as a measuring instrument (integrated metrology) for monitoring process parameters to measure the wafer immediately after etched within the etcher and to estimate dimensions and shape thereof. How to correct the optimum recipe calculation model based on the estimated result is substantially the same as in FIG. 2 .
  • FIG. 8 shows processed result estimation and the effect of processing control using the in-situ sensor.
  • FIG. 8 shows an example where the in-situ sensor measures, as an example, plasma luminescence during the processing operation.
  • the plasma luminescence includes information about etchants or ions which dominate the process, and thus a change in the processed result can be estimated on the basis of a change in the peak intensity of the plasma luminescence or in the spectrum shape.
  • the plasma luminescence since a change in the plasma luminescence is slight, it is desirable to apply some numerical data processing operation to the plasma luminescence to extract a changed component in the luminescence spectrum with a high sensitivity.
  • the arithmetic processing includes, for example, finding of a ratio or difference with respect to a standard spectrum.
  • a statistical analysis technique e.g., main component analysis is employed, only changed one of many luminescence peak components can be filtered and extracted.
  • Shown in a left column of FIG. 8 is a result of plasma luminescence after subjected to the numerical data processing.
  • Mark * in the drawing indicates a change in the luminescence peak which influences the deposit of a side wall.
  • a processed result can be estimated based on the processed-result estimation model.
  • the model is illustrated in a central column in FIG. 8 , from which it can be estimated that, when compared to a predetermined processing shape (shown in the uppermost row), the side wall deposit is increased and a taper angle is increased as the luminescence peak varies.
  • the system calculates an optimum recipe for processing control.
  • the calculation of the optimum recipe is realized by correcting a processing recipe.
  • the correction is carried out according to a deviation from the processing target value. For example, when the taper angle coincides with a target value, no correction is applied; whereas, when the taper angle is large, the correction is set to be large. As a result, the taper angle can be kept constant as shown in a right column of FIG. 8 . How to calculate the optimum recipe will be explained later.
  • the in-situ sensor has been used to detect the plasma luminescence here, it is also possible, in addition to the above, to use, for example, discharge voltage (Vpp), bias voltage (Vdc) or impedance monitor.
  • FIG. 9 shows a block diagram of etching control of the dry etching system according to the present embodiment.
  • a sensor 91 for monitoring process parameters and also a processed result can include a sensor such as an emission spectroscope for outputting many pieces of data, a sensor such as a plasma impedance monitor highly sensitive to a plasma state, and various sensors for detecting a pressure, temperature, voltage, power incidence and reflection. Further, a single sensor such as an emission spectroscope, which can acquire many pieces of data at the same time, can be provided. The sensor outputs a signal indicative of a state of the dry etching system at intervals of a constant time, e.g., one second. The number of sensor data pieces per one output of the above sensor is several tens to several thousands of pieces.
  • a signal compressor 92 compresses such many pieces of data into a system state signal.
  • the number of such system state signals varies from situation to situation and sometimes is several to several thousands of signals.
  • the signal compression may employ a statistical analysis such as a main component analysis.
  • a processed result estimator 93 generates a processing state signal for each wafer through average or differentiation operation from time changes of the system state signals.
  • an processed-result prediction equation 94 in FIG. 9 is an equation for predicting a processed result of the wafer after processed on the basis of the generated processing state signal of each wafer, and is previously stored in a database.
  • the processed result estimator 93 further predicts a processed shape of the wafer with use of the processing state signal and prediction equation.
  • the estimator when there is a range of variance in the processed shape among the wafers, the estimator also calculates the variance range.
  • An optimum recipe calculation model 95 inputs the above predicted result and a processing target value 96 and calculates correction values of the processing conditions so that the processed result becomes the target value.
  • the model 95 passes the corrected processing conditions (optimum recipe) to a system controller 97 to control an etcher 98 for processing of a next wafer.
  • the accuracy certification of the processed-result prediction equation can be made by comparing a processed-result prediction value with an actually-measured result of the instrument such as a CD-SEM for measuring a processed shape.
  • FIG. 10 shows an effect of stabilization caused by feedback control or feedforward control.
  • a vertical axis or ordinate indicates CD gain and an increase caused by processing of the CD value.
  • the CD gain is kept constant at a slightly positive value.
  • the fluctuation is identified as inter-lot fluctuation in the drawing. The fluctuation takes place, in particular, during a time from full cleaning of the reactor after the reactor is opened to the atmosphere and the deposit on the inner wall of the reactor is removed to stabilization of the state on the inner wall surface of the reactor.
  • the deposition of a reaction product or a temperature change on the inner wall surface causes a short-term fluctuation (in-lot fluctuation). Furthermore, the processing of the photolithography or etching step also causes a fluctuation in the processing.
  • FIG. 11 is a flowchart for explaining how to generate the processed-result prediction equation shown in FIG. 9 .
  • a step S 1 first, the system performs etching operation over a sample (wafer) with use of the etcher.
  • the system compresses data about sensors for monitoring process parameters in the data compressor in a step S 2 , and stores the compressed data in a processing state signal database in a step S 3 .
  • the system measures the processed shape of the wafer after the above processing, e.g., with use of a CD-SEM in a step S 4 , and saves it in a processed result database in a step S 5 .
  • a step S 6 the system finds a correlative relationship between the actually-measured processed shape and processing state signal by multiple regression and generates a processed-result prediction equation.
  • FIG. 12 shows another control example of the dry etching system of the present invention.
  • a response surface model generally used for statistical processing was used as a method for modeling an optimum recipe calculation model.
  • FIG. 13 also shows how to construct the optimum recipe calculation model in the example of FIG. 12 .
  • A, B and C indicate etching performance items as targets and a, b, c, d, e and f denote 6 recipe parameters to be set for the etching system.
  • A, B and C refer to, e.g., selectivity, side-etching amount, taper angle, etc.; whereas a, b, c, d, e and f refer to, e.g., gas flow rate, pressure, voltage, power, temperature and time respectively.
  • the system performs an evaluation test by a Taguchi method in a step 1, and selects one or ones of recipe parameters which affect(s) a uniformity and removes it or them from controllable parameters in a step 2.
  • feedback control Raun-to-Run control
  • the system acquires data necessary for the modeling by an experimental design method in a step 3, and creates an optimum recipe calculation model in a step 4.
  • a three-dimensional model wherein only etch performances A, B, etch performances A, C, and etch performances B, C contribute to the recipe parameters a, b and c respectively, was assumed for easy understanding of a basic idea of the optimum recipe calculation model.
  • the optimum recipe calculation model generated by a response surface methodology is a multi-dimensional model which has the etch performances A, B and C as its inputs and has the recipe parameters a, b and c as its outputs.
  • a method of changing the slope of the model was employed.
  • the recipe parameters a′, b′ and c′ and the fixed recipe parameters d, e and f derived and updated using such a corrected model in this manner are given as processing conditions of a next wafer.
  • the system performs its etching operation according to the etching conditions in a step 5.
  • FIG. 14 is a diagram for explaining how a usable recipe selection means selects a usable recipe.
  • the system first calculates a recipe No. 20 shown by ⁇ circle over (1) ⁇ in the drawing using the optimum recipe calculation model on the basis of the target values of the CD shift value and CD taper and performs the recipe processing operation.
  • the target values were used as two variables for simplicity of the explanation, two or more variable may be used similarly.
  • the system After completing the etching operation over the first wafer, the system measures its processed result by the processed-result estimation model or a measuring instrument such as a CD-SEM. The measured result is assumed to have been shifted from the target as shown by ⁇ circle over (2) ⁇ . Then, the system judges that the initial calculation mode is fluctuated by a variation with time, moves or tilts the model in such a manner that the initial recipe (corresponding to No. 20 in this case) coincides with the processed result for model correction (that is, moves the initial optimum recipe calculation model to obtain an optimum recipe calculation model ( 1 ) after the correction).
  • the system selects an optimum recipe (corresponding to a recipe No. 10 of the second wafer shown by ⁇ circle over (4) ⁇ ) from the target value with use of the corrected optimum recipe calculation model ( 1 ).
  • the system issues an alarm and performs no etching operation.
  • the system can beforehand prevent production of many defective products.
  • the alarm can be used also as an execution judgement for the maintenance operation called ‘full cleaning’.
  • the plasma etching system has been used as a typical example for the plasma processing system in the foregoing explanation, the present invention can be applied even to another plasma processing system such as a plasma CVD system.
  • the system since the feedback control or feedforward control is applied on the basis of the outputs of the sensors for monitoring process parameters or on the basis of the measured result of the processed result measuring instrument, the system can suppress inter-lot fluctuation, in-lot fluctuation and variance and can realize accurate device processing.

Abstract

A plasma processing control system for a plasma processing apparatus having a plasma processor for performing a plasma processing operation over a sample accommodated within a vacuum processing chamber, a sensor for monitoring process parameters during the processing operation, a unit for providing a processed-result estimation model which estimates a processed result on the basis of a monitored output from the sensor and a preset processed-result estimation equation, a unit for providing an optimum recipe calculation model which calculates corrections to the processing conditions so that the processed result becomes a target value on the basis of the estimated result of the processed-result estimation model, and a unit for causing the processing apparatus to process the sample under the optimum processing conditions in the next processing step on the basis of a recipe generated from the optimum recipe calculation model.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This is a continuation of U.S. application Ser. No. 10/350,061, filed Jan. 24, 2003, which is a division of U.S. application Ser. No. 09/946,503, filed Sep. 6, 2001, now U.S. Pat. No. 6,733,618, the subject matter of which is incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to plasma processing systems and methods and more particularly, to a plasma processing system and method which can suppress influences caused by a disturbance.
  • As a plasma processing system, there is known, for example, a system wherein an etching gas is introduced into a vacuum processing chamber so that plasma is generated under a vacuum pressure, and radicals or ions generated in the plasma react with a surface of a wafer to be processed for etching. In the dry etching system for performing such processing, the etching is carried out under manufacturing conditions (gas flow rate, gas pressure, input power, etching time, etc.) called recipe. The recipe is always kept constant in a specific manufacturing step (in the same process) of a semiconductor device. In this connection, the single process may sometimes be divided into several steps and the manufacturing conditions may be changed for each of the steps.
  • SUMMARY OF THE INVENTION
  • When a process using a dry etching system is executed in a semiconductor manufacturing step, wafer processing is carried out with manufacturing conditions called the recipe set constant for each wafer processing.
  • In a recent dry etching process which demands finer processing, however, a product generated by a reaction between a wafer and an etching gas is deposited on an inner wall of a processing chamber, an unnecessary gas called outgas is produced from the deposit, which results in a change with time in an environment within the processing chamber. Further, the environment within the chamber is also changed even by the temperature change of parts associated with the chamber and by the wear of the parts. Such a dry etching system is susceptible to various disturbance factors.
  • In addition, even variations in the shape dimensions of a mask formed in a lithography step as a pretreatment of the above etching processing have also a great effect on its etched result.
  • That is, even when etching processing is carried out with a constant recipe, it is difficult to obtain a constant performance due to various disturbances.
  • In view of the problems in the prior art, it is therefore an object of the present invention to provide a plasma processing control system and method which can suppress influences caused, in particular, by disturbances.
  • In order to solve the above problems, in accordance with an aspect of the present invention, there is provided a plasma processing control system which includes a plasma processor for performing plasma processing operation over a sample accommodated within a vacuum processing chamber, a sensor for monitoring process parameters during processing operation of the processor, means for providing a processed-result estimation model to estimate a processed result on the basis of a monitored output from the sensor and a preset processed-result prediction equation, means for providing an optimum recipe calculation model to calculate optimum processing conditions in such a manner that the processed result becomes a target value on the basis of the estimated result of the processed-result estimation model, and a controller for controlling the plasma processing system on the basis of the recipe generated using the optimum recipe calculation model.
  • In accordance with another aspect of the present invention, there is provided a method for performing plasma processing operation over a sample accommodated within a vacuum processing chamber, which includes the steps of monitoring process parameters during the processing operation, estimating a processed result on the basis of the monitored result, calculating correction values of processing conditions in such a manner that the processed result becomes a target value on the basis of an estimated result of the processed result to thereby generate an optimum recipe, and controlling a plasma processor on the basis of the generated optimum recipe.
  • Other objects, features and advantages of the present invention will become apparent from the following description of the embodiments of the invention taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a block diagram of a dry etching system in accordance with an embodiment of the present invention;
  • FIG. 2 shows an arrangement of the entire dry etching system;
  • FIG. 3 is a flowchart for explaining feedback control of the dry etching system;
  • FIG. 4 is a diagram for explaining how to correct an optimum recipe calculation model;
  • FIG. 5 is a diagram for explaining how to calculate an optimum recipe;
  • FIG. 6 shows another embodiment of the dry etching system;
  • FIG. 7 shows a further embodiment of the dry etching system;
  • FIG. 8 shows processed result estimation and processing control effect using an in-situ sensor;
  • FIG. 9 is a flowchart for explaining etching control of the dry etching system;
  • FIG. 10 shows a stabilized effect caused by feedback control or feedforward control;
  • FIG. 11 is a diagram for explaining operations of generating a processed-result prediction equation;
  • FIG. 12 shows yet another embodiment of the dry etching system;
  • FIG. 13 is a flowchart for explaining how to construct an optimum recipe calculation model;
  • FIG. 14 is a diagram for explaining how to select a usable recipe with use of a usable recipe selecting means;
  • FIG. 15 is a still further embodiment of the dry etching system; and
  • FIG. 16 is an additional embodiment of the dry etching system.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • FIG. 1 shows a block diagram of a dry etching system in accordance with an embodiment of the present invention. In FIG. 1, reference numeral 1 denotes a plasma processor for generating a plasma 1 c, and reference symbol 1 b denotes a wafer as an object to be processed which is mounted in a wafer stage 1 a within a processing chamber. Reference numeral 2 denotes sensors for monitoring in-process parameters including a flow rate, pressure of a gas supplied to the dry etching system and an input power thereof. These sensors are usually incorporated as standard components in the dry etching system. Reference numeral 3 denotes an additional sensor such as an optical emission spectroscopy (OES) for analyzing a spectrum of plasma light or a quadrupole mass spectrometer (QMS) for analyzing the mass of a plasma particle. Reference numeral 4 denotes an actuator for controlling the dry etching system according to data indicative of a recipe 6, numeral 5 denotes a database for saving therein in-process parameters linked to the recipe or production management information such as a lot number or wafer ID. In this connection, the recipe may be changed during processing operation of the wafer or for each wafer processing operation.
  • FIG. 2 is an arrangement of an entire dry etching system in accordance with a first embodiment of the present invention. The system includes a feedback (FB) control system and a feedforward (FF) system.
  • In FIG. 2, reference numeral 21 denotes a photolithographical processor which coats a resist on, e.g., semiconductor substrate and etches the resist in such a manner that, for example, a gate electrode having a desired electrode width can be obtained at a gate part of a field-effect transistor (FET) as a target. The target value of the electrode width or the value of a processed result will be referred to as the critical dimension (CD) value, hereinafter. Reference numeral 22 denotes a measuring instrument such as a CD-scanning electron microscope (SEM) for measuring the CD value of the resist after the etching process, numeral 23 denotes a plasma etcher, and 24 denotes sensors (which will be referred to as in-situ sensors, hereinafter) for monitoring a flow rate and pressure of a gas supplied to the plasma etcher, an input power thereof, and in-process parameters of the OES and QMS. Reference numeral 25 denotes information indicative of a processed-result estimation model which is used to estimate a processed result (e.g., the aforementioned CD value of the processed result) with use of monitored outputs of the in-situ sensors or a processed-result prediction equation. In this conjunction, since the in-situ sensors can monitor each wafer during the wafer processing operation, the estimation model can be used to estimate a processed result for each wafer. Further, the estimation model can be corrected based on an output of an instrument for measuring a processed result (which will be explained later).
  • Reference numeral 26 denotes information indicative of an optimum recipe calculation model, which can be corrected on the basis of the estimated result of the processed-result estimation model and a target value 27, e.g., as shown by Example 1 or 2 in the drawings to generates an optimum recipe. Further, in the calculation model, the output of the measuring instrument 22 such as the CD-SEM can be used as a feedforward control input as shown in Example 3.
  • Reference numeral 28 denotes a usable recipe selecting means which acts to select one of recipes stored in a recipe server 29 which is the closest to the optimum recipe generated by the optimum recipe calculation model and set it as a usable recipe.
  • Reference numeral 30 denotes a critical dimension scanning electron microscope (CD-SEM) for measuring the CD value of a processed result, and numeral 31 denotes a processed result measuring instrument such as a cross section scanning electron microscope (X-SEM) which outputs a processed result as the CD value or gate shape signal 32. In this connection, the CD-SEM 30 and measuring instrument such as the X-SEM 31 sample wafers for each processing unit of the plasma etcher, that is, on a lot basis, for measurement. For this reason, the CD value or gate shape can be obtained for each lot.
  • FIG. 3 is a flowchart for explaining feedback control of the dry etching system of the present embodiment. In a step 1, first, the system set a processing target value (CD value). In a step 2, the system calculates an optimum recipe for attaining the target value from the processing target value on the basis of the optimum recipe calculation model. The system selects in a step 3 one of recipes which is the closest to the optimum recipe and sets in a step 4 the selected recipe for the plasma etcher 23. In a step 5, the system starts its etching operation. In a step 6, the system monitors a state of the system during the etching operation with use of the in-situ sensors. When completing etching operation corresponding to a single wafer in a step 7, the system estimates a processed result of the wafer on the basis of the measured values of the in-situ sensors and with use of the processed-result estimation model in a step 8. In a step 9, the system corrects the optimum recipe calculation model on the basis of the estimated processed result and target value as shown in FIG. 4, and sets the corrected optimum recipe for the plasma etcher 23. The system then proceeds to the step 2 for processing of the next wafer.
  • As mentioned above, further, wafers in each lot may be sequentially sampled one after another, actual dimensions of each wafer may be measured by the CD-SEM 30 or the processed result measuring instrument such as the X-SEM 31, and a processed-result estimation model can be accurately corrected based on the measured results. The correction of the estimation model enables realization of highly accurate inspection comparable to the wafer total-number inspection by the above sampling inspection alone.
  • With such a control system, the processed result can be estimated with use of the measured values of the in-situ sensors and feedback control can be correspondingly carried out. Further, since the measured values of the in-situ sensors are used, when compared to a method (not using the in-situ sensors) of measuring actual dimensions of wafers with use of the CD-SEM 30 or the processed result measuring instrument such as the X-SEM 31 alone, the method of the present invention can form a high-speed feedback loop (feedback control loop for each wafer) and thus mass production of defective wafers can be suppressed.
  • Explanation will next be made as to feedforward control of the dry etching system of the present embodiment by referring to FIGS. 2 and 5. A resist processing dimension (e.g., CD value) of the wafer processed by the photolithographical processor 21 is measured by the measuring instrument 22 such as the CD-SEM. The optimum recipe calculation model 26 compares the measured value with the target value 27 to obtain a difference or shift therebetween, estimates (Y in FIG. 5) a processing amount (CD shift value) for cancellation of the shift from the target value of the resist processing dimension in the photolithography step, and calculates an optimum recipe on the basis of the estimated value. The usable recipe selecting means 28 next selects one of recipes stored in the recipe server which is the closest to the optimum recipe generated by the optimum recipe calculation model, and sets the selected recipe as a usable recipe.
  • Shown in FIG. 4 is an example when the processed result in the photolithography step is larger than the target CD value. In such a case, resist is made thinner (slimming process) through etching operation so as to reach the target CD value, or the resist is adjusted through the etching operation of BARC/HLD (bottom anti-reflection coating/high temperature, low pressure dielectric) so as to reach the target CD value. Next etching operation is carried out with use of the resist having the target CD value or BARC/HLD as a mask. In this case, the system estimates a CD shift value generated by side-etching of the target resist, and calculates an optimum recipe on the basis of the estimated CD shift value with use of the optimum recipe calculation model as shown in FIG. 5. Thereafter the system selects usable one of the recipes which is the closest to the calculated optimum recipe and performs its etching operation over the resist using the selected recipe.
  • Similarly, the system, on the basis of the CD value of the resist, then calculates an optimum recipe with use of the optimum recipe calculation model, selects usable one of the recipes which is the closest to the calculated optimum recipe, performs its etching operation over the wafer based on the selected recipe, and completes its etching step.
  • FIG. 6 shows a block diagram of a dry etching system in accordance with another embodiment of the present invention. In FIG. 6, parts having the same functions as those in FIG. 2 are denoted by the same reference numerals, and explanation thereof is omitted. In this embodiment, such a processed-result estimation model as shown in FIG. 2 is not used. With no use of the model, the feedback loop speed becomes slow, but feedback using actually-measured data from the processed result measuring instrument 30 or 31 can be realized. For this reason, the optimum recipe calculation model can be more accurately corrected.
  • FIG. 16 shows a dry etching system in accordance with a further embodiment of the present invention. In the drawing, parts having the same functions as those in FIG. 2 are denoted by the same reference numerals, and explanation thereof is omitted. In this embodiment, as opposed to the embodiment of FIG. 6, the CD-SEM 30, X-SEM 31 and processed result 32 shown in FIG. 2 are not used. This is because, when the in-situ sensors 24 and processed-result estimation model 25 can be kept highly accurate as in the present embodiment, the need for model correction from the CD-SEM or the like can be eliminated. In this manner, a processing method which can eliminate the need for an inspection instrument such as the CD-SEM or X-SEM can be realized, and thus the number of inspection steps in manufacturing a semiconductor can be reduced.
  • FIG. 7 shows a dry etching system in accordance with yet another embodiment of the present invention. In FIG. 7, parts having the same functions as those in FIG. 2 are denoted by the same reference numerals, and explanation thereof is omitted. In this embodiment, the in-situ sensors 24 shown in FIG. 2 are replaced by a scattered-light shape estimating means (scatterometry). The scatterometry radiates light on a plurality of lattice marks provided on a wafer with a wavelength or incident angle as a parameter to measure a reflectivity. The system then compares the measured reflectivity with a feature library previously made through theoretical computation, searches the library for a library waveform having a good matching therewith, and adjusts shape parameters to estimate shape and dimensions of the wafer formed by the plurality of grating marks.
  • When the scatterometry 24A is used to measure a processed shape of the grating marks of the wafer sampled for each lot and to correct the processed-result estimation model 25 as in a modification example of FIG. 15, the shape estimation accuracy can be corrected without implementation of destructive inspection by the X-SEM.
  • The scatterometry 24A is built in the plasma etcher 23 as a measuring instrument (integrated metrology) for monitoring process parameters to measure the wafer immediately after etched within the etcher and to estimate dimensions and shape thereof. How to correct the optimum recipe calculation model based on the estimated result is substantially the same as in FIG. 2.
  • FIG. 8 shows processed result estimation and the effect of processing control using the in-situ sensor. FIG. 8 shows an example where the in-situ sensor measures, as an example, plasma luminescence during the processing operation. The plasma luminescence includes information about etchants or ions which dominate the process, and thus a change in the processed result can be estimated on the basis of a change in the peak intensity of the plasma luminescence or in the spectrum shape.
  • In this connection, since a change in the plasma luminescence is slight, it is desirable to apply some numerical data processing operation to the plasma luminescence to extract a changed component in the luminescence spectrum with a high sensitivity. The arithmetic processing includes, for example, finding of a ratio or difference with respect to a standard spectrum. Alternatively, when a statistical analysis technique, e.g., main component analysis is employed, only changed one of many luminescence peak components can be filtered and extracted.
  • Shown in a left column of FIG. 8 is a result of plasma luminescence after subjected to the numerical data processing. Mark * in the drawing indicates a change in the luminescence peak which influences the deposit of a side wall. On the basis of an analysis result of the luminescence peak, a processed result can be estimated based on the processed-result estimation model. The model is illustrated in a central column in FIG. 8, from which it can be estimated that, when compared to a predetermined processing shape (shown in the uppermost row), the side wall deposit is increased and a taper angle is increased as the luminescence peak varies.
  • On the basis of these results, the system calculates an optimum recipe for processing control. The calculation of the optimum recipe is realized by correcting a processing recipe. The correction is carried out according to a deviation from the processing target value. For example, when the taper angle coincides with a target value, no correction is applied; whereas, when the taper angle is large, the correction is set to be large. As a result, the taper angle can be kept constant as shown in a right column of FIG. 8. How to calculate the optimum recipe will be explained later. Although the in-situ sensor has been used to detect the plasma luminescence here, it is also possible, in addition to the above, to use, for example, discharge voltage (Vpp), bias voltage (Vdc) or impedance monitor.
  • FIG. 9 shows a block diagram of etching control of the dry etching system according to the present embodiment.
  • A sensor 91 for monitoring process parameters and also a processed result can include a sensor such as an emission spectroscope for outputting many pieces of data, a sensor such as a plasma impedance monitor highly sensitive to a plasma state, and various sensors for detecting a pressure, temperature, voltage, power incidence and reflection. Further, a single sensor such as an emission spectroscope, which can acquire many pieces of data at the same time, can be provided. The sensor outputs a signal indicative of a state of the dry etching system at intervals of a constant time, e.g., one second. The number of sensor data pieces per one output of the above sensor is several tens to several thousands of pieces.
  • A signal compressor 92 compresses such many pieces of data into a system state signal. The number of such system state signals varies from situation to situation and sometimes is several to several thousands of signals. The signal compression may employ a statistical analysis such as a main component analysis.
  • A processed result estimator 93 generates a processing state signal for each wafer through average or differentiation operation from time changes of the system state signals.
  • In this connection, an processed-result prediction equation 94 in FIG. 9 is an equation for predicting a processed result of the wafer after processed on the basis of the generated processing state signal of each wafer, and is previously stored in a database. The processed result estimator 93 further predicts a processed shape of the wafer with use of the processing state signal and prediction equation. In this connection, when there is a range of variance in the processed shape among the wafers, the estimator also calculates the variance range.
  • An optimum recipe calculation model 95 inputs the above predicted result and a processing target value 96 and calculates correction values of the processing conditions so that the processed result becomes the target value. The model 95 passes the corrected processing conditions (optimum recipe) to a system controller 97 to control an etcher 98 for processing of a next wafer. In this case, the accuracy certification of the processed-result prediction equation can be made by comparing a processed-result prediction value with an actually-measured result of the instrument such as a CD-SEM for measuring a processed shape.
  • FIG. 10 shows an effect of stabilization caused by feedback control or feedforward control. In the drawing, a vertical axis or ordinate indicates CD gain and an increase caused by processing of the CD value. For the purpose of production management, it is ideal that the CD gain is kept constant at a slightly positive value. However, due to deposition of a reaction product on the inner wall of a reactor, the state of the plasma or chemistry varies though it is slight, which results in a long-term fluctuation in the processing. The fluctuation is identified as inter-lot fluctuation in the drawing. The fluctuation takes place, in particular, during a time from full cleaning of the reactor after the reactor is opened to the atmosphere and the deposit on the inner wall of the reactor is removed to stabilization of the state on the inner wall surface of the reactor. Further, even in a lot, the deposition of a reaction product or a temperature change on the inner wall surface causes a short-term fluctuation (in-lot fluctuation). Furthermore, the processing of the photolithography or etching step also causes a fluctuation in the processing.
  • In the prior art, such a fluctuation was accommodated in a device processing margin by hardware improvement of temperature adjustment on the inner wall surface, etc. or by cleaning the wall at intervals of a suitable time (e.g., for each lot or wafer) to remove the deposit to thereby stabilize the state of the reactor. However, as the device is required to be finer and the processing margin is required to be correspondingly smaller, the prior art method had its stabilization limit. Meanwhile, when feedback control or feedforward control is applied as in the present embodiment, it is possible to suppress the inter-lot fluctuation, in-lot fluctuation and processing fluctuation and to accommodate it in the device processing margin, as shown in a lower stage in FIG. 10.
  • FIG. 11 is a flowchart for explaining how to generate the processed-result prediction equation shown in FIG. 9. In a step S1, first, the system performs etching operation over a sample (wafer) with use of the etcher. The system compresses data about sensors for monitoring process parameters in the data compressor in a step S2, and stores the compressed data in a processing state signal database in a step S3. The system measures the processed shape of the wafer after the above processing, e.g., with use of a CD-SEM in a step S4, and saves it in a processed result database in a step S5. In a step S6, the system finds a correlative relationship between the actually-measured processed shape and processing state signal by multiple regression and generates a processed-result prediction equation.
  • FIG. 12 shows another control example of the dry etching system of the present invention. In this example, a response surface model generally used for statistical processing was used as a method for modeling an optimum recipe calculation model. FIG. 13 also shows how to construct the optimum recipe calculation model in the example of FIG. 12.
  • Assume first that A, B and C indicate etching performance items as targets and a, b, c, d, e and f denote 6 recipe parameters to be set for the etching system. A, B and C refer to, e.g., selectivity, side-etching amount, taper angle, etc.; whereas a, b, c, d, e and f refer to, e.g., gas flow rate, pressure, voltage, power, temperature and time respectively. First of all, the system performs an evaluation test by a Taguchi method in a step 1, and selects one or ones of recipe parameters which affect(s) a uniformity and removes it or them from controllable parameters in a step 2. When these parameters (d, e and f in the drawing) are made always stationary as fixed recipe parameters, feedback control (Run-to-Run control) for each wafer prevents the deterioration of the uniformity.
  • The system acquires data necessary for the modeling by an experimental design method in a step 3, and creates an optimum recipe calculation model in a step 4. In FIG. 11, a three-dimensional model wherein only etch performances A, B, etch performances A, C, and etch performances B, C contribute to the recipe parameters a, b and c respectively, was assumed for easy understanding of a basic idea of the optimum recipe calculation model. In actuality, the optimum recipe calculation model generated by a response surface methodology is a multi-dimensional model which has the etch performances A, B and C as its inputs and has the recipe parameters a, b and c as its outputs. In the construction example, in order to change the etch performance, a method of changing the slope of the model was employed. The recipe parameters a′, b′ and c′ and the fixed recipe parameters d, e and f derived and updated using such a corrected model in this manner are given as processing conditions of a next wafer. The system performs its etching operation according to the etching conditions in a step 5.
  • FIG. 14 is a diagram for explaining how a usable recipe selection means selects a usable recipe. When it is desired in a process to process a first wafer, the system first calculates a recipe No. 20 shown by {circle over (1)} in the drawing using the optimum recipe calculation model on the basis of the target values of the CD shift value and CD taper and performs the recipe processing operation. Although the target values were used as two variables for simplicity of the explanation, two or more variable may be used similarly.
  • After completing the etching operation over the first wafer, the system measures its processed result by the processed-result estimation model or a measuring instrument such as a CD-SEM. The measured result is assumed to have been shifted from the target as shown by {circle over (2)}. Then, the system judges that the initial calculation mode is fluctuated by a variation with time, moves or tilts the model in such a manner that the initial recipe (corresponding to No. 20 in this case) coincides with the processed result for model correction (that is, moves the initial optimum recipe calculation model to obtain an optimum recipe calculation model (1) after the correction).
  • Upon etching operation of a second wafer, the system selects an optimum recipe (corresponding to a recipe No. 10 of the second wafer shown by {circle over (4)}) from the target value with use of the corrected optimum recipe calculation model (1).
  • However, when the model after its correction became “optimum recipe calculation model (2) after its correction” given in the drawing, there exists no optimum recipe as the target value. In this case, accordingly, the system issues an alarm and performs no etching operation. Thus, when the system became abnormal, the system can beforehand prevent production of many defective products. Further, the alarm can be used also as an execution judgement for the maintenance operation called ‘full cleaning’. Although the plasma etching system has been used as a typical example for the plasma processing system in the foregoing explanation, the present invention can be applied even to another plasma processing system such as a plasma CVD system.
  • As has been explained above, in accordance with the present embodiment, since the feedback control or feedforward control is applied on the basis of the outputs of the sensors for monitoring process parameters or on the basis of the measured result of the processed result measuring instrument, the system can suppress inter-lot fluctuation, in-lot fluctuation and variance and can realize accurate device processing.

Claims (9)

1. A plasma processing control system comprising:
a plasma processor for performing a plasma processing operation over a sample accommodated within a vacuum processing chamber of a plasma processing apparatus;
a sensor for monitoring process parameters during the plasma processing operation of the processing apparatus and providing a monitored output indicative thereof;
means for providing a processed-result estimation model which estimates a processed result on the basis of the monitored output from the sensor and a preset processed-result estimation equation;
means for providing an optimum recipe calculation model which calculates corrections to processing conditions so that the processed result becomes a target value on the basis of the estimated result of the processed-result estimation model; and
means for causing the processing apparatus to process the sample under optimum processing conditions in the next processing step on the basis of a recipe generated from the optimum recipe calculation model.
2. A plasma processing control system as set forth in claim 1, wherein the plasma processing apparatus includes a processed result measuring instrument for measuring a shape of the sample obtained as a result of the processing, and the measuring instrument corrects the processed-result estimation model on the basis of the measured result of the measuring instrument.
3. A plasma processing control system as set forth in claim 1, wherein the optimum recipe calculation model providing means includes means for judging validity of an optimum recipe calculated by the model and selecting a usable recipe.
4. A plasma processing control system according to claim 3, wherein the usable recipe selecting means selects one of previously-stored recipes which is closest to the optimum recipe calculated by said optimum recipe calculation model.
5. A plasma processing control system according to claim 3, wherein the optimum recipe calculation model providing means includes a measuring instrument for measuring a shape of the sample before the processing, and the measuring instrument applies feedforward control to the optimum recipe calculating operation on the basis of a measured result of the measuring instrument with use of the optimum recipe calculation model to calculate processing conditions such that the processed result becomes a target value.
6. A plasma processing control system as set forth in claim 1, further comprising a shape estimating means including a scatterometer for estimating a processed result.
7. A plasma processing control system as set forth in claim 1, wherein the plasma processing apparatus is a plasma etcher.
8. A plasma processing control system comprising:
a plasma processor for performing a plasma processing operation over a sample accommodated within a vacuum processing chamber of a plasma processing apparatus;
optimum-recipe calculation model providing means for calculating an optimum recipe on the basis of a measured result of a processed result measuring instrument and a target value;
usable recipe selecting means for judging validity of the optimum recipe calculated by the optimum recipe calculation model and selecting a usable recipe; and
means for enabling the plasma processor on the basis of the usable recipe selected by the usable recipe selecting means to process the sample in a desired step with the processing conditions being held constant.
9. A plasma processing control system as set forth in claim 8, wherein the optimum-recipe calculation model providing means includes a measuring instrument for measuring a shape of the sample before the processing, and means for applying feedforward control to the optimum recipe calculating operation to calculate the processing conditions on the basis of the measured result of the measuring instrument and with use of the optimum recipe calculation model so that a processed result becomes the target value.
US10/933,413 2001-06-29 2004-09-03 Disturbance-free, recipe-controlled plasma processing system and method Abandoned US20050022932A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/933,413 US20050022932A1 (en) 2001-06-29 2004-09-03 Disturbance-free, recipe-controlled plasma processing system and method
US11/346,298 US7601240B2 (en) 2001-06-29 2006-02-03 Disturbance-free, recipe-controlled plasma processing system and method
US11/783,653 US20070193687A1 (en) 2001-06-29 2007-04-11 Disturbance-free, recipe-controlled plasma processing system and method
US12/351,159 US20090120580A1 (en) 2001-06-29 2009-01-09 Disturbance-Free, Recipe-Controlled Plasma Processing System And Method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2001-198830 2001-06-29
JP2001198830A JP3708031B2 (en) 2001-06-29 2001-06-29 Plasma processing apparatus and processing method
US09/946,503 US6733618B2 (en) 2001-06-29 2001-09-06 Disturbance-free, recipe-controlled plasma processing system and method
US10/350,061 US6881352B2 (en) 2001-06-29 2003-01-24 Disturbance-free, recipe-controlled plasma processing method
US10/933,413 US20050022932A1 (en) 2001-06-29 2004-09-03 Disturbance-free, recipe-controlled plasma processing system and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/350,061 Continuation US6881352B2 (en) 2001-06-29 2003-01-24 Disturbance-free, recipe-controlled plasma processing method

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US11/346,298 Continuation US7601240B2 (en) 2001-06-29 2006-02-03 Disturbance-free, recipe-controlled plasma processing system and method
US11/783,653 Division US20070193687A1 (en) 2001-06-29 2007-04-11 Disturbance-free, recipe-controlled plasma processing system and method
US12/351,159 Continuation US20090120580A1 (en) 2001-06-29 2009-01-09 Disturbance-Free, Recipe-Controlled Plasma Processing System And Method

Publications (1)

Publication Number Publication Date
US20050022932A1 true US20050022932A1 (en) 2005-02-03

Family

ID=19036210

Family Applications (6)

Application Number Title Priority Date Filing Date
US09/946,503 Expired - Lifetime US6733618B2 (en) 2001-06-29 2001-09-06 Disturbance-free, recipe-controlled plasma processing system and method
US10/350,061 Expired - Lifetime US6881352B2 (en) 2001-06-29 2003-01-24 Disturbance-free, recipe-controlled plasma processing method
US10/933,413 Abandoned US20050022932A1 (en) 2001-06-29 2004-09-03 Disturbance-free, recipe-controlled plasma processing system and method
US11/346,298 Expired - Lifetime US7601240B2 (en) 2001-06-29 2006-02-03 Disturbance-free, recipe-controlled plasma processing system and method
US11/783,653 Abandoned US20070193687A1 (en) 2001-06-29 2007-04-11 Disturbance-free, recipe-controlled plasma processing system and method
US12/351,159 Abandoned US20090120580A1 (en) 2001-06-29 2009-01-09 Disturbance-Free, Recipe-Controlled Plasma Processing System And Method

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/946,503 Expired - Lifetime US6733618B2 (en) 2001-06-29 2001-09-06 Disturbance-free, recipe-controlled plasma processing system and method
US10/350,061 Expired - Lifetime US6881352B2 (en) 2001-06-29 2003-01-24 Disturbance-free, recipe-controlled plasma processing method

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/346,298 Expired - Lifetime US7601240B2 (en) 2001-06-29 2006-02-03 Disturbance-free, recipe-controlled plasma processing system and method
US11/783,653 Abandoned US20070193687A1 (en) 2001-06-29 2007-04-11 Disturbance-free, recipe-controlled plasma processing system and method
US12/351,159 Abandoned US20090120580A1 (en) 2001-06-29 2009-01-09 Disturbance-Free, Recipe-Controlled Plasma Processing System And Method

Country Status (4)

Country Link
US (6) US6733618B2 (en)
JP (1) JP3708031B2 (en)
KR (4) KR100463256B1 (en)
TW (1) TWI227520B (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040060659A1 (en) * 2002-09-27 2004-04-01 Natsuyo Morioka Etching system and etching method
US6972201B1 (en) * 2004-01-12 2005-12-06 Advanced Micro Devices, Inc. Using scatterometry to detect and control undercut for ARC with developable BARCs
US20060077387A1 (en) * 2004-09-27 2006-04-13 Yoshiyuki Sato Apparatus, method and program for generating a recipe for an inspection tool
US20070129839A1 (en) * 2005-12-05 2007-06-07 Fujitsu Limited System for controlling semiconductor device manufacturing process and method of controlling semiconductor device manufacturing process
US20070284335A1 (en) * 2006-03-30 2007-12-13 Hiroshi Tsujimoto Etching method and etching apparatus
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US7596421B2 (en) 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
US20110215072A1 (en) * 2010-03-08 2011-09-08 Park Sangwuk Plasma apparatus having a controller for controlling a plasma chamber and methods for controlling the plasma apparatus
US20150132863A1 (en) * 2012-01-13 2015-05-14 Tokyo Electron Limited Plasma processing apparatus and heater temperature control method
CN106842966A (en) * 2010-05-27 2017-06-13 应用材料公司 A kind of temperature controller
US11410836B2 (en) * 2013-09-20 2022-08-09 Hitachi High-Tech Corporation Analysis method and semiconductor etching apparatus

Families Citing this family (406)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776872B2 (en) * 2002-03-05 2004-08-17 Hitachi, Ltd. Data processing apparatus for semiconductor processing apparatus
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US7155301B2 (en) * 2002-08-28 2006-12-26 Tokyo Electron Limited Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
TWI246725B (en) * 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
TWI220764B (en) * 2002-12-06 2004-09-01 Winbond Electronics Corp The detective method for the dry-etching machine
JP4363861B2 (en) * 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ Semiconductor manufacturing equipment
US7122096B2 (en) * 2003-03-04 2006-10-17 Hitachi High-Technologies Corporation Method and apparatus for processing semiconductor
JP4363863B2 (en) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ Process control method in semiconductor processing apparatus
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
JP2004342806A (en) * 2003-05-15 2004-12-02 Fujitsu Ltd Manufacturing method for semiconductor device
CN101256945B (en) * 2003-06-20 2011-08-03 东京毅力科创株式会社 Processing method and processing system
JP4694150B2 (en) 2003-06-20 2011-06-08 東京エレクトロン株式会社 Processing method and processing system
JP2005026292A (en) * 2003-06-30 2005-01-27 Fujitsu Ltd Semiconductor device, its manufacturing method, and semiconductor manufacturing device
US7158851B2 (en) 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
JP2005038976A (en) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp Optimal etching parameter automatic setting system and etching result evaluation system
DE10339992B4 (en) * 2003-08-29 2008-07-03 Advanced Micro Devices, Inc., Sunnyvale Method for producing a structural element of critical dimension or a gate electrode of a field effect transistor and etching control
JP4171380B2 (en) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ Etching apparatus and etching method
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8050900B2 (en) 2003-09-30 2011-11-01 Tokyo Electron Limited System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US7624003B2 (en) * 2005-01-10 2009-11-24 Applied Materials, Inc. Split-phase chamber modeling for chamber matching and fault detection
JP2007073751A (en) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp Plasma processing apparatus and method therefor
US20070199655A1 (en) * 2006-02-28 2007-08-30 Tokyo Electron Limited Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
JP5213322B2 (en) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, and storage medium for storing program
JP5165878B2 (en) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 Substrate processing apparatus control device, control method, and storage medium storing control program
US20090326697A1 (en) * 2006-11-17 2009-12-31 Hejian Technology (Suzhou) Co., Ltd. Semiconductor manufacturing automation system and method for using the same
US8544064B2 (en) * 2007-02-09 2013-09-24 Sony Corporation Techniques for automatic registration of appliances
US20090023101A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Lithography track systems and methods for electronic device manufacturing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP5050830B2 (en) * 2007-12-19 2012-10-17 ソニー株式会社 Dry etching apparatus and semiconductor device manufacturing method
US7847248B2 (en) * 2007-12-28 2010-12-07 Mds Analytical Technologies, A Business Unit Of Mds Inc. Method and apparatus for reducing space charge in an ion trap
JP5192850B2 (en) * 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ Etching end point judgment method
JP4486692B2 (en) * 2008-03-14 2010-06-23 株式会社日立国際電気 Substrate processing equipment
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
JP2009267159A (en) * 2008-04-25 2009-11-12 Sumco Techxiv株式会社 Device and method for manufacturing semiconductor wafer
JP2009290150A (en) * 2008-06-02 2009-12-10 Renesas Technology Corp System and method for manufacturing semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5383265B2 (en) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ Etching apparatus, analysis apparatus, etching processing method, and etching processing program
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
KR101040883B1 (en) * 2009-06-29 2011-06-16 세종대학교산학협력단 Method of mornitoring and control of plasma equipment using computational intelligence
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5334787B2 (en) 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP5688227B2 (en) * 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ Etching apparatus, control simulator, and semiconductor device manufacturing method
US8392136B2 (en) 2010-07-09 2013-03-05 Kla-Tencor Corporation In-place management of semiconductor equipment recipes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (en) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP2013161913A (en) * 2012-02-03 2013-08-19 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
WO2014050808A1 (en) * 2012-09-26 2014-04-03 株式会社日立国際電気 Integrated management system, management device, method for displaying information for substrate processing device, and storage medium
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5877908B2 (en) * 2012-10-24 2016-03-08 東京エレクトロン株式会社 Correction value calculation apparatus, correction value calculation method, and computer program
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8790743B1 (en) * 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6088867B2 (en) * 2013-03-15 2017-03-01 株式会社日立ハイテクノロジーズ Plasma processing apparatus and analyzer
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6501601B2 (en) * 2014-05-20 2019-04-17 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method and substrate processing program
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
JP2016103496A (en) 2014-11-27 2016-06-02 株式会社日立ハイテクノロジーズ Plasma processing apparatus
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
FR3035881B1 (en) * 2015-05-04 2019-09-27 Sidel Participations INSTALLATION FOR PROCESSING MICROWAVE PLASMA CONTAINERS COMPRISING A SOLID STATE GENERATOR
JP6806704B2 (en) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone electrostatic chuck adjustable in azimuth direction
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170014384A (en) * 2015-07-30 2017-02-08 삼성전자주식회사 Dry etching apparatus
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6584350B2 (en) * 2016-03-17 2019-10-02 東京エレクトロン株式会社 Control device, substrate processing system, substrate processing method and program
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11156993B2 (en) * 2016-06-02 2021-10-26 Universal Instruments Corporation Semiconductor die offset compensation variation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6476370B2 (en) 2016-09-26 2019-03-06 株式会社Kokusai Electric Recording medium, program, semiconductor device manufacturing method, and substrate processing apparatus.
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10651372B2 (en) * 2017-06-13 2020-05-12 Tokyo Electron Limited Process for patterning a magnetic tunnel junction
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
JP6778666B2 (en) * 2017-08-24 2020-11-04 株式会社日立製作所 Search device and search method
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP7032099B2 (en) * 2017-10-20 2022-03-08 三菱重工業株式会社 Analytical device, analysis method, program
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7060373B2 (en) * 2017-12-21 2022-04-26 株式会社日立ハイテク How to operate the plasma processing equipment
JP7033907B2 (en) * 2017-12-21 2022-03-11 東京エレクトロン株式会社 Plasma etching equipment and plasma etching method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7137943B2 (en) * 2018-03-20 2022-09-15 株式会社日立ハイテク SEARCHING DEVICE, SEARCHING METHOD AND PLASMA PROCESSING DEVICE
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7357191B2 (en) 2018-06-18 2023-10-06 東京エレクトロン株式会社 Real-time, low-interference sensing of properties in manufacturing equipment
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7161896B2 (en) * 2018-09-20 2022-10-27 株式会社Screenホールディングス Substrate processing apparatus and substrate processing system
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
JP7202138B2 (en) * 2018-10-22 2023-01-11 株式会社Screenホールディングス SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP7080804B2 (en) * 2018-11-20 2022-06-06 株式会社Fuji Processing condition determination method for plasma processing and processing condition determination device for plasma processing
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
JP7108562B2 (en) * 2019-02-22 2022-07-28 株式会社日立製作所 Process control parameter determination method and measurement system
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7448909B2 (en) * 2019-06-27 2024-03-13 住友重機械工業株式会社 Film-forming method and film-forming device
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7442305B2 (en) 2019-11-26 2024-03-04 東京エレクトロン株式会社 Control system, control method, control program, and processing system
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
JP7413081B2 (en) 2020-02-28 2024-01-15 東京エレクトロン株式会社 Substrate processing system
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
US20230207267A1 (en) * 2020-05-27 2023-06-29 Lam Research Corporation Sensor data compression in a plasma tool
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230096706A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Model-based characterization of plasmas in semiconductor processing systems

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5711643A (en) * 1996-06-28 1998-01-27 Intralock Tools Ltd. Portable semi-automatic computer code key cutting machine
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6440760B1 (en) * 1999-09-18 2002-08-27 Samsung Electronics Co., Ltd. Method of measuring etched state of semiconductor wafer using optical impedence measurement
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US20020155629A1 (en) * 2000-11-20 2002-10-24 Fairbairn Kevin P. Semiconductor processing module with integrated feedback/feed forward metrology
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
US6650423B1 (en) * 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240554A (en) * 1991-01-22 1993-08-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
JP3630931B2 (en) * 1996-08-29 2005-03-23 富士通株式会社 Plasma processing apparatus, process monitoring method, and semiconductor device manufacturing method
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
JPH10335309A (en) 1997-05-29 1998-12-18 Sony Corp Plasma treating system
JP4066483B2 (en) 1997-11-28 2008-03-26 ソニー株式会社 Semiconductor manufacturing method and manufacturing apparatus
JP3077656B2 (en) 1997-12-22 2000-08-14 日本電気株式会社 Method of correcting recipe in semiconductor manufacturing equipment
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6485990B1 (en) * 2000-01-04 2002-11-26 Advanced Micro Devices, Inc. Feed-forward control of an etch processing tool
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6562248B1 (en) * 2001-03-26 2003-05-13 Advanced Micro Devices, Inc. Active control of phase shift mask etching process

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5711643A (en) * 1996-06-28 1998-01-27 Intralock Tools Ltd. Portable semi-automatic computer code key cutting machine
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6440760B1 (en) * 1999-09-18 2002-08-27 Samsung Electronics Co., Ltd. Method of measuring etched state of semiconductor wafer using optical impedence measurement
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US20020155629A1 (en) * 2000-11-20 2002-10-24 Fairbairn Kevin P. Semiconductor processing module with integrated feedback/feed forward metrology
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
US6650423B1 (en) * 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040060659A1 (en) * 2002-09-27 2004-04-01 Natsuyo Morioka Etching system and etching method
US6972201B1 (en) * 2004-01-12 2005-12-06 Advanced Micro Devices, Inc. Using scatterometry to detect and control undercut for ARC with developable BARCs
US20060077387A1 (en) * 2004-09-27 2006-04-13 Yoshiyuki Sato Apparatus, method and program for generating a recipe for an inspection tool
US7096086B2 (en) * 2004-09-27 2006-08-22 Kabushiki Kaisha Toshiba Apparatus, method and program for generating a recipe for an inspection tool
US7596421B2 (en) 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
US7831330B2 (en) 2005-06-21 2010-11-09 Kabushiki Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
US20090276078A1 (en) * 2005-06-21 2009-11-05 Kabushiki Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
US20070129839A1 (en) * 2005-12-05 2007-06-07 Fujitsu Limited System for controlling semiconductor device manufacturing process and method of controlling semiconductor device manufacturing process
US20070284335A1 (en) * 2006-03-30 2007-12-13 Hiroshi Tsujimoto Etching method and etching apparatus
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20110215072A1 (en) * 2010-03-08 2011-09-08 Park Sangwuk Plasma apparatus having a controller for controlling a plasma chamber and methods for controlling the plasma apparatus
CN106842966A (en) * 2010-05-27 2017-06-13 应用材料公司 A kind of temperature controller
US20150132863A1 (en) * 2012-01-13 2015-05-14 Tokyo Electron Limited Plasma processing apparatus and heater temperature control method
US10026631B2 (en) 2012-01-13 2018-07-17 Tokyo Electron Limited Plasma processing apparatus and heater temperature control method
US10629464B2 (en) 2012-01-13 2020-04-21 Tokyo Electron Limited Plasma processing apparatus and heater temperature control method
US11410836B2 (en) * 2013-09-20 2022-08-09 Hitachi High-Tech Corporation Analysis method and semiconductor etching apparatus

Also Published As

Publication number Publication date
KR20070032744A (en) 2007-03-22
US6881352B2 (en) 2005-04-19
US20030003607A1 (en) 2003-01-02
US6733618B2 (en) 2004-05-11
KR20050016729A (en) 2005-02-21
KR20030003641A (en) 2003-01-10
US7601240B2 (en) 2009-10-13
KR20040058162A (en) 2004-07-03
US20060124243A1 (en) 2006-06-15
KR100499226B1 (en) 2005-07-01
TWI227520B (en) 2005-02-01
KR100463256B1 (en) 2005-01-07
US20090120580A1 (en) 2009-05-14
US20030113945A1 (en) 2003-06-19
US20070193687A1 (en) 2007-08-23
JP3708031B2 (en) 2005-10-19
KR100779178B1 (en) 2007-11-23
JP2003017471A (en) 2003-01-17

Similar Documents

Publication Publication Date Title
US6881352B2 (en) Disturbance-free, recipe-controlled plasma processing method
US6916396B2 (en) Etching system and etching method
US6985215B2 (en) Plasma processing method and plasma processing apparatus
US8193007B1 (en) Etch process control using optical metrology and sensor devices
US8173451B1 (en) Etch stage measurement system
JP2006074067A (en) Plasma treatment apparatus and method
US8805567B2 (en) Method of controlling semiconductor process distribution
US20040060659A1 (en) Etching system and etching method
EP1700333A1 (en) Method and apparatus for seasoning semiconductor apparatus of sensing plasma equipment
KR101801023B1 (en) Advanced process control method for semiconductor process using virtual metrology
US20210407776A1 (en) Vacuum processing apparatus and vacuum processing method
JP4344674B2 (en) Plasma processing equipment
US6754552B2 (en) Control apparatus for plasma utilizing equipment
US8173450B1 (en) Method of designing an etch stage measurement system
US7122096B2 (en) Method and apparatus for processing semiconductor
US6939433B2 (en) Sample processing apparatus and sample processing system
Sofge Virtual Sensor Based Fault Detection and Classification on a Plasma Etch Reactor
US20050115924A1 (en) Integration function of RF signal to analyze steady state and non-steady state ( initializaion) of plasmas

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION