US20050003240A1 - Low contamination components for semiconductor processing apparatus and methods for making components - Google Patents

Low contamination components for semiconductor processing apparatus and methods for making components Download PDF

Info

Publication number
US20050003240A1
US20050003240A1 US10/837,575 US83757504A US2005003240A1 US 20050003240 A1 US20050003240 A1 US 20050003240A1 US 83757504 A US83757504 A US 83757504A US 2005003240 A1 US2005003240 A1 US 2005003240A1
Authority
US
United States
Prior art keywords
canceled
ceramic material
component
oxide
dysprosium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/837,575
Inventor
Robert O'Donnell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US10/837,575 priority Critical patent/US20050003240A1/en
Publication of US20050003240A1 publication Critical patent/US20050003240A1/en
Priority to US12/230,413 priority patent/US20090068845A1/en
Priority to US12/349,949 priority patent/US8935990B2/en
Priority to US12/349,966 priority patent/US8318327B2/en
Priority to US13/667,911 priority patent/US20130059071A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/341Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one carbide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/347Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with layers adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Definitions

  • the invention relates to components for semiconductor material processing equipment.
  • the components are formed of materials that can reduce contamination during semiconductor material processing.
  • the invention also relates to methods of making the components.
  • vacuum processing chambers are used for etching and chemical vapor deposition (CVD) of materials on substrates.
  • Process gases are flowed into the processing chamber while a radio frequency (RF) field is applied to the process gases to generate a plasma of the process gases.
  • RF radio frequency
  • the plasma performs the desired etching or deposition of selected materials on wafers.
  • parallel plate, transformer coupled plasma (TCPTM), which is also called inductively coupled plasma (ICP), and electron-cyclotron resonance (ECR) reactors and components thereof are disclosed in commonly owned U.S. Pat. Nos. 4,340,462; 4,948,458; 5,200,232 and 5,820,723.
  • the substrates are typically held in place within the vacuum chamber by substrate holders, as disclosed, for example, in U.S. Pat. Nos. 5,262,029 and 5,838,529.
  • Process gas can be supplied to the chamber by various gas supply systems.
  • other equipment used in processing semiconductor substrates includes transport mechanisms, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like.
  • Plasmas are used to remove materials by etching or for deposition of materials on substrates.
  • the plasma etch conditions create significant ion bombardment of the surfaces of the processing chamber that are exposed to the plasma.
  • This ion bombardment combined with plasma chemistries and/or etch byproducts, can produce significant erosion, corrosion and corrosion-erosion of the plasma-exposed surfaces of the processing chamber.
  • the surface materials are removed by physical and/or chemical attack, including erosion, corrosion and/or corrosion-erosion. This attack causes problems including short part lifetimes, increased consumable costs, particulate contamination, on-wafer transition metal contamination and process drift.
  • plasma processing chambers have been designed to include parts, such as, disks, rings, and cylinders, that confine the plasma over the wafer being processed.
  • parts such as, disks, rings, and cylinders, that confine the plasma over the wafer being processed.
  • these parts are continuously attacked by the plasma and, consequently, ultimately erode or accumulate polymer buildup.
  • Those parts with relatively short lifetimes are commonly referred to as “consumables.” If the consumable part's lifetime is short, then the cost of ownership is high. Erosion of consumables and other parts generates contamination in plasma processing chambers.
  • the invention can satisfy the above-described needs, as well as other needs, by providing components of semiconductor processing apparatus composed of ceramic materials that provide improved wear resistance to erosion, corrosion and/or corrosion-erosion in plasma processing environments.
  • the components can provide low contamination with respect to metals and particulate.
  • the ceramic materials can be applied as coatings on surfaces of substrates, in components utilized in semiconductor material processing equipment.
  • the components can be used in plasma processing chambers.
  • the coated components can provide improved resistance to erosion, corrosion and/or corrosion-erosion when exposed to plasmas during processing.
  • such components can be bulk parts formed entirely of the protective materials. That is, the components can be monolithic.
  • An exemplary embodiment of a process of making a component of a semiconductor processing apparatus comprises forming at least a portion of a component of such equipment from a ceramic material.
  • the portion comprises an outermost surface of the component.
  • the ceramic material comprises (i) at least one oxide, nitride, boride, carbide and/or fluoride of (ii) strontium, lanthanum and dysprosium, and/or at least one nitride, boride, carbide and/or fluoride of hafnium.
  • the ceramic material comprises one of strontium oxide, dysprosium oxide and lanthanum oxide as the single largest constituent of the ceramic material coating.
  • the ceramic material can be applied as a coating, or it can be formed into a monolithic body.
  • Another exemplary embodiment of the invention comprises applying a coating of a ceramic material over a metal containing or polymeric surface of a component of a semiconductor processing apparatus.
  • the ceramic material comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent of the ceramic material coating.
  • exemplary embodiment of methods according to the invention comprise forming a component of a semiconductor processing apparatus in the form of a monolithic body.
  • the component comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent.
  • An exemplary embodiment of a process of making a component of a semiconductor processing apparatus comprises preparing a slurry comprising as the single largest constituent (i) at least one oxide, nitride, boride, carbide and/or fluoride of (ii) strontium, lanthanum and dysprosium, and/or at least one nitride, boride, carbide and/or fluoride of hafnium; forming a green compact from the slurry in the desired shape; and sintering the green compact to form a component.
  • the ceramic material preferably comprises at the least one of hafnium oxide, strontium oxide, dysprosium oxide and lanthanum oxide as the single largest constituent thereof.
  • An exemplary embodiment of a component of a semiconductor processing apparatus comprises at least a portion comprising a ceramic material.
  • the portion comprises an outermost surface of the component.
  • the ceramic material comprises as the single largest constituent (i) at least one oxide, nitride, boride, carbide and/or fluoride of (ii) strontium, lanthanum and dysprosium, and/or at least one nitride, boride, carbide and/or fluoride of hafnium.
  • a component of a semiconductor processing apparatus comprises a substrate having a metal containing or polymeric surface; and a coating of a ceramic material over the surface, where the ceramic material comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent of the ceramic material coating.
  • a component of a semiconductor processing apparatus comprises a monolithic body, which comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent.
  • the invention also provides semiconductor processing apparatus that includes at least one of above-described components to provide wear resistance.
  • FIG. 1 illustrates a conventional plasma spray process
  • FIG. 2 shows a cross-sectional view of a gas ring for a plasma etching apparatus according to an exemplary embodiment of the invention.
  • FIG. 3 shows an etch chamber containing exemplary embodiments of components according to the invention.
  • FIG. 4 shows another etch chamber containing exemplary embodiments of components according to the invention.
  • FIG. 5 shows an exemplary embodiment of a protective ceramic coating according to the invention.
  • FIG. 6 shows another exemplary embodiment of a protective ceramic coating according to the invention.
  • FIG. 7 shows an exemplary embodiment of a monolithic component according to the invention.
  • the invention provides components that have wear resistance with respect to physical and chemical attack by plasmas generated in semiconductor material processing apparatuses.
  • wear resistant includes, but is not limited to, erosion, corrosion and/or corrosion-erosion resistance.
  • the components are composed of wear resistant ceramic materials.
  • the components include coatings composed of erosion resistant ceramic materials formed on substrates.
  • the components can include substrates and one or more erosion resistant ceramic coatings formed on the substrates.
  • the coatings resist erosion and, being non-metallic materials, are also resistant to corrosion and/or corrosion-erosion.
  • the components can consist essentially of wear resistant ceramic materials.
  • the components can be bulk parts of a semiconductor material processing apparatus.
  • the components composed of the wear resistant ceramic materials can be components of apparatuses for processing semiconductors.
  • the invention also provides semiconductor processing apparatuses that include one or more of the components composed, at least partially, of a wear resistant material.
  • the invention provides methods of making components, at least in part, of the wear resistant materials.
  • the invention is applicable to any suitable type of component.
  • the invention provides effective wear resistance to the surfaces of components of semiconductor material processing apparatuses.
  • the wear resistant materials according to the invention can be applied to different processing apparatuses useful for processing different semiconductor materials.
  • the wear resistant materials can be applied to different components in the processing apparatuses.
  • Such exemplary components include, but are not limited to, parts of a plasma and/or vacuum chamber, such as, for example, chamber walls, substrate supports, gas distribution systems including showerheads, baffles, rings, nozzles, etc., fasteners, heating elements, plasma screens, liners, transport module components, such as robotic arms, fasteners, inner and outer chamber walls, and the like.
  • the wear resistant materials can comprise at least one of hafnium, strontium, dysprosium and lanthanum. These elements have a relatively large molecular mass and are relatively inert with respect to typical etching chemicals, which are believed to provide a reduced erosion rate in plasma environments.
  • the wear resistant materials comprise one of hafnium oxide, strontium oxide, dysprosium oxide or lanthanum oxide as the single largest constituent of the ceramic material. Exemplary embodiments of the ceramic materials can comprise any one or more of these oxides. Other constituents that can be included in the ceramic materials are described in detail below.
  • hafnium containing ceramic materials preferably contain hafnium oxide (hafnia) as the single largest constituent.
  • the hafnium containing ceramic materials can consist essentially of hafnium oxide.
  • the hafnium containing ceramic materials can also contain other hafnium containing ceramic materials other than oxides, including, but not limited to, at least one hafnium boride, hafnium fluoride, hafnium nitride and/or hafnium carbide, or mixtures thereof.
  • the hafnium containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described hafnium oxide, boride, fluoride and carbide materials.
  • These other ceramic materials can include, but are not limited to, at least one oxide, nitride, boride, fluoride and/or carbide of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB and VB of the periodic table; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series (i.e., elements having an atomic number of 58-71).
  • hafnium containing ceramic materials can be mixed with yttrium oxide (yttria), zirconium oxide (zirconia), aluminum oxide (alumina) and/or cerium oxide (ceria).
  • yttria yttrium oxide
  • zirconium oxide zirconia
  • aluminum oxide alumina
  • cerium oxide ceria
  • the strontium containing ceramic materials according to the invention preferably contain strontium oxide (strontia) as the single largest constituent.
  • the strontium containing ceramic materials can consist essentially of strontium oxide.
  • the strontium containing ceramic materials can also contain other strontium containing ceramic materials other than oxides, including, but not limited to, at least one strontium boride, strontium fluoride, strontium nitride, strontium carbide, or mixtures thereof.
  • the strontium containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described strontium oxide, boride, fluoride and carbide materials.
  • These other ceramic materials can include, but are not limited to, one or more oxides, nitrides, borides, fluorides and carbides of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB of the periodic table; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series, as described above.
  • the dysprosium containing ceramic materials according to the invention preferably contain dysprosium oxide (dysprosia) as the single largest constituent.
  • the dysprosium containing ceramic materials can consist essentially of dysprosium oxide.
  • the dysprosium containing ceramic materials can also contain other dysprosium containing ceramic materials other than oxides, including, but not limited to, at least one dysprosium boride, dysprosium fluoride, dysprosium nitride, dysprosium carbide, or mixtures thereof.
  • the dysprosium containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described dysprosium oxide, boride, fluoride and carbide materials.
  • These other ceramic materials can include, but are not limited to, at least one oxide, nitride, boride, fluoride and/or carbide of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB and VB of the periodic table; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series, as described above.
  • the lanthanum containing ceramic materials according to the invention preferably contain lanthanum oxide (lanthana) as the single largest constituent.
  • the lanthanum containing ceramic materials can consist essentially of lanthanum oxide.
  • the lanthanum containing ceramic materials can also contain other lanthanum containing ceramic materials other than oxides, including, but not limited to, at least one lanthanum boride, lanthanum fluoride, lanthanum nitride and/or lanthanum carbide, or mixtures thereof.
  • the lanthanum containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described lanthanum oxide, boride, fluoride and carbide materials.
  • These other ceramic materials can include, but are not limited to, at least one oxide, nitride, boride, fluoride and/or carbide of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB of the periodic table; and/or at least one oxide, nitride, boride, fluoride and/or carbide of any element of the actinide series, as described above.
  • the ceramic materials can comprise mixtures of the above-described hafnium, strontium, dysprosium and lanthanum containing materials.
  • the ceramic materials can comprise mixtures of hafnium, strontium, dysprosium and/or lanthanum containing materials, and additional materials, including, but not limited to, one or more oxides, nitrides, borides, fluorides and carbides of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series, as described above.
  • the ceramic materials In order to try to minimize the contamination of electronic materials processed in equipment incorporating one or more hafnium, strontium, dysprosium and/or lanthanum containing components according to the invention, it is desirable for the ceramic materials to be as pure as possible, e.g., include minimal amounts of potentially contaminating elements, such as transition metals, alkali metals or the like.
  • the hafnium, strontium, dysprosium and lanthanum containing ceramic materials can be sufficiently pure to avoid on-wafer contamination of 10 10 atoms/cm 2 or higher, preferably 10 5 atoms/cm 2 or higher.
  • these ceramic materials Preferably, these ceramic materials have a purity of at least about 99%, and more preferably from about 99.99% to about 100%.
  • hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention have a smooth surface finish.
  • these materials, applied as coatings or formed into monolithic components have a surface roughness (RA) of from about 5 to about 400 ⁇ inch, and more preferably less than about 200 ⁇ inch.
  • the hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention can also provide a high bond strength to the underlying substrate.
  • these materials applied as coatings have a tensile bond strength of from about 2000 psi to about 7000 psi.
  • the hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention can provide low porosity levels, which is advantageous to minimize contact of aggressive atmospheres (e.g., HCl containing atmospheres) with the underlying substrate, and thus subsequent corrosion, erosion and/or corrosion-erosion of the substrate by the aggressive atmosphere.
  • aggressive atmospheres e.g., HCl containing atmospheres
  • the ceramic materials have a porosity of less than 15% by volume, and more preferably less than about 3% by volume.
  • the hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention can provide a high hardness to resist erosion.
  • the ceramic materials have a hardness (HVO 3 ) of from about 200 to about 800.
  • hafnium, strontium, dysprosium and lanthanum containing ceramic materials can provide surfaces that can reduce ion induced erosion and associated levels of particulate contamination in plasma reactor chambers.
  • Hafnium, strontium, dysprosium and lanthanum containing ceramic materials can also protect underlying substrates against both physical attack and chemical attack by plasmas.
  • etch chemistries include, for example, chlorine containing gases including, but not limited to, Cl 2 , HCl and BCl 3 ; bromine containing gases including, but not limited to, bromine and HBr; oxygen containing gases including, but not limited to, O 2 , H 2 O and SO 2 ; fluorine containing gases including, but not limited to, CF 4 , CH 2 F 2 , NF 3 , CH 3 F, CHF 3 and SF 6 ; and inert and other gases including, but not limited to He, Ar and N 2 .
  • Exemplary plasma reactor etching operating conditions are as follows: temperature of from about 25° C. to about 90° C.; pressure of from about 0 mTorr to about 100 mTorr; gas flow rate of from about 10 sccm to about 1000 sccm; and plasma power of from about 0 Watts to about 1500 Watts.
  • the hafnium, strontium, dysprosium and lanthanum containing ceramic materials are provided as a coating on a substrate.
  • These coatings can be applied by methods known in the art.
  • a preferred coating method is thermal spraying (e.g., plasma spraying).
  • plasma spraying e.g., plasma spraying
  • ceramic powder is melted and incorporated in a gas stream, which is directed at the component being spray coated.
  • thermal spraying techniques is that the component is coated only on the sides facing the thermal spray gun, and masking can be used to protect other areas.
  • Conventional thermal spraying techniques, including plasma spraying are described in The Science and Engineering of Thermal Spray Coating by Pawlowski (John Wiley, 1995). This description is hereby incorporated by reference in its entirety.
  • FIG. 1 illustrates a typical plasma spraying process.
  • the coating material usually in the form of a powder 112 , is injected into a high temperature plasma flame 114 usually via an external powder port 132
  • the powder is rapidly heated and accelerated to a high velocity.
  • the hot material impacts on the substrate surface 116 and rapidly cools to form a coating 118 .
  • the plasma spray gun 120 comprises an anode 122 and a cathode 124 , both of which are water cooled.
  • Plasma gas 126 e.g., argon, nitrogen, hydrogen, helium
  • the plasma is initiated by a high voltage discharge, which causes localized ionization and a conductive path for a DC arc to form between the cathode 124 and the anode 122 . Resistance heating from the arc causes the gas to form a plasma.
  • the plasma exits the anode nozzle portion as a free or neutral plasma flame (plasma which does not carry electric current).
  • the electric arc extends down the nozzle.
  • the powder 112 is so rapidly heated and accelerated that the spray distance 136 between the nozzle tip and the substrate surface can be on the order of 125 to 150 mm.
  • Plasma sprayed coatings are produced by molten or heat-softened particles caused to impact on the substrate surface 116 .
  • surface treating techniques such as cleaning and particle blasting can be used to provide a more chemically and physically active surface for bonding.
  • the surface of the substrate Prior to coating, the surface of the substrate is preferably thoroughly cleaned to remove undesirable surface material, such as oxides or grease.
  • the surface can also be roughened by any suitable method, such as grit blasting, prior to coating. This roughening increases the surface area available for bonding, which increases the coating bond strength.
  • the rough surface profile can also promote mechanical keying or interlocking of the coating with the substrate.
  • the anodized layer provides an additional barrier, i.e. in addition to protection provided by the coating, against corrosive attack of the underlying aluminum.
  • the anodized aluminum layer formed on aluminum substrates, such as 6061-T6 aluminum can have any suitable thickness.
  • the thickness can be typically be from about 2 mil to about 10 mil.
  • the anodized surface can have any suitable finish.
  • the surface finish can have an RA value of about 20 ⁇ inch to about 100 ⁇ inch.
  • the anodized layer can be sealed by any suitable technique, such as by using boiling deionized water.
  • Hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide containing ceramic materials according to the invention are preferably applied using a plasma spray process.
  • any other coating method suitable for use with ceramic materials may also be employed.
  • the hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide containing ceramic coatings can also be applied by sputtering, sputter deposition, immersion coating, chemical vapor deposition, evaporation and condensation (including electron beam evaporation and condensation), physical vapor deposition, hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering, and thermal spraying.
  • the hafnium, strontium, dysprosium and/or lanthanum containing ceramic components are used in a high-density plasma reactor.
  • An exemplary reactor of this type is the TCP 9400TM plasma etch reactor available from Lam Research Corporation of Fremont, Calif.
  • processing gases such as Cl 2 , HBr, CF 4 , CH 2 F 2 , O 2 , N 2 , Ar, SF 6 and NF 3
  • FIG. 2 shows a gas ring for a TCP 9400TM etch reactor.
  • the main body of the gas ring 40 surrounds a substrate support 44 .
  • the bottom surface of the gas ring 40 contains a ring-shaped gas-guiding trench 60 .
  • the aforementioned gas holes 50 extend into the gas-guiding trench 60 .
  • the gas ring 40 is typically composed of aluminum. Upper surfaces of the gas ring are directly exposed to the plasma and thus subject to erosion, corrosion and corrosion-erosion. To protect these surfaces, the gas ring is typically covered with an aluminum oxide layer. This layer is, however, relatively brittle and can crack during repeated thermal cycling of the reactor during use. Cracks that form in the anodized layer can allow the corrosive process gases to attack the underlying aluminum layer, reducing part life and contributing to metallic and particle contamination of processed substrates, such as wafers, flat panel display substrates and the like.
  • the exposed surfaces of the gas ring can be covered with a coating 42 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material.
  • the ceramic materials can be coated on a bare (with or without a native oxide surface film) aluminum layer or on an aluminum oxide layer (e.g., aluminum having an anodized surface).
  • the coating can be allowed to partially penetrate into the gas holes to coat and protect the inside walls thereof, but without obstructing the openings.
  • the gas holes can be plugged or masked during the coating process.
  • TCP 9400TM etch reactor that can be exposed to the plasma during processing can also be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • these components include, for example, chamber walls, chamber liners, chucking devices and the dielectric window opposite the substrate.
  • Providing a coating according to the invention on the upper surface of a chucking device, such as an electrostatic chuck, provides additional protection to the chuck during cleaning cycles in which a wafer is not present and the upper surface of the chuck is thus directly exposed to the plasma.
  • the reactor comprises a reactor chamber 150 that includes a substrate support 152 including an electrostatic chuck 154 , which provides a clamping force to a substrate (not shown) mounted thereon.
  • a focus ring 170 is mounted on the substrate support 152 around the electrostatic chuck 154 .
  • the substrate support 152 can also be used to apply an RF bias to the substrate.
  • the substrate can also be back-cooled using a heat transfer gas such as helium.
  • processing gases e.g., Cl 2 , HBr, CF 4 , CH 2 F 2 , O 2 , N 2 , Ar, SF 6 or NF 3
  • gases e.g., Cl 2 , HBr, CF 4 , CH 2 F 2 , O 2 , N 2 , Ar, SF 6 or NF 3
  • the gas injector 168 is typically made of quartz or a ceramic material such as alumina.
  • an inductive coil 158 can be powered by a suitable RF source (not shown) to provide a high density (e.g., 10 11 -10 12 ions/cm 3 ) plasma.
  • the inductive coil 158 couples RF energy through dielectric window 160 into the interior of chamber 150 .
  • the dielectric window 160 is typically made of quartz or alumina.
  • the dielectric window 160 is shown mounted on an annular member 162 .
  • the annular member 162 spaces dielectric window 160 from the top of chamber 150 and is referred to as a “gas distribution plate”.
  • a chamber liner 164 surrounds the substrate support 152 .
  • the chamber 150 can also include suitable vacuum pumping apparatus (not shown) for maintaining the interior of the chamber at a desired pressure.
  • reactor components such as the annular member 162 , dielectric window 160 , substrate support 152 , chamber liner 164 , gas injector 168 , focus ring 170 and the electrostatic chuck 154 , are shown coated with a coating 166 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • a coating 166 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention As shown in FIG. 3 , selected interior surfaces of the chamber 150 and substrate support 152 below the chamber liner 164 can also be provided with a coating 166 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • any or all of these surfaces, as well as any other internal reactor surface, can be provided with a coating according to the invention.
  • any or all of these components can alternatively be manufactured from monolithic bodies of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • the components can be used in a high-density oxide etch process.
  • An exemplary oxide etch reactor is the TCP 9100TM plasma etch reactor available from Lam Research Corporation of Fremont, Calif.
  • the gas distribution plate is a circular plate situated directly below the TCPTM window, which is also the vacuum sealing surface at the top of the reactor in a plane above and parallel to a semiconductor wafer.
  • the gas distribution plate is sealed to a gas distribution ring located at the periphery of the gas distribution plate.
  • the gas distribution ring feeds gas from a source into the volume defined by the gas distribution plate, an inside surface of a window underlying an antenna in the form of a flat spiral coil supplying RF energy into the reactor, and the gas distribution ring.
  • the gas distribution plate contains holes of a specified diameter, which extend through the plate.
  • the spatial distribution of the holes through the gas distribution plate can be varied to optimize etch uniformity of the layers to be etched, e.g., a photoresist layer, a silicon dioxide layer and an underlayer material on the wafer.
  • the cross-sectional shape of the gas distribution plate can be varied to manipulate the distribution of RF power into the plasma in the reactor.
  • the gas distribution plate is a dielectric material to enable coupling of this RF power through the gas distribution plate into the reactor. Further, it is desirable for the material of the gas distribution plate to be highly resistant to chemical sputter-etching in environments, such as oxygen or a hydro-fluorocarbon gas plasma, to avoid breakdown and the resultant particle generation associated therewith.
  • FIG. 4 illustrates a plasma reactor of the aforementioned type.
  • the reactor comprises a reactor chamber 10 .
  • a substrate holder 12 includes an electrostatic chuck 34 , which provides a clamping force and an RF bias to a substrate 13 .
  • the substrate can be back-cooled using a heat transfer gas such as helium.
  • a focus ring 14 confines plasma in a region above the substrate.
  • the reactor chamber includes a vacuum pumping apparatus for maintaining the interior of the chamber at a desired pressure (e.g., below 50 mTorr, typically 1-20 mTorr).
  • a substantially planar dielectric window 20 is provided between the antenna 18 and the interior of the processing chamber 10 and forms the vacuum wall at the top of the processing chamber 10 .
  • a gas distribution plate 22 is provided beneath window 20 and includes openings for delivering process gas from the gas supply 23 to the chamber 10 .
  • a conical liner 30 extends from the gas distribution plate 22 and surrounds the substrate holder 12 .
  • the antenna 18 can be provided with a channel 24 through which a temperature control fluid is flowed via inlet and outlet conduit 25 , 26 .
  • the antenna 18 and/or window 20 need not be cooled, or could be cooled by other suitable technique, such as by blowing gas over the antenna and window, passing a cooling fluid through or in heat transfer contact with the window and/or gas distribution plate, etc.
  • a substrate such as a semiconductor wafer
  • an electrostatic chuck 34 Other clamping means, however, such as a mechanical clamping mechanism can also be used. Additionally, helium back-cooling can be employed to improve heat transfer between the substrate and chuck.
  • Process gas is then supplied to the vacuum processing chamber 10 by passing the process gas through a gap between the window 20 and the gas distribution plate 22 .
  • Suitable gas distribution plate arrangements i.e., showerhead
  • a high density plasma is ignited in the space between the substrate and the window by supplying suitable RF power to the antenna 18 .
  • the internal surfaces of reactor components such as the gas distribution plate 22 , the chamber liner 30 , the electrostatic chuck 34 , and the focus ring 14 are coated with a coating 32 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • a coating 32 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention can be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • high density polysilicon and dielectric etch chambers described above are only exemplary embodiments of plasma etch reactors that can incorporate components according to the invention.
  • Components containing hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials according to the invention can be used in any etch reactor (e.g., a metal etch reactor) or other type of semiconductor processing apparatus where the reduction of plasma induced erosion, corrosion and/or corrosion-erosion and associated contamination is desired.
  • other components that can be provided with a coating of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention include, but are not limited to, chamber walls, substrate holders, fasteners, etc. These parts are typically made from metal (e.g., aluminum) or ceramic (e.g., alumina). These metallic plasma reactor components are typically exposed to plasma and often show signs of erosion, corrosion and/or corrosion-erosion. Other parts that can be coated in accordance with the invention need not be directly exposed to plasma, but may instead be exposed to corrosive gases, such as gases emitted from processed wafers or the like.
  • equipment used in processing semiconductor substrates can also be provided with hafnium, strontium, dysprosium and/or lanthanum containing ceramic material surfaces and coatings according to the invention.
  • Such equipment can include transport mechanisms, gas supply systems, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like.
  • Examples of metallic materials that can be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention include aluminum and aluminum alloys, stainless steels and refractory metals, e.g., 6061-T6 aluminum and 304 and 316 stainless steels. Because the hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials form a wear resistant coating over the component, the underlying component is protected from direct exposure to the plasma. Accordingly, the metallic substrate can be protected against erosion, corrosion and/or corrosion-erosion attack by the plasma. As a result, metallic materials, such as aluminum alloys, can be used without regard to alloying additions, grain structure or surface conditions.
  • various ceramic or polymeric materials can also be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • the reactor components can be made from ceramic materials, including, but not limited to, alumina (Al 2 O 3 ), silicon carbide (SiC), silicon nitride (Si 3 N 4 ), boron carbide (B 4 C) and/or boron nitride (BN).
  • Polymeric materials that can be coated are preferably those that can withstand high temperature conditions present in plasma reactors.
  • FIG. 5 shows a coated component according to an exemplary preferred embodiment of the invention.
  • a first intermediate coating 80 is optionally coated on a substrate 70 by a conventional technique.
  • the optional first intermediate coating 80 is sufficiently thick to adhere to the substrate and to further allow it to be processed prior to forming an optional second intermediate coating 90 , or the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating 100 .
  • the first intermediate coating 80 and the second intermediate coating 90 can have any suitable thickness that provides these desired properties. These coatings can have a thickness of at least about 0.001 inches, preferably from about 0.001 to about 0.25 inches, more preferably from about. 0.001 to about 0.15 inches, and most preferably from about 0.001 inches to about 0.05 inches.
  • the first intermediate coating 80 can be treated, such as by roughening using any suitable technique, and then coated with the optional second intermediate coating 90 , or with the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating 100 .
  • a roughened first intermediate coating 80 provides a particularly good bond to subsequently applied coatings.
  • the second intermediate coating 90 imparts a high mechanical compression strength to the first intermediate coating 80 and reduces formation of fissures in the second intermediate coating 90 .
  • the second intermediate coating 90 is sufficiently thick to adhere to the first intermediate coating 80 and to allow it to be processed prior to forming any additional intermediate coatings, or the outer hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating 100 .
  • the second intermediate coating 90 also can be treated, such as by roughening.
  • the second intermediate coating 90 can have any suitable thickness that provides these desired properties, such as a thickness of at least about 0.001 inches, preferably from about 0.001 to about 0.25 inches, more preferably from about 0.001 and about 0.15 inches, and most preferably from about 0.001 inches to about 0.05 inches.
  • the first and second intermediate coatings can be made of any metallic, ceramic and polymer materials that are suitable for use in semiconductor plasma processing chambers.
  • Particularly desirable metals that can be used include, but are not limited to, refractory metals, which can withstand high processing temperatures.
  • Preferred ceramics include, but are not limited to, Al 2 O 3 , SiC, Si 3 N 4 , BC, AlN, TiO 2 and mixtures thereof.
  • Preferred polymers include, but are not limited to, fluoropolymers, such as polytetrafluoroethylene and polyimides.
  • the intermediate coatings can be applied by any suitable deposition technique such as plating (e.g., electroless plating or electroplating), sputtering, immersion coating, chemical vapor deposition, physical vapor deposition, electrophoretic deposition, hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering, and thermal spraying (e.g., plasma spraying).
  • plating e.g., electroless plating or electroplating
  • sputtering immersion coating
  • chemical vapor deposition e.g., physical vapor deposition
  • electrophoretic deposition e.g., hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering
  • thermal spraying e.g., plasma spraying
  • the optional first intermediate coating 80 and second intermediate coating 90 can have the same or different compositions from each other, depending on their desired properties. Additional intermediate coatings such as a third, fourth or fifth intermediate coating of the same or different materials can also be provided between the coating and the substrate if desired.
  • FIG. 6 shows another exemplary embodiment of the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coatings according to the invention.
  • the coating 100 can be deposited directly onto a substrate, which is an outer surface of the component 70 .
  • the coating can be have any suitable thickness that provides the desired level of wear resistance to the component.
  • the coating 100 can have a thickness in the range of about 0.001 inches to about 1 inch, preferably from about 0.001 inches to about 0.5 inch, and most preferably from about 0.001 inches to about 0.05 inches.
  • the thickness of the ceramic layer can be selected to be compatible with the plasma environment to be encountered in the reactor (e.g., etching, CVD, etc.).
  • thermal spraying is a preferred method of providing components having coating surfaces according to the invention.
  • other coating methods can also be used including, for example, other deposition techniques, such as sputtering, immersion coating, chemical vapor deposition and physical vapor deposition; hot isostatic pressing; cold isostatic pressing; compression molding; casting; and compaction and sintering techniques.
  • components of semiconductor processing apparatus can also be manufactured as monolithic bodies from hafnium, strontium, dysprosium and/or lanthanum containing ceramic material.
  • These monolithic bodies can be separate bodies or coverings for other components.
  • the hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials according to the invention can be formed into coverings, such as liners, constructed to cover exposed surfaces of reactor components.
  • These coverings can be attached to surfaces in reactor chambers by any suitable fastening technique, including, for example, adhesive bonding or by mechanical fasteners.
  • the fasteners themselves, if exposed to the plasma should preferably also be made from an erosion resistant material to enhance their service life.
  • hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coverings may be constructed to interlock with the underlying reactor component.
  • Monolithic coverings can be provided over any suitable substrate, such as, for example, over walls and other surfaces.
  • An exemplary method of manufacturing monolithic bodies from hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials may include preparing a slurry containing, for example, hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide; forming a green compact in a desired shape and size from the slurry; and sintering the compact to form a sintered body.
  • the green compact can be formed in the shape of any desired plasma reactor component. Details of ceramic processing techniques are given in Introduction to Ceramics , 2 nd Edition, by W. D. Kingery, H. K. Bowen, and D. R. Uhlmann (J. Wiley & Sons, 1976). This description is incorporated herein by reference in its entirety.
  • the monolithic components are preferably plasma-exposed components of plasma reactors.
  • Suitable components can include, for example, chamber walls, substrate supports, gas distribution systems including showerheads, baffles, rings, nozzles, fasteners, heating elements, plasma screens, liners, transport module components, such as robotic arms, fasteners, inner and outer chamber walls, etc., and the like.
  • a specific example of such a component is the reactor component 110 shown in FIG. 7 .
  • the reactor component 110 is a monolithic body manufactured from a hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide containing ceramic material.
  • the hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum containing ceramic material can be provided on all or part of the reactor chamber and components.
  • the coating or monolithic body is provided on the regions of the reactor chamber that are exposed to the plasma environment, such as those parts in direct contact with the plasma, or parts located behind chamber components (e.g., liners).
  • the hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum containing coating or monolithic body be provided at regions of the reactor chamber that are subjected to relatively high bias voltages (i.e. relatively high sputter ion energies).
  • hafnium, strontium, dysprosium and/or lanthanum containing ceramic coating or covering By either applying a hafnium, strontium, dysprosium and/or lanthanum containing ceramic coating or covering, or by constructing a monolithic hafnium, strontium, dysprosium and/or lanthanum containing ceramic component, in accordance with the invention, advantages are realized. Namely, lower erosion rates are achievable in plasma reactors. As a result, the hafnium, strontium, dysprosium and/or lanthanum containing ceramic coatings, coverings and components according to the invention can decrease levels of metal and particulate contamination, lower costs by increasing the lifetime of consumables, decrease process drifts and reduce the levels of corrosion of chamber parts and substrates.
  • hafnium, strontium, dysprosium and/or lanthanum containing ceramic coatings and components according to the invention can provide an extremely hard, wear resistant surface.
  • Such coating or component is desirably free of materials that react with processing chamber gases, and is chemically inert such that there is low or no particle contamination, little or no corrosion, little or no metal contamination and/or little or no volatile etch products.

Abstract

Components of semiconductor processing apparatus are formed at least partially of erosion, corrosion and/or corrosion-erosion resistant ceramic materials. Exemplary ceramic materials can include at least one oxide, nitride, boride, carbide and/or fluoride of hafnium, strontium, lanthanum oxide and/or dysprosium. The ceramic materials can be applied as coatings over substrates to form composite components, or formed into monolithic bodies. The coatings can protect substrates from physical and/or chemical attack. The ceramic materials can be used to form plasma exposed components of semiconductor processing apparatus to provide extended service lives.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to components for semiconductor material processing equipment. The components are formed of materials that can reduce contamination during semiconductor material processing. The invention also relates to methods of making the components.
  • 2. Description of the Related Art
  • In the field of semiconductor material processing, vacuum processing chambers are used for etching and chemical vapor deposition (CVD) of materials on substrates. Process gases are flowed into the processing chamber while a radio frequency (RF) field is applied to the process gases to generate a plasma of the process gases. The plasma performs the desired etching or deposition of selected materials on wafers. Examples of parallel plate, transformer coupled plasma (TCP™), which is also called inductively coupled plasma (ICP), and electron-cyclotron resonance (ECR) reactors and components thereof are disclosed in commonly owned U.S. Pat. Nos. 4,340,462; 4,948,458; 5,200,232 and 5,820,723.
  • During processing of semiconductor substrates, the substrates are typically held in place within the vacuum chamber by substrate holders, as disclosed, for example, in U.S. Pat. Nos. 5,262,029 and 5,838,529. Process gas can be supplied to the chamber by various gas supply systems.
  • In addition to the plasma chamber equipment, other equipment used in processing semiconductor substrates includes transport mechanisms, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like.
  • Plasmas are used to remove materials by etching or for deposition of materials on substrates. The plasma etch conditions create significant ion bombardment of the surfaces of the processing chamber that are exposed to the plasma. This ion bombardment, combined with plasma chemistries and/or etch byproducts, can produce significant erosion, corrosion and corrosion-erosion of the plasma-exposed surfaces of the processing chamber. As a result, the surface materials are removed by physical and/or chemical attack, including erosion, corrosion and/or corrosion-erosion. This attack causes problems including short part lifetimes, increased consumable costs, particulate contamination, on-wafer transition metal contamination and process drift.
  • In light of these problems, plasma processing chambers have been designed to include parts, such as, disks, rings, and cylinders, that confine the plasma over the wafer being processed. However, these parts are continuously attacked by the plasma and, consequently, ultimately erode or accumulate polymer buildup. Eventually, these parts suffer such wear that they are no longer usable. Those parts with relatively short lifetimes are commonly referred to as “consumables.” If the consumable part's lifetime is short, then the cost of ownership is high. Erosion of consumables and other parts generates contamination in plasma processing chambers.
  • Because of the erosive and corrosive nature of the plasma environment in such reactors, and the need to minimize particle and/or metal contamination, it is desirable for components of such equipment, including consumables and other parts, to have suitably high erosion and corrosion resistance. Known parts have been formed of aluminum-based materials. However, the high ion bombardment by the plasma can erode and corrode these materials, producing unsatisfactory levels of contamination (e.g., particulate contamination and metallic impurity contamination).
  • In view of the high purity requirements for processing semiconductor materials there is a need for components of semiconductor processing apparatus composed of materials that provide improved resistance to physical and chemical attack, including erosion, corrosion and/or erosion-corrosion, to minimize the associated contamination of semiconductor materials during their processing. Materials that can increase the service life of components of the equipment and thus reduce the down time of the apparatus, would contribute to reducing the cost of processing semiconductor materials.
  • SUMMARY OF THE INVENTION
  • The invention can satisfy the above-described needs, as well as other needs, by providing components of semiconductor processing apparatus composed of ceramic materials that provide improved wear resistance to erosion, corrosion and/or corrosion-erosion in plasma processing environments. The components can provide low contamination with respect to metals and particulate.
  • In accordance with exemplary embodiments of the invention, the ceramic materials can be applied as coatings on surfaces of substrates, in components utilized in semiconductor material processing equipment. For example, the components can be used in plasma processing chambers. The coated components can provide improved resistance to erosion, corrosion and/or corrosion-erosion when exposed to plasmas during processing.
  • In accordance with other exemplary embodiments of the invention, such components can be bulk parts formed entirely of the protective materials. That is, the components can be monolithic.
  • An exemplary embodiment of a process of making a component of a semiconductor processing apparatus according to the invention comprises forming at least a portion of a component of such equipment from a ceramic material. The portion comprises an outermost surface of the component. The ceramic material comprises (i) at least one oxide, nitride, boride, carbide and/or fluoride of (ii) strontium, lanthanum and dysprosium, and/or at least one nitride, boride, carbide and/or fluoride of hafnium. Preferably, the ceramic material comprises one of strontium oxide, dysprosium oxide and lanthanum oxide as the single largest constituent of the ceramic material coating. The ceramic material can be applied as a coating, or it can be formed into a monolithic body.
  • Another exemplary embodiment of the invention comprises applying a coating of a ceramic material over a metal containing or polymeric surface of a component of a semiconductor processing apparatus. The ceramic material comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent of the ceramic material coating.
  • Other exemplary embodiment of methods according to the invention comprise forming a component of a semiconductor processing apparatus in the form of a monolithic body. The component comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent.
  • An exemplary embodiment of a process of making a component of a semiconductor processing apparatus according to the invention comprises preparing a slurry comprising as the single largest constituent (i) at least one oxide, nitride, boride, carbide and/or fluoride of (ii) strontium, lanthanum and dysprosium, and/or at least one nitride, boride, carbide and/or fluoride of hafnium; forming a green compact from the slurry in the desired shape; and sintering the green compact to form a component. The ceramic material preferably comprises at the least one of hafnium oxide, strontium oxide, dysprosium oxide and lanthanum oxide as the single largest constituent thereof. These processes can be used to form monolithic components.
  • An exemplary embodiment of a component of a semiconductor processing apparatus according to the invention comprises at least a portion comprising a ceramic material. The portion comprises an outermost surface of the component. The ceramic material comprises as the single largest constituent (i) at least one oxide, nitride, boride, carbide and/or fluoride of (ii) strontium, lanthanum and dysprosium, and/or at least one nitride, boride, carbide and/or fluoride of hafnium.
  • Another exemplary embodiment of a component of a semiconductor processing apparatus according to the invention comprises a substrate having a metal containing or polymeric surface; and a coating of a ceramic material over the surface, where the ceramic material comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent of the ceramic material coating.
  • Another exemplary embodiment of a component of a semiconductor processing apparatus according to the invention comprises a monolithic body, which comprises hafnium oxide, hafnium nitride, hafnium boride, hafnium carbide or hafnium fluoride as the single largest constituent.
  • The invention also provides semiconductor processing apparatus that includes at least one of above-described components to provide wear resistance.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention will be readily understood by the following detailed description in conjunction with the accompanying drawings.
  • FIG. 1 illustrates a conventional plasma spray process.
  • FIG. 2 shows a cross-sectional view of a gas ring for a plasma etching apparatus according to an exemplary embodiment of the invention.
  • FIG. 3 shows an etch chamber containing exemplary embodiments of components according to the invention.
  • FIG. 4 shows another etch chamber containing exemplary embodiments of components according to the invention.
  • FIG. 5 shows an exemplary embodiment of a protective ceramic coating according to the invention.
  • FIG. 6 shows another exemplary embodiment of a protective ceramic coating according to the invention.
  • FIG. 7 shows an exemplary embodiment of a monolithic component according to the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The invention provides components that have wear resistance with respect to physical and chemical attack by plasmas generated in semiconductor material processing apparatuses. As used herein, the term “wear resistant” includes, but is not limited to, erosion, corrosion and/or corrosion-erosion resistance. The components are composed of wear resistant ceramic materials.
  • In some exemplary embodiments, the components include coatings composed of erosion resistant ceramic materials formed on substrates. For example, the components can include substrates and one or more erosion resistant ceramic coatings formed on the substrates. The coatings resist erosion and, being non-metallic materials, are also resistant to corrosion and/or corrosion-erosion.
  • In other exemplary embodiments of the invention, the components can consist essentially of wear resistant ceramic materials. For example, the components can be bulk parts of a semiconductor material processing apparatus.
  • According to the invention, the components composed of the wear resistant ceramic materials can be components of apparatuses for processing semiconductors.
  • The invention also provides semiconductor processing apparatuses that include one or more of the components composed, at least partially, of a wear resistant material.
  • In addition, the invention provides methods of making components, at least in part, of the wear resistant materials.
  • As stated above, the invention is applicable to any suitable type of component. The invention provides effective wear resistance to the surfaces of components of semiconductor material processing apparatuses. Those skilled in the art will appreciate that the wear resistant materials according to the invention can be applied to different processing apparatuses useful for processing different semiconductor materials. In addition, the wear resistant materials can be applied to different components in the processing apparatuses. Such exemplary components include, but are not limited to, parts of a plasma and/or vacuum chamber, such as, for example, chamber walls, substrate supports, gas distribution systems including showerheads, baffles, rings, nozzles, etc., fasteners, heating elements, plasma screens, liners, transport module components, such as robotic arms, fasteners, inner and outer chamber walls, and the like.
  • According to the invention, the wear resistant materials can comprise at least one of hafnium, strontium, dysprosium and lanthanum. These elements have a relatively large molecular mass and are relatively inert with respect to typical etching chemicals, which are believed to provide a reduced erosion rate in plasma environments. Preferably, the wear resistant materials comprise one of hafnium oxide, strontium oxide, dysprosium oxide or lanthanum oxide as the single largest constituent of the ceramic material. Exemplary embodiments of the ceramic materials can comprise any one or more of these oxides. Other constituents that can be included in the ceramic materials are described in detail below.
  • The hafnium containing ceramic materials according to the invention preferably contain hafnium oxide (hafnia) as the single largest constituent. In some embodiments, the hafnium containing ceramic materials can consist essentially of hafnium oxide. The hafnium containing ceramic materials can also contain other hafnium containing ceramic materials other than oxides, including, but not limited to, at least one hafnium boride, hafnium fluoride, hafnium nitride and/or hafnium carbide, or mixtures thereof.
  • According to the invention, the hafnium containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described hafnium oxide, boride, fluoride and carbide materials. These other ceramic materials can include, but are not limited to, at least one oxide, nitride, boride, fluoride and/or carbide of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB and VB of the periodic table; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series (i.e., elements having an atomic number of 58-71). For example, hafnium containing ceramic materials (and also strontium containing, dysprosium containing and lanthanum containing materials described below) can be mixed with yttrium oxide (yttria), zirconium oxide (zirconia), aluminum oxide (alumina) and/or cerium oxide (ceria).
  • The strontium containing ceramic materials according to the invention preferably contain strontium oxide (strontia) as the single largest constituent. In some embodiments, the strontium containing ceramic materials can consist essentially of strontium oxide. The strontium containing ceramic materials can also contain other strontium containing ceramic materials other than oxides, including, but not limited to, at least one strontium boride, strontium fluoride, strontium nitride, strontium carbide, or mixtures thereof.
  • According to the invention, the strontium containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described strontium oxide, boride, fluoride and carbide materials. These other ceramic materials can include, but are not limited to, one or more oxides, nitrides, borides, fluorides and carbides of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB of the periodic table; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series, as described above.
  • The dysprosium containing ceramic materials according to the invention preferably contain dysprosium oxide (dysprosia) as the single largest constituent. In some embodiments, the dysprosium containing ceramic materials can consist essentially of dysprosium oxide. The dysprosium containing ceramic materials can also contain other dysprosium containing ceramic materials other than oxides, including, but not limited to, at least one dysprosium boride, dysprosium fluoride, dysprosium nitride, dysprosium carbide, or mixtures thereof.
  • According to the invention, the dysprosium containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described dysprosium oxide, boride, fluoride and carbide materials. These other ceramic materials can include, but are not limited to, at least one oxide, nitride, boride, fluoride and/or carbide of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB and VB of the periodic table; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series, as described above.
  • The lanthanum containing ceramic materials according to the invention preferably contain lanthanum oxide (lanthana) as the single largest constituent. In some embodiments, the lanthanum containing ceramic materials can consist essentially of lanthanum oxide. The lanthanum containing ceramic materials can also contain other lanthanum containing ceramic materials other than oxides, including, but not limited to, at least one lanthanum boride, lanthanum fluoride, lanthanum nitride and/or lanthanum carbide, or mixtures thereof.
  • According to the invention, the lanthanum containing ceramic materials can contain other ceramic materials other than, or in addition to, the above-described lanthanum oxide, boride, fluoride and carbide materials. These other ceramic materials can include, but are not limited to, at least one oxide, nitride, boride, fluoride and/or carbide of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB of the periodic table; and/or at least one oxide, nitride, boride, fluoride and/or carbide of any element of the actinide series, as described above.
  • According to the invention, the ceramic materials can comprise mixtures of the above-described hafnium, strontium, dysprosium and lanthanum containing materials. In addition, the ceramic materials can comprise mixtures of hafnium, strontium, dysprosium and/or lanthanum containing materials, and additional materials, including, but not limited to, one or more oxides, nitrides, borides, fluorides and carbides of elements selected from Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB; and/or one or more oxide, nitride, boride, fluoride or carbide of any element of the actinide series, as described above.
  • In order to try to minimize the contamination of electronic materials processed in equipment incorporating one or more hafnium, strontium, dysprosium and/or lanthanum containing components according to the invention, it is desirable for the ceramic materials to be as pure as possible, e.g., include minimal amounts of potentially contaminating elements, such as transition metals, alkali metals or the like. For example, the hafnium, strontium, dysprosium and lanthanum containing ceramic materials can be sufficiently pure to avoid on-wafer contamination of 1010 atoms/cm2 or higher, preferably 105 atoms/cm2 or higher. Preferably, these ceramic materials have a purity of at least about 99%, and more preferably from about 99.99% to about 100%.
  • In addition, the hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention have a smooth surface finish. Preferably, these materials, applied as coatings or formed into monolithic components, have a surface roughness (RA) of from about 5 to about 400 μinch, and more preferably less than about 200 μinch.
  • The hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention can also provide a high bond strength to the underlying substrate. Preferably, these materials applied as coatings have a tensile bond strength of from about 2000 psi to about 7000 psi.
  • Also, the hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention can provide low porosity levels, which is advantageous to minimize contact of aggressive atmospheres (e.g., HCl containing atmospheres) with the underlying substrate, and thus subsequent corrosion, erosion and/or corrosion-erosion of the substrate by the aggressive atmosphere. Preferably, the ceramic materials have a porosity of less than 15% by volume, and more preferably less than about 3% by volume.
  • In addition, the hafnium, strontium, dysprosium and lanthanum containing ceramic materials according to the invention can provide a high hardness to resist erosion. Preferably, the ceramic materials have a hardness (HVO3) of from about 200 to about 800.
  • The above-described ceramic materials can provide desirable wear resistance properties for use in semiconductor processing apparatus, such as, for example, plasma etch chambers. In particular, hafnium, strontium, dysprosium and lanthanum containing ceramic materials can provide surfaces that can reduce ion induced erosion and associated levels of particulate contamination in plasma reactor chambers. Hafnium, strontium, dysprosium and lanthanum containing ceramic materials can also protect underlying substrates against both physical attack and chemical attack by plasmas.
  • The wear resistant ceramic materials according to the invention can be used in various different plasma atmospheres for etching and deposition applications, as well as other uses. For example, typical etch chemistries include, for example, chlorine containing gases including, but not limited to, Cl2, HCl and BCl3; bromine containing gases including, but not limited to, bromine and HBr; oxygen containing gases including, but not limited to, O2, H2O and SO2; fluorine containing gases including, but not limited to, CF4, CH2F2, NF3, CH3F, CHF3 and SF6; and inert and other gases including, but not limited to He, Ar and N2. These and other gases may be used in any suitable combination, depending on the desired plasma. Exemplary plasma reactor etching operating conditions are as follows: temperature of from about 25° C. to about 90° C.; pressure of from about 0 mTorr to about 100 mTorr; gas flow rate of from about 10 sccm to about 1000 sccm; and plasma power of from about 0 Watts to about 1500 Watts.
  • In an exemplary preferred embodiment of the invention, the hafnium, strontium, dysprosium and lanthanum containing ceramic materials are provided as a coating on a substrate. These coatings can be applied by methods known in the art. A preferred coating method is thermal spraying (e.g., plasma spraying). In this method, ceramic powder is melted and incorporated in a gas stream, which is directed at the component being spray coated. An advantage of thermal spraying techniques is that the component is coated only on the sides facing the thermal spray gun, and masking can be used to protect other areas. Conventional thermal spraying techniques, including plasma spraying, are described in The Science and Engineering of Thermal Spray Coating by Pawlowski (John Wiley, 1995). This description is hereby incorporated by reference in its entirety.
  • A particularly preferred thermal spraying method is plasma spraying. Plasma spraying can be used to coat even intricate interior surfaces of chambers and other chamber components. FIG. 1 illustrates a typical plasma spraying process. The coating material, usually in the form of a powder 112, is injected into a high temperature plasma flame 114 usually via an external powder port 132 The powder is rapidly heated and accelerated to a high velocity. The hot material impacts on the substrate surface 116 and rapidly cools to form a coating 118.
  • The plasma spray gun 120 comprises an anode 122 and a cathode 124, both of which are water cooled. Plasma gas 126 (e.g., argon, nitrogen, hydrogen, helium) flows around the cathode in the direction generally indicated by arrow 128 and through a constricting nozzle of the anode. The plasma is initiated by a high voltage discharge, which causes localized ionization and a conductive path for a DC arc to form between the cathode 124 and the anode 122. Resistance heating from the arc causes the gas to form a plasma. The plasma exits the anode nozzle portion as a free or neutral plasma flame (plasma which does not carry electric current). When the plasma is stabilized ready for spraying, the electric arc extends down the nozzle. The powder 112 is so rapidly heated and accelerated that the spray distance 136 between the nozzle tip and the substrate surface can be on the order of 125 to 150 mm. Plasma sprayed coatings are produced by molten or heat-softened particles caused to impact on the substrate surface 116.
  • According to the invention, surface treating techniques, such as cleaning and particle blasting can be used to provide a more chemically and physically active surface for bonding. Prior to coating, the surface of the substrate is preferably thoroughly cleaned to remove undesirable surface material, such as oxides or grease. The surface can also be roughened by any suitable method, such as grit blasting, prior to coating. This roughening increases the surface area available for bonding, which increases the coating bond strength. The rough surface profile can also promote mechanical keying or interlocking of the coating with the substrate.
  • For aluminum reactor components, it is preferable to anodize the surface of the component that is to be coated prior to coating, but not roughen the anodized surface. The anodized layer provides an additional barrier, i.e. in addition to protection provided by the coating, against corrosive attack of the underlying aluminum. The anodized aluminum layer formed on aluminum substrates, such as 6061-T6 aluminum, can have any suitable thickness. For example, the thickness can be typically be from about 2 mil to about 10 mil. The anodized surface can have any suitable finish. For example, the surface finish can have an RA value of about 20 μinch to about 100 μinch. The anodized layer can be sealed by any suitable technique, such as by using boiling deionized water.
  • Hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide containing ceramic materials according to the invention are preferably applied using a plasma spray process. However, any other coating method suitable for use with ceramic materials may also be employed. For example, the hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide containing ceramic coatings can also be applied by sputtering, sputter deposition, immersion coating, chemical vapor deposition, evaporation and condensation (including electron beam evaporation and condensation), physical vapor deposition, hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering, and thermal spraying.
  • In some preferred embodiments of the invention, the hafnium, strontium, dysprosium and/or lanthanum containing ceramic components are used in a high-density plasma reactor. An exemplary reactor of this type is the TCP 9400™ plasma etch reactor available from Lam Research Corporation of Fremont, Calif. In the TCP 9400™ reactor, processing gases (such as Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 and NF3) are conducted into a gas ring located at the bottom of the etch chamber and are then guided through gas holes into the reactor chamber. FIG. 2 shows a gas ring for a TCP 9400™ etch reactor. As shown in FIG. 2, the main body of the gas ring 40 surrounds a substrate support 44. The bottom surface of the gas ring 40 contains a ring-shaped gas-guiding trench 60. The aforementioned gas holes 50 extend into the gas-guiding trench 60.
  • The gas ring 40 is typically composed of aluminum. Upper surfaces of the gas ring are directly exposed to the plasma and thus subject to erosion, corrosion and corrosion-erosion. To protect these surfaces, the gas ring is typically covered with an aluminum oxide layer. This layer is, however, relatively brittle and can crack during repeated thermal cycling of the reactor during use. Cracks that form in the anodized layer can allow the corrosive process gases to attack the underlying aluminum layer, reducing part life and contributing to metallic and particle contamination of processed substrates, such as wafers, flat panel display substrates and the like.
  • According to exemplary embodiments of the invention, the exposed surfaces of the gas ring can be covered with a coating 42 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material. The ceramic materials can be coated on a bare (with or without a native oxide surface film) aluminum layer or on an aluminum oxide layer (e.g., aluminum having an anodized surface). When coating the gas ring, the coating can be allowed to partially penetrate into the gas holes to coat and protect the inside walls thereof, but without obstructing the openings. For example, the gas holes can be plugged or masked during the coating process.
  • Other components of the TCP 9400™ etch reactor that can be exposed to the plasma during processing can also be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention. These components include, for example, chamber walls, chamber liners, chucking devices and the dielectric window opposite the substrate. Providing a coating according to the invention on the upper surface of a chucking device, such as an electrostatic chuck, provides additional protection to the chuck during cleaning cycles in which a wafer is not present and the upper surface of the chuck is thus directly exposed to the plasma.
  • Another exemplary polysilicon etch reactor that can include the hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials according to the invention is the Versys™ Polysilicon Etcher or 2300™ etcher also available from Lam Research Corporation of Fremont, Calif., as shown in FIG. 3. The reactor comprises a reactor chamber 150 that includes a substrate support 152 including an electrostatic chuck 154, which provides a clamping force to a substrate (not shown) mounted thereon. A focus ring 170 is mounted on the substrate support 152 around the electrostatic chuck 154. The substrate support 152 can also be used to apply an RF bias to the substrate. The substrate can also be back-cooled using a heat transfer gas such as helium. In the 2300™ etcher, processing gases (e.g., Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 or NF3) are introduced into the chamber 150 via a gas injector 168 located on the top of chamber 150 and connected to a gas feed 156. The gas injector 168 is typically made of quartz or a ceramic material such as alumina. As shown, an inductive coil 158 can be powered by a suitable RF source (not shown) to provide a high density (e.g., 1011-1012 ions/cm3) plasma. The inductive coil 158 couples RF energy through dielectric window 160 into the interior of chamber 150. The dielectric window 160 is typically made of quartz or alumina. The dielectric window 160 is shown mounted on an annular member 162. The annular member 162 spaces dielectric window 160 from the top of chamber 150 and is referred to as a “gas distribution plate”. A chamber liner 164 surrounds the substrate support 152. The chamber 150 can also include suitable vacuum pumping apparatus (not shown) for maintaining the interior of the chamber at a desired pressure.
  • In FIG. 3, selected internal surfaces of reactor components, such as the annular member 162, dielectric window 160, substrate support 152, chamber liner 164, gas injector 168, focus ring 170 and the electrostatic chuck 154, are shown coated with a coating 166 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention. As shown in FIG. 3, selected interior surfaces of the chamber 150 and substrate support 152 below the chamber liner 164 can also be provided with a coating 166 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention. Any or all of these surfaces, as well as any other internal reactor surface, can be provided with a coating according to the invention. As described below, any or all of these components can alternatively be manufactured from monolithic bodies of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • According to the invention, the components can be used in a high-density oxide etch process. An exemplary oxide etch reactor is the TCP 9100™ plasma etch reactor available from Lam Research Corporation of Fremont, Calif. In the TCP 9100™ reactor, the gas distribution plate is a circular plate situated directly below the TCP™ window, which is also the vacuum sealing surface at the top of the reactor in a plane above and parallel to a semiconductor wafer. The gas distribution plate is sealed to a gas distribution ring located at the periphery of the gas distribution plate. The gas distribution ring feeds gas from a source into the volume defined by the gas distribution plate, an inside surface of a window underlying an antenna in the form of a flat spiral coil supplying RF energy into the reactor, and the gas distribution ring. The gas distribution plate contains holes of a specified diameter, which extend through the plate. The spatial distribution of the holes through the gas distribution plate can be varied to optimize etch uniformity of the layers to be etched, e.g., a photoresist layer, a silicon dioxide layer and an underlayer material on the wafer. The cross-sectional shape of the gas distribution plate can be varied to manipulate the distribution of RF power into the plasma in the reactor. The gas distribution plate is a dielectric material to enable coupling of this RF power through the gas distribution plate into the reactor. Further, it is desirable for the material of the gas distribution plate to be highly resistant to chemical sputter-etching in environments, such as oxygen or a hydro-fluorocarbon gas plasma, to avoid breakdown and the resultant particle generation associated therewith.
  • FIG. 4 illustrates a plasma reactor of the aforementioned type. The reactor comprises a reactor chamber 10. A substrate holder 12 includes an electrostatic chuck 34, which provides a clamping force and an RF bias to a substrate 13. The substrate can be back-cooled using a heat transfer gas such as helium. A focus ring 14 confines plasma in a region above the substrate. A source of energy for maintaining a high density (e.g., 1010-1012 ions/cm3) plasma in the chamber, such as an antenna 18 powered by a suitable RF source to provide a high density plasma, is disposed at the top of the reactor chamber 10. The reactor chamber includes a vacuum pumping apparatus for maintaining the interior of the chamber at a desired pressure (e.g., below 50 mTorr, typically 1-20 mTorr).
  • A substantially planar dielectric window 20 is provided between the antenna 18 and the interior of the processing chamber 10 and forms the vacuum wall at the top of the processing chamber 10. A gas distribution plate 22 is provided beneath window 20 and includes openings for delivering process gas from the gas supply 23 to the chamber 10. A conical liner 30 extends from the gas distribution plate 22 and surrounds the substrate holder 12. The antenna 18 can be provided with a channel 24 through which a temperature control fluid is flowed via inlet and outlet conduit 25, 26. However, the antenna 18 and/or window 20 need not be cooled, or could be cooled by other suitable technique, such as by blowing gas over the antenna and window, passing a cooling fluid through or in heat transfer contact with the window and/or gas distribution plate, etc.
  • In operation, a substrate, such as a semiconductor wafer, is positioned on the substrate holder 12 and held in place by an electrostatic chuck 34. Other clamping means, however, such as a mechanical clamping mechanism can also be used. Additionally, helium back-cooling can be employed to improve heat transfer between the substrate and chuck. Process gas is then supplied to the vacuum processing chamber 10 by passing the process gas through a gap between the window 20 and the gas distribution plate 22. Suitable gas distribution plate arrangements (i.e., showerhead) arrangements are disclosed in commonly owned U.S. Pat. Nos. 5,824,605; 6,048,798; and 5,863,376, each of which is incorporated herein by reference in its entirety. A high density plasma is ignited in the space between the substrate and the window by supplying suitable RF power to the antenna 18.
  • In FIG. 4, the internal surfaces of reactor components, such as the gas distribution plate 22, the chamber liner 30, the electrostatic chuck 34, and the focus ring 14 are coated with a coating 32 of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention. However, only selected ones of these surfaces, and/or other surfaces, can be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention.
  • Those skilled in the art will appreciate that the high density polysilicon and dielectric etch chambers described above are only exemplary embodiments of plasma etch reactors that can incorporate components according to the invention. Components containing hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials according to the invention can be used in any etch reactor (e.g., a metal etch reactor) or other type of semiconductor processing apparatus where the reduction of plasma induced erosion, corrosion and/or corrosion-erosion and associated contamination is desired.
  • For example, other components that can be provided with a coating of a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention include, but are not limited to, chamber walls, substrate holders, fasteners, etc. These parts are typically made from metal (e.g., aluminum) or ceramic (e.g., alumina). These metallic plasma reactor components are typically exposed to plasma and often show signs of erosion, corrosion and/or corrosion-erosion. Other parts that can be coated in accordance with the invention need not be directly exposed to plasma, but may instead be exposed to corrosive gases, such as gases emitted from processed wafers or the like. Therefore, other equipment used in processing semiconductor substrates can also be provided with hafnium, strontium, dysprosium and/or lanthanum containing ceramic material surfaces and coatings according to the invention. Such equipment can include transport mechanisms, gas supply systems, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like.
  • Examples of metallic materials that can be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention include aluminum and aluminum alloys, stainless steels and refractory metals, e.g., 6061-T6 aluminum and 304 and 316 stainless steels. Because the hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials form a wear resistant coating over the component, the underlying component is protected from direct exposure to the plasma. Accordingly, the metallic substrate can be protected against erosion, corrosion and/or corrosion-erosion attack by the plasma. As a result, metallic materials, such as aluminum alloys, can be used without regard to alloying additions, grain structure or surface conditions.
  • In addition, various ceramic or polymeric materials can also be coated with a hafnium, strontium, dysprosium and/or lanthanum containing ceramic material according to the invention. In particular, the reactor components can be made from ceramic materials, including, but not limited to, alumina (Al2O3), silicon carbide (SiC), silicon nitride (Si3N4), boron carbide (B4C) and/or boron nitride (BN). Polymeric materials that can be coated are preferably those that can withstand high temperature conditions present in plasma reactors.
  • If desired, one or more intermediate layers of material can be provided between the surface of the substrate that is coated and the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating. FIG. 5 shows a coated component according to an exemplary preferred embodiment of the invention. A first intermediate coating 80 is optionally coated on a substrate 70 by a conventional technique. The optional first intermediate coating 80 is sufficiently thick to adhere to the substrate and to further allow it to be processed prior to forming an optional second intermediate coating 90, or the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating 100. The first intermediate coating 80 and the second intermediate coating 90 can have any suitable thickness that provides these desired properties. These coatings can have a thickness of at least about 0.001 inches, preferably from about 0.001 to about 0.25 inches, more preferably from about. 0.001 to about 0.15 inches, and most preferably from about 0.001 inches to about 0.05 inches.
  • After depositing the optional first intermediate coating 80 onto the reactor component 70, the first intermediate coating can be treated, such as by roughening using any suitable technique, and then coated with the optional second intermediate coating 90, or with the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating 100. A roughened first intermediate coating 80 provides a particularly good bond to subsequently applied coatings. Desirably, the second intermediate coating 90 imparts a high mechanical compression strength to the first intermediate coating 80 and reduces formation of fissures in the second intermediate coating 90.
  • The second intermediate coating 90 is sufficiently thick to adhere to the first intermediate coating 80 and to allow it to be processed prior to forming any additional intermediate coatings, or the outer hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coating 100. The second intermediate coating 90 also can be treated, such as by roughening. The second intermediate coating 90 can have any suitable thickness that provides these desired properties, such as a thickness of at least about 0.001 inches, preferably from about 0.001 to about 0.25 inches, more preferably from about 0.001 and about 0.15 inches, and most preferably from about 0.001 inches to about 0.05 inches.
  • The first and second intermediate coatings can be made of any metallic, ceramic and polymer materials that are suitable for use in semiconductor plasma processing chambers. Particularly desirable metals that can be used include, but are not limited to, refractory metals, which can withstand high processing temperatures. Preferred ceramics include, but are not limited to, Al2O3, SiC, Si3N4, BC, AlN, TiO2 and mixtures thereof. Preferred polymers include, but are not limited to, fluoropolymers, such as polytetrafluoroethylene and polyimides.
  • The intermediate coatings can be applied by any suitable deposition technique such as plating (e.g., electroless plating or electroplating), sputtering, immersion coating, chemical vapor deposition, physical vapor deposition, electrophoretic deposition, hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering, and thermal spraying (e.g., plasma spraying).
  • The optional first intermediate coating 80 and second intermediate coating 90 can have the same or different compositions from each other, depending on their desired properties. Additional intermediate coatings such as a third, fourth or fifth intermediate coating of the same or different materials can also be provided between the coating and the substrate if desired.
  • FIG. 6 shows another exemplary embodiment of the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coatings according to the invention. The coating 100 can be deposited directly onto a substrate, which is an outer surface of the component 70. The coating can be have any suitable thickness that provides the desired level of wear resistance to the component. Particularly, the coating 100 can have a thickness in the range of about 0.001 inches to about 1 inch, preferably from about 0.001 inches to about 0.5 inch, and most preferably from about 0.001 inches to about 0.05 inches. The thickness of the ceramic layer can be selected to be compatible with the plasma environment to be encountered in the reactor (e.g., etching, CVD, etc.).
  • As discussed above, thermal spraying is a preferred method of providing components having coating surfaces according to the invention. However, other coating methods can also be used including, for example, other deposition techniques, such as sputtering, immersion coating, chemical vapor deposition and physical vapor deposition; hot isostatic pressing; cold isostatic pressing; compression molding; casting; and compaction and sintering techniques.
  • As mentioned above, components of semiconductor processing apparatus can also be manufactured as monolithic bodies from hafnium, strontium, dysprosium and/or lanthanum containing ceramic material. These monolithic bodies can be separate bodies or coverings for other components. For example, the hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials according to the invention can be formed into coverings, such as liners, constructed to cover exposed surfaces of reactor components. These coverings can be attached to surfaces in reactor chambers by any suitable fastening technique, including, for example, adhesive bonding or by mechanical fasteners. When fasteners are used, the fasteners themselves, if exposed to the plasma, should preferably also be made from an erosion resistant material to enhance their service life. Additionally, the hafnium, strontium, dysprosium and/or lanthanum containing ceramic material coverings may be constructed to interlock with the underlying reactor component. Monolithic coverings can be provided over any suitable substrate, such as, for example, over walls and other surfaces.
  • An exemplary method of manufacturing monolithic bodies from hafnium, strontium, dysprosium and/or lanthanum containing ceramic materials may include preparing a slurry containing, for example, hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide; forming a green compact in a desired shape and size from the slurry; and sintering the compact to form a sintered body. The green compact can be formed in the shape of any desired plasma reactor component. Details of ceramic processing techniques are given in Introduction to Ceramics, 2nd Edition, by W. D. Kingery, H. K. Bowen, and D. R. Uhlmann (J. Wiley & Sons, 1976). This description is incorporated herein by reference in its entirety.
  • The monolithic components are preferably plasma-exposed components of plasma reactors. Suitable components can include, for example, chamber walls, substrate supports, gas distribution systems including showerheads, baffles, rings, nozzles, fasteners, heating elements, plasma screens, liners, transport module components, such as robotic arms, fasteners, inner and outer chamber walls, etc., and the like. A specific example of such a component is the reactor component 110 shown in FIG. 7. The reactor component 110 is a monolithic body manufactured from a hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum oxide containing ceramic material.
  • The hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum containing ceramic material can be provided on all or part of the reactor chamber and components. In a preferred embodiment, the coating or monolithic body is provided on the regions of the reactor chamber that are exposed to the plasma environment, such as those parts in direct contact with the plasma, or parts located behind chamber components (e.g., liners). Additionally, it is preferred that the hafnium oxide, strontium oxide, dysprosium oxide and/or lanthanum containing coating or monolithic body be provided at regions of the reactor chamber that are subjected to relatively high bias voltages (i.e. relatively high sputter ion energies).
  • By either applying a hafnium, strontium, dysprosium and/or lanthanum containing ceramic coating or covering, or by constructing a monolithic hafnium, strontium, dysprosium and/or lanthanum containing ceramic component, in accordance with the invention, advantages are realized. Namely, lower erosion rates are achievable in plasma reactors. As a result, the hafnium, strontium, dysprosium and/or lanthanum containing ceramic coatings, coverings and components according to the invention can decrease levels of metal and particulate contamination, lower costs by increasing the lifetime of consumables, decrease process drifts and reduce the levels of corrosion of chamber parts and substrates.
  • The hafnium, strontium, dysprosium and/or lanthanum containing ceramic coatings and components according to the invention can provide an extremely hard, wear resistant surface. Such coating or component is desirably free of materials that react with processing chamber gases, and is chemically inert such that there is low or no particle contamination, little or no corrosion, little or no metal contamination and/or little or no volatile etch products.
  • While the invention has been described in detail with reference to specific embodiments thereof, it will be apparent to those skilled in the art that various changes and modifications can be made, and equivalents employed, without departing from the scope of the appended claims.

Claims (40)

1. A component of a semiconductor processing apparatus, comprising at least a portion comprising a ceramic material, the portion comprising an outermost surface of the component, and the ceramic material comprising a material selected from the group consisting of strontium oxide, strontium nitride, strontium boride, strontium carbide, strontium fluoride, lanthanum oxide, lanthanum nitride, lanthanum boride, lanthanum carbide, lanthanum fluoride, dysprosium oxide, dysprosium nitride, dysprosium boride, dysprosium carbide and dysprosium fluoride as a single largest constituent of the ceramic material coating.
2. The component of claim 1, wherein the ceramic material comprises one of strontium oxide, lanthanum oxide and dysprosium oxide as the single largest constituent.
3. The component of claim 1, wherein the component comprises a substrate, and ceramic material is a coating over the substrate.
4. The component of claim 3, wherein the coating has a thickness of from about 0.001 in. to about 0.050 in.
5. The component of claim 3, wherein the coating consists essentially of the ceramic material.
6. The component of claim 3, further comprising:
at least one intermediate layer on the substrate;
wherein the coating is over the at least one intermediate layer.
7. The component of claim 1, wherein the ceramic material further comprises at least one material selected from the group consisting of (i) oxides, nitrides, borides, fluorides and carbides of the elements of Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB of the periodic table, and (ii) oxides, nitrides, borides, fluorides and carbides of the elements of the actinide series of the periodic table.
8. The component of claim 1, which is selected from the group consisting of a chamber wall, a chamber liner, a gas distribution plate, a gas ring, a pedestal, a dielectric window, an electrostatic chuck and a plasma focus ring.
9. A plasma etch reactor comprising at least one component according to claim 1.
10. A process of manufacturing a component of a semiconductor processing apparatus according to claim 1, comprising applying the ceramic material as a coating over a substrate, the coating comprising an outermost surface of the component.
11. The process of claim 10, wherein the ceramic material comprises one of strontium oxide, dysprosium oxide and lanthanum oxide as the single largest constituent.
12. The process of claim 10, further comprising roughening a surface of the substrate, and applying the ceramic material on the roughened surface to enhance adhesion of the ceramic material on the substrate.
13. The process of claim 10, wherein the coating consists essentially of the ceramic material.
14. The process of claim 10, further comprising:
applying at least one intermediate layer on the substrate; and
applying the coating on the at least one intermediate layer.
15. The process of claim 14, further comprising at least one of:
treating the substrate before applying the at least one intermediate layer to enhance adhesion of the at least one intermediate layer on the substrate; and
treating the at least one intermediate layer before applying the ceramic material to enhance adhesion of the ceramic material on the at least one intermediate layer.
16. The process of claim 10, wherein the ceramic material is applied on the substrate by thermal spraying.
17. The process of claim 10, wherein the ceramic material further comprises at least one material selected from the group consisting of (i) oxides, nitrides, borides, fluorides and carbides of the elements of Groups IIA, IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, IIB, IIIB, IVB, and VB of the periodic table, and (ii) oxides, nitrides, borides, fluorides and carbides of the elements of the actinide series of the periodic table.
18. A process of manufacturing a component of a semiconductor processing apparatus according to claim 1, comprising forming the component as a monolithic part which consists essentially of the ceramic material.
19. (Canceled).
20. (Canceled).
21. (Canceled).
22. (Canceled).
23. (Canceled).
24. (Canceled).
25. (Canceled).
26. (Canceled).
27. (Canceled).
28. (Canceled).
29. (Canceled).
30. (Canceled).
31. (Canceled).
32. (Canceled).
33. (Canceled).
34. (Canceled).
35. (Canceled).
36. (Canceled).
37. (Canceled).
38. (Canceled).
39. (Canceled).
40. (Canceled)
US10/837,575 2002-03-21 2004-05-04 Low contamination components for semiconductor processing apparatus and methods for making components Abandoned US20050003240A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/837,575 US20050003240A1 (en) 2002-03-21 2004-05-04 Low contamination components for semiconductor processing apparatus and methods for making components
US12/230,413 US20090068845A1 (en) 2002-03-21 2008-08-28 Low contamination components for semiconductor processing apparatus and methods for making components
US12/349,949 US8935990B2 (en) 2002-03-21 2009-01-07 Low contamination components for semiconductor processing apparatus and methods for making components
US12/349,966 US8318327B2 (en) 2002-03-21 2009-01-07 Low contamination components for semiconductor processing apparatus and methods for making components
US13/667,911 US20130059071A1 (en) 2002-03-21 2012-11-02 Low contamination components for semiconductor processsing apparatus and methods for making components

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/101,701 US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components
US10/837,575 US20050003240A1 (en) 2002-03-21 2004-05-04 Low contamination components for semiconductor processing apparatus and methods for making components

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/101,701 Division US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/230,413 Continuation US20090068845A1 (en) 2002-03-21 2008-08-28 Low contamination components for semiconductor processing apparatus and methods for making components

Publications (1)

Publication Number Publication Date
US20050003240A1 true US20050003240A1 (en) 2005-01-06

Family

ID=28040059

Family Applications (6)

Application Number Title Priority Date Filing Date
US10/101,701 Expired - Lifetime US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components
US10/837,575 Abandoned US20050003240A1 (en) 2002-03-21 2004-05-04 Low contamination components for semiconductor processing apparatus and methods for making components
US12/230,413 Abandoned US20090068845A1 (en) 2002-03-21 2008-08-28 Low contamination components for semiconductor processing apparatus and methods for making components
US12/349,966 Expired - Lifetime US8318327B2 (en) 2002-03-21 2009-01-07 Low contamination components for semiconductor processing apparatus and methods for making components
US12/349,949 Expired - Fee Related US8935990B2 (en) 2002-03-21 2009-01-07 Low contamination components for semiconductor processing apparatus and methods for making components
US13/667,911 Abandoned US20130059071A1 (en) 2002-03-21 2012-11-02 Low contamination components for semiconductor processsing apparatus and methods for making components

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/101,701 Expired - Lifetime US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components

Family Applications After (4)

Application Number Title Priority Date Filing Date
US12/230,413 Abandoned US20090068845A1 (en) 2002-03-21 2008-08-28 Low contamination components for semiconductor processing apparatus and methods for making components
US12/349,966 Expired - Lifetime US8318327B2 (en) 2002-03-21 2009-01-07 Low contamination components for semiconductor processing apparatus and methods for making components
US12/349,949 Expired - Fee Related US8935990B2 (en) 2002-03-21 2009-01-07 Low contamination components for semiconductor processing apparatus and methods for making components
US13/667,911 Abandoned US20130059071A1 (en) 2002-03-21 2012-11-02 Low contamination components for semiconductor processsing apparatus and methods for making components

Country Status (9)

Country Link
US (6) US6780787B2 (en)
EP (1) EP1495155A1 (en)
JP (2) JP2005521250A (en)
KR (1) KR101024514B1 (en)
CN (1) CN100357489C (en)
AU (1) AU2003210966A1 (en)
IL (1) IL163917A (en)
TW (2) TWI299182B (en)
WO (1) WO2003080892A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
WO2006107425A2 (en) * 2005-03-03 2006-10-12 Integrated Materials, Inc. Baffle wafers and randomly oriented polycrystallin silicon used therefor
US20080003791A1 (en) * 2006-06-30 2008-01-03 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
KR101060606B1 (en) 2008-08-21 2011-08-31 서울대학교산학협력단 Thin film deposition method
CN110735128A (en) * 2018-07-18 2020-01-31 应用材料公司 Erosion resistant metal fluoride coatings deposited by atomic layer deposition
CN110735129A (en) * 2018-07-18 2020-01-31 应用材料公司 Erosion resistant metal oxide coatings deposited by atomic layer deposition
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10229379A1 (en) * 2002-06-26 2004-01-29 Schering Ag Treatment and prevention of endometrial disease, e.g. endometriosis or carcinoma, by inhibiting endocrine gland vascular endothelial growth factor, also diagnosis
JP2006516822A (en) * 2003-01-27 2006-07-06 東京エレクトロン株式会社 Method and apparatus for improved fixed hardware
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20060027923A1 (en) * 2004-08-09 2006-02-09 Tania Bhatia Coating process to enable electrophoretic deposition
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006186306A (en) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd Gas diffusion plate and manufacturing method thereof
US20060180569A1 (en) * 2005-02-15 2006-08-17 Chang Hsi-Ming Method of manufacturing step contact window of flat display panel
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
EP1914330A4 (en) * 2005-06-17 2010-03-03 Univ Tohoku Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
JP5040119B2 (en) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 Environmentally resistant member, semiconductor manufacturing apparatus, and environmentally resistant member manufacturing method
JP5028755B2 (en) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 Surface treatment method for semiconductor processing equipment
JP2007036197A (en) * 2005-06-23 2007-02-08 Tokyo Electron Ltd Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus
JP4818659B2 (en) * 2005-08-08 2011-11-16 いすゞ自動車株式会社 Sliding member for combustion chamber of internal combustion engine and method for manufacturing the same
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7589025B2 (en) * 2005-12-02 2009-09-15 Rohm And Haas Electronic Materials Llc Semiconductor processing
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2009058235A2 (en) * 2007-10-31 2009-05-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
KR20090093819A (en) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 Sintered body and member used in plasma treatment device
JP5235596B2 (en) * 2008-10-15 2013-07-10 東京エレクトロン株式会社 Si etching method
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011066314A1 (en) * 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
WO2011100527A1 (en) * 2010-02-12 2011-08-18 Morgan Advanced Ceramics, Inc. Method for texturing ceramic components
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US20120196139A1 (en) * 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102260856A (en) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 Anti-etching layer, semiconductor processing equipment and manufacture method of semiconductor processing equipment
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP6034156B2 (en) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
CN104508180A (en) * 2012-07-27 2015-04-08 应用材料公司 Roughened substrate support
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794458B (en) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 For the parts within plasma process chamber and manufacture method
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
JP6071514B2 (en) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 Electrostatic chuck reforming method and plasma processing apparatus
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
JP6457498B2 (en) * 2013-05-23 2019-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Coated liner assembly for a semiconductor processing chamber
US20140357092A1 (en) * 2013-06-04 2014-12-04 Lam Research Corporation Chamber wall of a plasma processing apparatus including a flowing protective liquid layer
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US11015244B2 (en) * 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
CN105304519A (en) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 Lining, lining preparation method and reaction chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101465640B1 (en) * 2014-08-08 2014-11-28 주식회사 펨빅스 CVD Process Chamber Components with Anti-AlF3 Coating Layer
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201511282D0 (en) * 2015-06-26 2015-08-12 Spts Technologies Ltd Plasma etching apparatus
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
WO2018116688A1 (en) * 2016-12-20 2018-06-28 三井金属鉱業株式会社 Rare earth oxyfluoride sintered body and method for producing same
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20180093814A (en) * 2017-02-14 2018-08-22 에스케이씨솔믹스 주식회사 Plasma processing apparatus having boron carbide and method of manufacturing the apparatus
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109423606A (en) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 Focusing ring and its corrosion-resistant means of defence
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN111405980A (en) * 2017-09-28 2020-07-10 麦克斯特里尔有限公司 Article comprising a surface coating and method for producing the same
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10483147B2 (en) 2017-11-21 2019-11-19 Wallow Electric Manufacturing Company Dual-purpose vias for use in ceramic pedestals
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
KR20200099203A (en) * 2018-01-08 2020-08-21 램 리써치 코포레이션 Components and processes to manage plasma process by-product materials
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
CN110540424B (en) * 2018-05-29 2021-12-21 山东工业陶瓷研究设计院有限公司 Feed for zirconia ceramic injection molding and preparation method thereof
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
CN111326470A (en) * 2018-12-17 2020-06-23 夏泰鑫半导体(青岛)有限公司 Electrostatic chuck and semiconductor device
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
CN114068276A (en) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 Semiconductor component, plasma reaction apparatus, and coating layer forming method
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114308900A (en) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 Plasma cleaning machine
WO2023192402A1 (en) * 2022-03-31 2023-10-05 Lam Research Corporation Radiative heat windows and wafer support pads in vapor etch reactors

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3630770A (en) * 1969-04-30 1971-12-28 Gen Electric Method for fabricating lanthanum boride cathodes
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US5810936A (en) * 1994-07-06 1998-09-22 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process and apparatus employing same
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5837057A (en) * 1992-12-21 1998-11-17 Canon Kabushiki Kaisha Film forming apparatus with particle prevention plate
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5934900A (en) * 1996-03-29 1999-08-10 Integrated Thermal Sciences, Inc. Refractory nitride, carbide, ternary oxide, nitride/oxide, oxide/carbide, oxycarbide, and oxynitride materials and articles
US5964947A (en) * 1996-07-12 1999-10-12 Applied Materials, Inc. Removable pumping channel liners within a chemical vapor deposition chamber
US6033741A (en) * 1992-11-30 2000-03-07 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus using laser
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6479108B2 (en) * 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6916559B2 (en) * 1997-02-26 2005-07-12 Kyocera Corporation Ceramic material resistant to halogen plasma and member utilizing the same

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2964947A (en) * 1958-09-08 1960-12-20 Springfield Greene Ind Inc Thermometer
JPS63100065A (en) 1986-10-14 1988-05-02 セイコーエプソン株式会社 Manufacture of nitride sintered body
JPS63206397A (en) * 1987-02-20 1988-08-25 Nec Corp Crucible for growth of gaas crystal
JP3009177B2 (en) * 1990-04-06 2000-02-14 東芝タンガロイ株式会社 Coated ceramic sintered body with excellent adhesion
KR100194892B1 (en) 1990-05-18 1999-06-15 윌슨 더그 Chemical vapor deposition method
JP3017528B2 (en) 1990-11-27 2000-03-13 アプライドマテリアルズジャパン株式会社 Plasma processing equipment
JP3659435B2 (en) 1996-02-29 2005-06-15 京セラ株式会社 Corrosion resistant member, plasma processing apparatus, semiconductor manufacturing apparatus, liquid crystal manufacturing apparatus, and discharge vessel.
US6071627A (en) * 1996-03-29 2000-06-06 Kabushiki Kaisha Toshiba Heat-resistant member and a method for evaluating quality of a heat-resistant member
JPH104083A (en) * 1996-06-17 1998-01-06 Kyocera Corp Anticorrosive material for semiconductor fabrication
JP3619330B2 (en) * 1996-07-31 2005-02-09 京セラ株式会社 Components for plasma process equipment
JP3623054B2 (en) 1996-08-28 2005-02-23 京セラ株式会社 Components for plasma process equipment
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
US6231969B1 (en) * 1997-08-11 2001-05-15 Drexel University Corrosion, oxidation and/or wear-resistant coatings
JPH11219937A (en) 1998-01-30 1999-08-10 Toshiba Corp Process device
JP3618048B2 (en) * 1998-09-14 2005-02-09 京セラ株式会社 Components for semiconductor manufacturing equipment
JP2000114189A (en) 1998-10-06 2000-04-21 Toshiba Corp Evacuation chamber
JP4194143B2 (en) * 1998-10-09 2008-12-10 株式会社神戸製鋼所 Aluminum alloy material with excellent gas and plasma corrosion resistance
EP1013623B1 (en) * 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
JP2000302553A (en) 1999-04-14 2000-10-31 Taiheiyo Cement Corp Corrosion resistant fluoride based combined ceramics sintered compact
JP3732966B2 (en) 1999-04-28 2006-01-11 京セラ株式会社 Corrosion resistant material
JP3510993B2 (en) * 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP2001237140A (en) * 1999-12-13 2001-08-31 Murata Mfg Co Ltd Laminated ceramic electronic component and its manufacturing method and ceramic paste and its manufacturing method
JP2001207275A (en) 2000-01-25 2001-07-31 Kyocera Corp Corrosion resistant member and chamber-constituting member
JP2001240482A (en) * 2000-02-29 2001-09-04 Kyocera Corp Plasma resistance material, high-frequency transmission material, and plasma equipment
JP2001284328A (en) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp Ceramic part
JP2001295075A (en) * 2000-04-12 2001-10-26 Toshiba Corp Corrosion resistant ceramic coating member to metallic base material, method for manufacturing the same and part composed of the member
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
EP1642994B8 (en) * 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
JP2002037683A (en) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd Plasma resistant element and its manufacturing method
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3630770A (en) * 1969-04-30 1971-12-28 Gen Electric Method for fabricating lanthanum boride cathodes
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US6033741A (en) * 1992-11-30 2000-03-07 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus using laser
US5837057A (en) * 1992-12-21 1998-11-17 Canon Kabushiki Kaisha Film forming apparatus with particle prevention plate
US5810936A (en) * 1994-07-06 1998-09-22 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process and apparatus employing same
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5934900A (en) * 1996-03-29 1999-08-10 Integrated Thermal Sciences, Inc. Refractory nitride, carbide, ternary oxide, nitride/oxide, oxide/carbide, oxycarbide, and oxynitride materials and articles
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5964947A (en) * 1996-07-12 1999-10-12 Applied Materials, Inc. Removable pumping channel liners within a chemical vapor deposition chamber
US6916559B2 (en) * 1997-02-26 2005-07-12 Kyocera Corporation Ceramic material resistant to halogen plasma and member utilizing the same
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6352611B1 (en) * 1998-07-29 2002-03-05 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6479108B2 (en) * 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
WO2006107425A2 (en) * 2005-03-03 2006-10-12 Integrated Materials, Inc. Baffle wafers and randomly oriented polycrystallin silicon used therefor
WO2006107425A3 (en) * 2005-03-03 2008-01-03 Integrated Materials Inc Baffle wafers and randomly oriented polycrystallin silicon used therefor
US7611989B2 (en) 2005-03-03 2009-11-03 Integrated Materials, Inc. Polysilicon dummy wafers and process used therewith
US7972703B2 (en) 2005-03-03 2011-07-05 Ferrotec (Usa) Corporation Baffle wafers and randomly oriented polycrystalline silicon used therefor
US20080003791A1 (en) * 2006-06-30 2008-01-03 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
KR101060606B1 (en) 2008-08-21 2011-08-31 서울대학교산학협력단 Thin film deposition method
CN110735128A (en) * 2018-07-18 2020-01-31 应用材料公司 Erosion resistant metal fluoride coatings deposited by atomic layer deposition
CN110735129A (en) * 2018-07-18 2020-01-31 应用材料公司 Erosion resistant metal oxide coatings deposited by atomic layer deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings

Also Published As

Publication number Publication date
WO2003080892A1 (en) 2003-10-02
KR20040101330A (en) 2004-12-02
TWI300587B (en) 2008-09-01
TW200802545A (en) 2008-01-01
US20090123735A1 (en) 2009-05-14
CN1643178A (en) 2005-07-20
US20090120790A1 (en) 2009-05-14
IL163917A (en) 2009-11-18
AU2003210966A1 (en) 2003-10-08
US20090068845A1 (en) 2009-03-12
US8318327B2 (en) 2012-11-27
CN100357489C (en) 2007-12-26
US20030181065A1 (en) 2003-09-25
TWI299182B (en) 2008-07-21
TW200305198A (en) 2003-10-16
JP2005521250A (en) 2005-07-14
US6780787B2 (en) 2004-08-24
KR101024514B1 (en) 2011-03-31
US20130059071A1 (en) 2013-03-07
EP1495155A1 (en) 2005-01-12
JP2010153881A (en) 2010-07-08
US8935990B2 (en) 2015-01-20

Similar Documents

Publication Publication Date Title
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US7255898B2 (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US7311797B2 (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US8486841B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
KR20030066756A (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION