US20040219736A1 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
US20040219736A1
US20040219736A1 US10/851,200 US85120004A US2004219736A1 US 20040219736 A1 US20040219736 A1 US 20040219736A1 US 85120004 A US85120004 A US 85120004A US 2004219736 A1 US2004219736 A1 US 2004219736A1
Authority
US
United States
Prior art keywords
fluorine
containing carbon
hard mask
film
carbon film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/851,200
Inventor
Hikaru Yoshitaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US10/851,200 priority Critical patent/US20040219736A1/en
Publication of US20040219736A1 publication Critical patent/US20040219736A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Definitions

  • the present invention relates to a semiconductor device, which uses a carbon-containing layer, such as a fluorine-containing carbon film, as an insulating film, and a method of manufacturing the same.
  • a carbon-containing layer such as a fluorine-containing carbon film
  • Typical materials of the interlayer dielectric films include silicon oxide (SiO 2 ).
  • SiO 2 silicon oxide
  • the relative dielectric constant ⁇ of SiO 2 is about 4, and materials having a lower relative dielectric constant than that of SiO 2 have been diligently developed.
  • fluorine-containing carbon film comprising carbon and fluorine.
  • This fluorine-containing carbon film can be formed by, e.g., a plasma deposition process using the electron cyclotron resonance (ECR). This method will be described below.
  • a microwave of 2.45 GHz is first supplied into a plasma producing chamber 801 a from a high-frequency power supply part 802 via a waveguide 802 a .
  • a magnetic field of 875 gausses is applied by magnetic coils 803 and 803 a, and Ar gas introduced from an introducing pipe 804 is activated as a high-density plasma by the electron cyclotron resonance.
  • C 4 F 5 gas and C 2 H 4 gas are introduced into a deposition chamber 801 b from a gas supply part 805 via gas introducing pipes 805 a and 805 b to be activated by the high-density plasma to form active-species.
  • a fluorine-containing carbon film 808 having good adhesion and high hardness is formed on the surface of a wafer 807 which is arranged on a supporting table 806 in the deposition chamber 801 b .
  • the wafer 807 is fixed by an electrostatic chuck 806 a on the supporting table 806 .
  • the interior of the deposition chamber 801 b is evacuated to a predetermined degree of vacuum by an evacuating means (not shown) which is communicated with the deposition chamber 801 b via an exhaust pipe 810 .
  • the fluorine-containing carbon film can be formed.
  • a fine patterning process such as the formation of a hole portion for arranging a connecting portion for connecting upper and lower wiring layers to each other.
  • a fluorine-containing carbon film 902 is formed on a lower wiring layer 901 serving as a substrate as described above.
  • an inorganic film 903 of SiO 2 is formed on the fluorine-containing carbon film 902 .
  • a resist pattern 904 having an opening 904 a at a predetermined place is formed on the inorganic film 903 by a well-known photolithography technique.
  • the resist pattern 904 is then used as a mask to selectively etch the inorganic film 903 .
  • a hard mask 905 having an opening 905 a at a position corresponding to the opening 904 a is formed.
  • This etching may be, e.g., a dry etching with the plasma of CF 4 .
  • the hard mask 905 is then used as a mask to selectively etch the fluorine-containing carbon film 902 .
  • a hole portion 906 is formed in the fluorine-containing carbon film 902 .
  • This etching may be, e.g., a dry etching with the plasma of oxygen gas. If oxygen gas is used, the etch-selectivity (the ratio of etch rates) between the fluorine-containing carbon film 902 and the hard mask 905 can be great. If the plasma of oxygen gas is used, the resist pattern 904 can be simultaneously removed.
  • a resist pattern formed by the photolithography technique is generally used as a mask to selectively etch.
  • the resist pattern must have an etching resistance as a mask for an underlying layer to be processed.
  • the resist pattern must particularly have the etching resistance.
  • This resist pattern is formed by, e.g., exposing and developing a photoresist having photosensitivity, and made of an organic material.
  • an inorganic film of SiO 2 or the like is patterned.
  • This patterning may use a dry etching with the plasma of CF 4 or C 4 F 5 .
  • the resist pattern can be used as a mask to carry out the selective etching to form the hard mask as described above.
  • the hard mask since SiO 2 and SiN have low adhesion to the fluorine-containing carbon film which is a fluorine-containing organic film, there is a problem in that the hard mask is easily peeled off. As described above, since the hard mask is made of the insulating material, the hard mask is used as a part of an interlayer dielectric film. However, after the fluorine-containing carbon film serving as the interlayer dielectric film is fine-patterned, if a stress is applied in the subsequent process such as forming a metal film for a wiring electrode thereon, the hard mask is sometimes peeled off. If the metal film for the wiring electrode is intended to be flattened by the chemical mechanical polishing method after the metal film is formed, a great stress is applied thereto, so that the hard mask is substantially surely peeled off from the fluorine-containing carbon film.
  • the insulating film used as the hard mask is made of a material having a lower relative dielectric constant similar to the insulating film of the fluorine-containing carbon film.
  • the present invention has been made in order to eliminate the above described problems. That is, it is an object of the present invention to improve the reliability of a semiconductor device, which has a carbon-containing insulating film, such as a fluorine-containing carbon film, while considering the acceleration of the same.
  • a semiconductor device comprising: a semiconductor substrate, on which an active region is formed; a plurality of wiring layers which are formed on the semiconductor substrate; a first insulating layer containing carbon, the first insulating layer being formed at least between any adjacent two of the wiring layers; and a second insulating layer comprising silicon, carbon and nitrogen, the second insulating layer being formed on the first insulating layer.
  • the first insulating layer containing carbon contacts the second insulating layer comprising silicon, carbon and nitrogen, so that the adhesion between the first and second insulating layers is improved to inhibit peeling.
  • the second insulating layer comprising silicon, carbon and nitrogen can have a higher etch-selectivity than those of conventional layers, and can have a lower dielectric constant than that of an insulating layer comprising silicon and nitrogen or an insulating layer comprising silicon and carbon. Therefore, it is possible to improve the reliability of the semiconductor device while considering the acceleration of the same.
  • the second insulating layer preferably further comprises boron in order to lower the relative dielectric constant of the second insulating layer.
  • An adhesion layer comprising a high-melting point metal and a nitride thereof may be provided in the interface between the first insulating layer and the wiring layers.
  • a method of manufacturing a semiconductor device comprising the steps of: forming a wiring layer on a semiconductor substrate, on which an active region is formed; forming a first insulating layer containing carbon on the wiring layer; forming a second insulating layer comprising silicon, carbon and nitrogen on the first insulating layer; selectively etching the second insulating layer until the surface of the first insulating layer is partially exposed; selectively etching the first insulating layer using the selectively-etched, second insulating layer, as a mask; and forming a new wiring layer on the second insulating layer after selectively etching the first insulating layer.
  • the first insulating layer containing carbon contacts the second insulating layer comprising silicon, carbon and nitrogen, between the wiring layers.
  • the adhesion between the first and second insulating layers is improved to inhibit peeling.
  • the second insulating layer comprising silicon, carbon and nitrogen can have a higher etch-selectivity than those of conventional layers, and can have a lower dielectric constant than that of an insulating layer comprising silicon and nitrogen or an insulating layer comprising silicon and carbon. Therefore, it is possible to improve the reliability of the semiconductor device while considering the acceleration of the same.
  • This producing method preferably further comprises a step of adding boron to the second insulating layer in order to lower the relative dielectric constant of the second insulating layer.
  • the step of selectively etching the second insulating layer may be carried out with the plasma of the gas of a compound containing carbon and fluorine or with the plasma of the gas of a compound containing carbon and hydrogen.
  • the step of selectively etching the first insulating layer is carried out with the plasma of an oxygen-containing gas, the second insulating layer and the wiring layers are hardly etched.
  • the step of selectively etching the first insulating layer is carried out with the plasma of a hydrogen-containing gas, the step is controlled by the etching with reactive ions, so that it is possible to carry out a higher anisotropic etching.
  • FIG. 1 a is a local longitudinal section showing the structure of a preferred embodiment of a semiconductor device according to the present invention
  • FIG. 1 b is an enlarged view showing a part of the structure shown in FIG. 1 a;
  • FIG. 2 is a schematic longitudinal section showing steps (a) through (h) of a preferred embodiment of a method of manufacturing a semiconductor device according to the present invention
  • FIG. 3 is a schematic longitudinal section for explaining a method for measuring adhesive strength
  • FIG. 4 is a graph showing the difference between the adhesive strengths of hard mask materials
  • FIG. 5 is a graph showing the difference between the adhesive strengths of underlying films to a hard mask
  • FIG. 6 is a graph showing the difference between the relative dielectric constants of hard mask materials
  • FIG. 7 is a graph showing the difference between the relative dielectric constants of boron-containing hard mask materials
  • FIG. 8 is a graph showing the difference in relative dielectric constant of a hard mask in processes
  • FIG. 9 is a local longitudinal section showing the structure of another preferred embodiment of a semiconductor device according to the present invention.
  • FIG. 10 is a schematic longitudinal section showing the structure of a plasma deposition system for forming a fluorine-containing carbon film.
  • FIG. 11 is a schematic longitudinal section showing steps (a) through (d) of a conventional method of manufacturing a semiconductor device.
  • a semiconductor device serving as the first preferred embodiment of the present invention will be described.
  • a semiconductor device is constructed as shown in FIG. 1 a .
  • An insulating film 101 e.g., an SiO 2 film, is formed so as to cover an active region (not shown), such as a MOS transistor, which is formed on a semiconductor substrate of silicon (Si).
  • a wiring layer (not shown) of, e.g., W, which is electrically connected to the active region, and a wiring layer 102 of, e.g., copper (Cu), connected to the wiring layer are formed on the insulating film 101 .
  • a fluorine-containing carbon film 103 serving as a first insulating film is formed so as to cover the Cu layer 102 .
  • a groove portion 104 a and a hole portion 104 b are formed in the fluorine-containing carbon film 103 .
  • a wiring layer 104 of, e.g., Cu is formed in the groove portion 104 a and the hole portion 104 b .
  • the wiring layer 104 is electrically connected to the Cu layer 102 .
  • an adhesion layer 104 c is formed between the contact surfaces of the Cu layer 104 and the fluorine-containing carbon film 103 , and between the contact surface of the Cu layer 104 and the Cu layer 102 .
  • the adhesion layer 104 c comprises, e.g., a TiN layer 104 c ′ and a Ti layer 104 c ′′ as shown in FIG. 1 b .
  • the structure of the adhesion layer 104 c should not be limited to such a TiN/Ti stacked structure, but it may be another stacked structure of a high-melting point metal nitride and a high-melting point metal, such as TaN/Ta or WN/W.
  • a hard mask 105 of SiCN serving as a second insulating film having a thickness of about 100 nm is formed on the fluorine-containing carbon film 103 .
  • the SiCN does not always mean a chemical composition or a chemical bonding structure, but it means to include Si, C and N as components.
  • a fluorine-containing carbon film 106 serving as a first insulating layer, a Cu layer 107 embedded in a groove portion 107 a and a hole portion 107 b , an adhesion layer 107 c , and a hard mask 108 of SiCN are formed so as to have the same structure as that described above. That is, the hard mask 108 of SiCN is also formed on the fluorine-containing carbon film 106 so as to have a thickness of about 100 nm. Since the Cu layer 104 and the Cu layer 107 are made of the same material, it is not required to form any barrier films on the contact interface therebetween.
  • the hard masks 105 and 108 are provided on the interlayer dielectric films 103 and 106 of the fluorine-containing carbon films.
  • the hard mask 105 of SiCN is arranged between the fluorine-containing carbon film 103 and the fluorine-containing carbon films 106 , so that the adhesion between the respective layers 103 , 105 and 106 can be more improved than conventional devices using a hard mask of SiO 2 .
  • the same stacked structure may be provided to form a semiconductor device. That is, an optional number of stages of the same stacked structures may be stacked to form a semiconductor device.
  • a fluorine-containing carbon film 206 having a thickness of about 700 nm is formed on the Cu layer 104 and the hard mask 105 of SiCN.
  • the fluorine-containing carbon film 206 is formed by a plasma deposition process using the electron cyclotron resonance (ECR) using C 4 F 5 gas and C 2 H 4 gas as raw material gases.
  • ECR electron cyclotron resonance
  • an insulating film 208 of SiCN is formed on the fluorine-containing carbon film 206 .
  • This may be formed by the chemical vapor deposition (CVD) method using, e.g., SiH 4 , C 2 H 4 and N 2 as raw material gases.
  • CVD chemical vapor deposition
  • a resist pattern 211 having a hole portion 211 a at a predetermined place is formed on the insulating film 208 .
  • the resist pattern 211 may be formed by a well-known photolithography technique.
  • the resist pattern 211 is then used as a mask to etch the insulating film 208 to form a hard mask 108 having a hole portion 208 a as shown in FIG. 2( c ).
  • This etching may use, e.g., a dry etching with the plasma of C 4 F 5 .
  • the hard mask 108 having the hole portion 208 a is then used as a mask to etch the underlying fluorine-containing carbon film 206 .
  • This etching may be a reactive ion etching with the plasma of oxygen gas. Since the hard mask 108 is made of SiCN as described above, the hard mask 108 is hardly etched with the plasma of oxygen gas. On the other hand, the fluorine-containing carbon film 206 is etched (ashed) with the plasma of oxygen gas. By this etching process, a hole portion 206 a can be formed in the fluorine-containing carbon film 206 as shown in FIG. 2( d ). Since the plasma of oxygen gas is used at this time, the resist pattern 211 of the organic film is simultaneously removed.
  • the etch rate of SiCN with the plasma of oxygen gas is a far smaller than those of other hard mask materials. Therefore, if the hard mask of SiCN is used as this first preferred embodiment, it is possible to obtain a high etch-selectivity in the etching for the fluorine-containing carbon film, so that it is possible to more improve processing precision.
  • a resist pattern 212 having a groove portion 212 a is formed on the hard mask 108 .
  • the resist pattern 212 may be formed by a well-known photolithography technique.
  • the groove portion 212 a is formed so as to overlap with the hole portion 206 a.
  • the resist pattern 212 is then used as a mask to etch the hard mask 208 to form a groove 108 a in the hard mask 108 .
  • This etching may be, e.g., a dry etching with the plasma of C 4 F 5 . Since the fluorine-containing carbon film 206 is hardly etched by the dry etching with the plasma C 4 F 5 , the hard mask 108 can be selectively etched.
  • the hard mask 108 having the groove portion 108 a is then used as a mask to etch the fluorine-containing carbon film 206 to form a fluorine-containing carbon film 106 formed with a groove portion 107 a having a depth of about 400 nm as shown in FIG. 2( f ).
  • a reactive ion etching with the plasma of oxygen gas may be used. Since the plasma of oxygen gas is used, the resist pattern 212 on the hard mask 108 is simultaneously removed.
  • a thin metal film 207 a which is an adhesion layer of a TiN layer and a Ti layer, is formed so as to cover the hard mask 108 and the surfaces of the through-hole 107 b and groove portions 107 a and 108 a .
  • a metal film 207 of copper is then formed on the hard mask 108 via the metal film 207 a so as to be filled in the through-hole 107 b and the groove portions 107 a and 108 a .
  • the metal film 207 may be formed by, e.g., a well-known metal film forming method, such as an electroless plating or a sputtering method.
  • the metal films 207 and 207 a are then polished from the surface by the chemical mechanical polishing (CMP). If the surface of the hard mask 108 is thus exposed as shown in FIG. 2( h ), the Cu layer 107 is arranged on the Cu layer 104 via the fluorine-containing carbon film 106 , and the fluorine-containing carbon film 106 and the Cu layer 107 contact each other via the adhesion layer 107 c having the TiN/Ti structure.
  • the steps of forming the fluorine-containing carbon film 103 through the Cu layer 104 can be carried out substantially in the same manner although the descriptions of these steps are omitted.
  • the adhesive strengths are measured as follows. First, as shown in FIG. 3, a fluorine-containing carbon film 602 , a hard mask 603 and a fluorine-containing carbon film 604 are sequentially stacked on a substrate 601 to prepare a sample, and a test rod 605 is fixed to the fluorine-containing carbon film 604 by a predetermined adhesive. A load is then applied to the test rod 605 so that the test rod 605 goes away from the substrate while the substrate 601 is fixed. It is assumed that the adhesive strength is a load (Kpsi) applied when any one of the films is peeled off.
  • Kpsi load
  • FIG. 4 The measured results of the above described adhesive strengths are shown in FIG. 4.
  • the adhesive strength to the fluorine-containing carbon film is remarkably improved by using SiCN as the material of the hard mask.
  • SiCN As the material of the hard mask.
  • an adhesive strength of 5 Kpsi or more is thus obtained between the hard mask and the fluorine-containing carbon film, even if the hard mask remains as a part of the interlayer film, the hard mask is inhibited from being peeled off by the chemical mechanical polishing during the formation of the electrode (wiring layer).
  • the difference between the adhesive strengths of three kinds of underlying films A, B and C to a hard mask of SiCN was examined. The results thereof are shown in the graph of FIG. 5.
  • the underlying film A is the above described fluorine-containing carbon film
  • the underlying film B is a “SiCO(H) film, where (H) is H in a C x H y group”
  • the underlying film C is an SOD (Spin On Dielectric) film.
  • the method for measuring the adhesive strength is the same as the above described method.
  • the SiCO(H) film is formed by the plasma CVD method using, e.g., methylsilane which is expressed by SiH x (CH 3 ) y , or an alkoxysilane as a raw material, alone or with oxygen-containing gas (O 2 , N 2 O, etc.).
  • the SOD film is made of SiLK which is an organic polymer.
  • the hard mask is used as a part of an interlayer dielectric film between wiring layers. Therefore, even if the hard mask is thinner than the fluorine-containing carbon film, it is desirable that its dielectric constant is as small as possible. Therefore, comparing the relative dielectric constants ⁇ of various hard mask materials with each other as shown in the graph of FIG. 6, the relative dielectric constant ⁇ of SiCN in this preferred embodiment is about 5.5 which is far lower than about ⁇ of SiN or SiC although it is higher than about 4 of SiO 2 .
  • boron (B) is added to a hard mask of SiCN in order to further lower the dielectric constant of the hard mask material for the above described reason.
  • the relative dielectric constant ⁇ of SiCN itself is about 5.5 as described above, the dielectric constant ⁇ can be lowered to about 5.1 if boron is added to SiCN.
  • Other constructions are the same as those in the above described first preferred embodiment.
  • BF 3 may be used in addition to SiH 4 , C 2 H 4 and N 2 as raw material gases in the chemical vapor deposition method during the formation of the insulating film 208 of SiCN shown in, e.g., FIG. 2( b ).
  • a combination of raw material gases a combination of SiH 4 , C 2 H 4 and BF 3 , or a combination of SiH 4 , BF 3 and N 2 may be used.
  • B 2 F 6 may be used.
  • the insulating film is formed of boron-containing SiCN, SiC or SiN (SiBCN, SiBC or SiBN).
  • the addition of boron may be carried out by the ion implantation into the insulating film already deposited.
  • the hard mask of SiCN has been formed by the process using “SiH 4 +C 2 H 4 +N 2 ” as raw material gases in the above described preferred embodiments, the hard mask may be formed by a process using other raw material gases.
  • Hard masks of SiCN were formed by different three kinds of processes (raw materials) A, B and C, and the difference between relative dielectric constants of the hard masks of SiCN was examined. The results thereof are shown in the graph of FIG. 8.
  • the process A uses “SiH 4 +(a carbon-containing raw material gas)+(a nitrogen-containing raw material gas)” as raw material gases, similar to the above described process.
  • SiH 4 in the process A is replaced with SiH x (CH 3 ) y and an alkoxysilane, respectively, to be used as raw material gases.
  • the carbon-containing raw material gas may be CH 4 , C 2 H 4 , C 3 H 6 or C 2 H 2 other than the above described C 2 H 4 .
  • the nitrogen-containing raw material gas may be NF 3 , N 2 O, NO 2 , N 2 O 4 , NO or NH 4 other than the above described N 2 .
  • the relative dielectric constant ⁇ is about 5.5 in the process A which is used in the above described preferred embodiment
  • the relative dielectric constants ⁇ in the processes B and C are lowered to be about 4.2 to 4.3. That is, according to the above described processes B and C, the relative dielectric constant can be further lowered as compared with that in the above described second preferred embodiment that boron is added.
  • the plasma of oxygen gas has been used for etching the fluorine-containing carbon film
  • the plasma of a mixed gas of hydrogen gas, argon gas and nitrogen gas may be used.
  • the flow ratio of argon gas to the mixed gas Ar/(N 2 +H 2 +Ar)
  • the flow ratio of hydrogen gas to nitrogen gas H 2 /(N 2 +H 2 )
  • the degree of vacuum in plasma producing atmosphere may be about 5 mTorr to about 15 mTorr.
  • the etch rate (nm/min.) of the hard mask material of SiCN is far smaller than those of other hard mask materials.
  • reactive ions and radicals are produced as etching active-species by producing plasma in dry etching processes.
  • a reactive ion etching an electric field is utilized for mainly flying reactant ions to an object to be etched, the process is carried out in a high vertical anisotropic state.
  • the etching active-species against the fluorine-containing carbon film mainly become reactive hydrogen ions and radicals.
  • hydrogen radicals do not have so high reactivity with respect to organic compounds.
  • the etching active-species against the fluorine-containing carbon film are substantially only reactive hydrogen ions. That is, in this case, the etching hardly proceeds by radicals having no directionality.
  • the present invention should not be limited thereto.
  • a structure shown in FIG. 9 may be provided.
  • a hard mask 702 of SiCN is formed on an interlayer dielectric film 701 of a fluorine-containing carbon film, and a wiring layer 703 is formed thereon.
  • the wiring layer 703 is connected to an underlying wiring layer (not shown) via a through-hole which is formed in the interlayer dielectric layer 701 and hard mask 702 .
  • an interlayer dielectric film 704 of a fluorine-containing carbon film is formed so as to cover the wiring layer 703 .
  • a hard mask 705 of SiCN is formed on the interlayer dielectric film 704 , and a wiring layer 706 is formed thereon.
  • the wiring layer 706 is connected to an underlying wiring layer 703 via a through-hole which is formed in the interlayer dielectric film 704 and hard mask 705 .
  • the hard masks 702 and 705 are used for forming the through-holes for connecting the wiring layers to each other. Therefore, in this case, one fine patterning process is carried out for, e.g., the hard mask 705 and the interlayer dielectric film 704 .
  • the wiring layer 706 is formed by forming a metal film on the hard mask 705 and by fine-patterning the metal film by well-known photolithography and etching techniques.
  • the wiring layer has been made of Cu in the above described preferred embodiments, the present invention should not be limited thereto, but the wiring layer may be made of any one of other conductive materials, such as aluminum (Al).

Abstract

A hard mask 105 of SiCN is formed on a fluorine-containing carbon film 103. Thus, the adhesion of the hard mask 105 to the fluorine-containing carbon 103 is improved and inhibited from being peeled off. The hard mask 105 of SiCN can have a higher etch-selectivity than those of conventional hard masks, and can have a lower dielectric constant than that of SiN or SiC.

Description

    TECHNICAL FIELD
  • The present invention relates to a semiconductor device, which uses a carbon-containing layer, such as a fluorine-containing carbon film, as an insulating film, and a method of manufacturing the same. [0001]
  • BACKGROUND ART
  • In order to achieve the high-density integration of semiconductor integrated circuits, it has been developed to scale down patterns, such as wiring, and to multilayer circuits. As one of such developments, there is a multi-layer metallization technique for constructing multi-layer wiring. In this multi-layer metallization technique, upper and lower wiring layers are connected to each other by a conductive part which is arranged in a predetermined region, and an interlayer dielectric film of an insulating material is arranged to separate the wiring layers from each other in a region other than the conductive part. [0002]
  • Typical materials of the interlayer dielectric films include silicon oxide (SiO[0003] 2). In recent years, in order to more accelerate the operation of integrated circuits, it has been required to lower the relative dielectric constant of the interlayer dielectric films. That is, the relative dielectric constant ε of SiO2 is about 4, and materials having a lower relative dielectric constant than that of SiO2 have been diligently developed.
  • As an example of a material having a lower relative dielectric constant than that of SiO[0004] 2, there is a fluorine-containing carbon film comprising carbon and fluorine. This fluorine-containing carbon film can be formed by, e.g., a plasma deposition process using the electron cyclotron resonance (ECR). This method will be described below.
  • In a deposition system shown in FIG. 9, a microwave of 2.45 GHz is first supplied into a plasma producing chamber [0005] 801 a from a high-frequency power supply part 802 via a waveguide 802 a. At this time, a magnetic field of 875 gausses is applied by magnetic coils 803 and 803 a, and Ar gas introduced from an introducing pipe 804 is activated as a high-density plasma by the electron cyclotron resonance.
  • On the other hand, C[0006] 4F5 gas and C2H4 gas are introduced into a deposition chamber 801 b from a gas supply part 805 via gas introducing pipes 805 a and 805 b to be activated by the high-density plasma to form active-species. By the active-species, a fluorine-containing carbon film 808 having good adhesion and high hardness is formed on the surface of a wafer 807 which is arranged on a supporting table 806 in the deposition chamber 801 b. The wafer 807 is fixed by an electrostatic chuck 806 a on the supporting table 806. The interior of the deposition chamber 801 b is evacuated to a predetermined degree of vacuum by an evacuating means (not shown) which is communicated with the deposition chamber 801 b via an exhaust pipe 810.
  • By the foregoing, the fluorine-containing carbon film can be formed. However, in order to use the fluorine-containing carbon film as an interlayer dielectric film, it is required to carry out a fine patterning process, such as the formation of a hole portion for arranging a connecting portion for connecting upper and lower wiring layers to each other. [0007]
  • The fine patterning process of the fluorine-containing carbon film will be described below. First, as shown in FIG. 11([0008] a), a fluorine-containing carbon film 902 is formed on a lower wiring layer 901 serving as a substrate as described above. On the fluorine-containing carbon film 902, an inorganic film 903 of SiO2 is formed. Then, as shown in FIG. 11(b), a resist pattern 904 having an opening 904 a at a predetermined place is formed on the inorganic film 903 by a well-known photolithography technique.
  • The [0009] resist pattern 904 is then used as a mask to selectively etch the inorganic film 903. Thus, as shown in FIG. 11(c), a hard mask 905 having an opening 905 a at a position corresponding to the opening 904 a is formed. This etching may be, e.g., a dry etching with the plasma of CF4.
  • The [0010] hard mask 905 is then used as a mask to selectively etch the fluorine-containing carbon film 902. Thus, as shown in FIG. 11(d), a hole portion 906 is formed in the fluorine-containing carbon film 902. This etching may be, e.g., a dry etching with the plasma of oxygen gas. If oxygen gas is used, the etch-selectivity (the ratio of etch rates) between the fluorine-containing carbon film 902 and the hard mask 905 can be great. If the plasma of oxygen gas is used, the resist pattern 904 can be simultaneously removed.
  • The fine patterning process of the fluorine-containing carbon film using the hard mask will be described below. [0011]
  • In the fine patterning process, a resist pattern formed by the photolithography technique is generally used as a mask to selectively etch. At this time, the resist pattern must have an etching resistance as a mask for an underlying layer to be processed. When the layer to be processed is thick, the resist pattern must particularly have the etching resistance. This resist pattern is formed by, e.g., exposing and developing a photoresist having photosensitivity, and made of an organic material. [0012]
  • However, when an organic film, such as the above described fluorine-containing carbon film, is fine-patterned, the dry etching with the plasma of oxygen is used. In this case, if a resist pattern of an organic film is used as a mask, the resist pattern is also etched, so that it is not possible to carry out a selective etching. [0013]
  • On the other hand, if a master pattern of an inorganic material, such as SiO[0014] 2, is used when the fluorine-containing carbon film is etched with the plasma of oxygen gas, the master pattern is hardly etched with the plasma of oxygen, so that it is possible to carry out a selective etching For that reason, as described above, a hard mask of SiO2 or the like is used for fine-patterning the fluorine-containing carbon film.
  • By the way, in order to form this hard mask, an inorganic film of SiO[0015] 2 or the like is patterned. This patterning may use a dry etching with the plasma of CF4 or C4F5. In this case, since the resist pattern of the organic film is hardly etched, the resist pattern can be used as a mask to carry out the selective etching to form the hard mask as described above.
  • However, if a hard mask of SiO[0016] 2 or silicon nitride (SiN), which are generally used for patterning organic films, is used for fine-patterning the fluorine-containing carbon film, there are the following problems, so that the reliability of semiconductor devices using a fluorine-containing carbon film as an interlayer film is deteriorated.
  • First, since SiO[0017] 2 and SiN have low adhesion to the fluorine-containing carbon film which is a fluorine-containing organic film, there is a problem in that the hard mask is easily peeled off. As described above, since the hard mask is made of the insulating material, the hard mask is used as a part of an interlayer dielectric film. However, after the fluorine-containing carbon film serving as the interlayer dielectric film is fine-patterned, if a stress is applied in the subsequent process such as forming a metal film for a wiring electrode thereon, the hard mask is sometimes peeled off. If the metal film for the wiring electrode is intended to be flattened by the chemical mechanical polishing method after the metal film is formed, a great stress is applied thereto, so that the hard mask is substantially surely peeled off from the fluorine-containing carbon film.
  • Next, if the hard mask of SiO[0018] 2 or SiN is used for fine-patterning the fluorine-containing carbon film, there is a problem in that the etch-selectivity is lowered as follows. As described above, the dry etching with the plasma of oxygen gas is used for fine-patterning the fluorine-containing carbon film. In view of only this point, a high etch-selectivity should be obtained if the hard mask is made of SiO2 or SiN.
  • However, when the fluorine-containing carbon film is etched with the plasma of oxygen gas, the fluorine-containing carbon film is decomposed to produce F (fluorine) and C (carbon) in atmosphere, and the active-species of F and C are produced by plasma. As a result, since SiO[0019] 2 or SiN is etched with the active-species, there is a problem in that if the conventional hard mask, together with the fluorine-containing carbon film, is etched, the etch-selectivity is lowered to deteriorate processing precision.
  • From the point of view of the acceleration of semiconductor devices, it is desired that the insulating film used as the hard mask is made of a material having a lower relative dielectric constant similar to the insulating film of the fluorine-containing carbon film. [0020]
  • DISCLOSURE OF THE INVENTION
  • The present invention has been made in order to eliminate the above described problems. That is, it is an object of the present invention to improve the reliability of a semiconductor device, which has a carbon-containing insulating film, such as a fluorine-containing carbon film, while considering the acceleration of the same. [0021]
  • In order to accomplish this object, according to one aspect of the present invention, a semiconductor device is provided, the device comprising: a semiconductor substrate, on which an active region is formed; a plurality of wiring layers which are formed on the semiconductor substrate; a first insulating layer containing carbon, the first insulating layer being formed at least between any adjacent two of the wiring layers; and a second insulating layer comprising silicon, carbon and nitrogen, the second insulating layer being formed on the first insulating layer. [0022]
  • With this construction, the first insulating layer containing carbon contacts the second insulating layer comprising silicon, carbon and nitrogen, so that the adhesion between the first and second insulating layers is improved to inhibit peeling. The second insulating layer comprising silicon, carbon and nitrogen can have a higher etch-selectivity than those of conventional layers, and can have a lower dielectric constant than that of an insulating layer comprising silicon and nitrogen or an insulating layer comprising silicon and carbon. Therefore, it is possible to improve the reliability of the semiconductor device while considering the acceleration of the same. [0023]
  • In such a semiconductor device, the second insulating layer preferably further comprises boron in order to lower the relative dielectric constant of the second insulating layer. [0024]
  • An adhesion layer comprising a high-melting point metal and a nitride thereof may be provided in the interface between the first insulating layer and the wiring layers. [0025]
  • According to another aspect of the present invention, a method of manufacturing a semiconductor device is provided, the method comprising the steps of: forming a wiring layer on a semiconductor substrate, on which an active region is formed; forming a first insulating layer containing carbon on the wiring layer; forming a second insulating layer comprising silicon, carbon and nitrogen on the first insulating layer; selectively etching the second insulating layer until the surface of the first insulating layer is partially exposed; selectively etching the first insulating layer using the selectively-etched, second insulating layer, as a mask; and forming a new wiring layer on the second insulating layer after selectively etching the first insulating layer. [0026]
  • With this construction, it is possible to obtain a semiconductor device wherein the first insulating layer containing carbon contacts the second insulating layer comprising silicon, carbon and nitrogen, between the wiring layers. Thus, the adhesion between the first and second insulating layers is improved to inhibit peeling. The second insulating layer comprising silicon, carbon and nitrogen, can have a higher etch-selectivity than those of conventional layers, and can have a lower dielectric constant than that of an insulating layer comprising silicon and nitrogen or an insulating layer comprising silicon and carbon. Therefore, it is possible to improve the reliability of the semiconductor device while considering the acceleration of the same. [0027]
  • This producing method preferably further comprises a step of adding boron to the second insulating layer in order to lower the relative dielectric constant of the second insulating layer. [0028]
  • The step of selectively etching the second insulating layer may be carried out with the plasma of the gas of a compound containing carbon and fluorine or with the plasma of the gas of a compound containing carbon and hydrogen. [0029]
  • If the step of selectively etching the first insulating layer is carried out with the plasma of an oxygen-containing gas, the second insulating layer and the wiring layers are hardly etched. [0030]
  • If the step of selectively etching the first insulating layer is carried out with the plasma of a hydrogen-containing gas, the step is controlled by the etching with reactive ions, so that it is possible to carry out a higher anisotropic etching.[0031]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1[0032] a is a local longitudinal section showing the structure of a preferred embodiment of a semiconductor device according to the present invention;
  • FIG. 1[0033] b is an enlarged view showing a part of the structure shown in FIG. 1a;
  • FIG. 2 is a schematic longitudinal section showing steps (a) through (h) of a preferred embodiment of a method of manufacturing a semiconductor device according to the present invention; [0034]
  • FIG. 3 is a schematic longitudinal section for explaining a method for measuring adhesive strength; [0035]
  • FIG. 4 is a graph showing the difference between the adhesive strengths of hard mask materials; [0036]
  • FIG. 5 is a graph showing the difference between the adhesive strengths of underlying films to a hard mask; [0037]
  • FIG. 6 is a graph showing the difference between the relative dielectric constants of hard mask materials; [0038]
  • FIG. 7 is a graph showing the difference between the relative dielectric constants of boron-containing hard mask materials; [0039]
  • FIG. 8 is a graph showing the difference in relative dielectric constant of a hard mask in processes; [0040]
  • FIG. 9 is a local longitudinal section showing the structure of another preferred embodiment of a semiconductor device according to the present invention; [0041]
  • FIG. 10 is a schematic longitudinal section showing the structure of a plasma deposition system for forming a fluorine-containing carbon film; and [0042]
  • FIG. 11 is a schematic longitudinal section showing steps (a) through (d) of a conventional method of manufacturing a semiconductor device.[0043]
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • Referring to drawings, the preferred embodiments of the present invention will be described below. [0044]
  • First Preferred Embodiment
  • First, a semiconductor device serving as the first preferred embodiment of the present invention will be described. In this preferred embodiment, a semiconductor device is constructed as shown in FIG. 1[0045] a. This construction will be described. An insulating film 101, e.g., an SiO2 film, is formed so as to cover an active region (not shown), such as a MOS transistor, which is formed on a semiconductor substrate of silicon (Si). A wiring layer (not shown) of, e.g., W, which is electrically connected to the active region, and a wiring layer 102 of, e.g., copper (Cu), connected to the wiring layer are formed on the insulating film 101.
  • On the SiO[0046] 2 film 101, a fluorine-containing carbon film 103 serving as a first insulating film is formed so as to cover the Cu layer 102. In the fluorine-containing carbon film 103, a groove portion 104 a and a hole portion 104 b are formed. In the groove portion 104 a and the hole portion 104 b, a wiring layer 104 of, e.g., Cu, is formed. The wiring layer 104 is electrically connected to the Cu layer 102. Between the contact surfaces of the Cu layer 104 and the fluorine-containing carbon film 103, and between the contact surface of the Cu layer 104 and the Cu layer 102, an adhesion layer 104 c is formed.
  • In order to improve the adhesion of the [0047] Cu layer 104 to the fluorine-containing carbon film 103, the adhesion layer 104 c comprises, e.g., a TiN layer 104 c′ and a Ti layer 104 c″ as shown in FIG. 1b. The structure of the adhesion layer 104 c should not be limited to such a TiN/Ti stacked structure, but it may be another stacked structure of a high-melting point metal nitride and a high-melting point metal, such as TaN/Ta or WN/W.
  • In the first preferred embodiment, a [0048] hard mask 105 of SiCN serving as a second insulating film having a thickness of about 100 nm is formed on the fluorine-containing carbon film 103. The SiCN does not always mean a chemical composition or a chemical bonding structure, but it means to include Si, C and N as components.
  • On the [0049] hard mask 105, a fluorine-containing carbon film 106 serving as a first insulating layer, a Cu layer 107 embedded in a groove portion 107 a and a hole portion 107 b, an adhesion layer 107 c, and a hard mask 108 of SiCN are formed so as to have the same structure as that described above. That is, the hard mask 108 of SiCN is also formed on the fluorine-containing carbon film 106 so as to have a thickness of about 100 nm. Since the Cu layer 104 and the Cu layer 107 are made of the same material, it is not required to form any barrier films on the contact interface therebetween.
  • As described above, in this first preferred embodiment, the [0050] hard masks 105 and 108 are provided on the interlayer dielectric films 103 and 106 of the fluorine-containing carbon films. As a result, for example, the hard mask 105 of SiCN is arranged between the fluorine-containing carbon film 103 and the fluorine-containing carbon films 106, so that the adhesion between the respective layers 103, 105 and 106 can be more improved than conventional devices using a hard mask of SiO2.
  • On the [0051] hard mask 108 and the Cu layer 107, the same stacked structure may be provided to form a semiconductor device. That is, an optional number of stages of the same stacked structures may be stacked to form a semiconductor device.
  • A method of manufacturing the above described wiring structure will be described below. Steps after the formation of the [0052] Cu layer 104 and the hard mask 105 will be described.
  • First, as shown in FIG. 2([0053] a), a fluorine-containing carbon film 206 having a thickness of about 700 nm is formed on the Cu layer 104 and the hard mask 105 of SiCN. As described above, the fluorine-containing carbon film 206 is formed by a plasma deposition process using the electron cyclotron resonance (ECR) using C4F5 gas and C2H4 gas as raw material gases.
  • Then, as shown in FIG. 2([0054] b), an insulating film 208 of SiCN is formed on the fluorine-containing carbon film 206. This may be formed by the chemical vapor deposition (CVD) method using, e.g., SiH4, C2H4 and N2 as raw material gases. On the insulating film 208, a resist pattern 211 having a hole portion 211 a at a predetermined place is formed. The resist pattern 211 may be formed by a well-known photolithography technique.
  • The resist [0055] pattern 211 is then used as a mask to etch the insulating film 208 to form a hard mask 108 having a hole portion 208 a as shown in FIG. 2(c). This etching may use, e.g., a dry etching with the plasma of C4F5.
  • The [0056] hard mask 108 having the hole portion 208 a is then used as a mask to etch the underlying fluorine-containing carbon film 206. This etching may be a reactive ion etching with the plasma of oxygen gas. Since the hard mask 108 is made of SiCN as described above, the hard mask 108 is hardly etched with the plasma of oxygen gas. On the other hand, the fluorine-containing carbon film 206 is etched (ashed) with the plasma of oxygen gas. By this etching process, a hole portion 206 a can be formed in the fluorine-containing carbon film 206 as shown in FIG. 2(d). Since the plasma of oxygen gas is used at this time, the resist pattern 211 of the organic film is simultaneously removed.
  • The etch-selectivity of the fluorine-containing carbon film and the hard mask of SiCN in the dry etching using the plasma of oxygen gas will be described. When hard mask materials, such as SiO[0057] 2 and SiN, together with the fluorine-containing carbon film, are dry-etched with the plasma of oxygen gas, etch rates (nm/min.) are shown as follows.
    Fluorine-containing 1538
    carbon film
    SiN 37
    SiC 45
    SiO2 42
    SiCN 15
  • As shown above, when each of the hard materials is processed simultaneously with the fluorine-containing carbon film, the etch rate of SiCN with the plasma of oxygen gas is a far smaller than those of other hard mask materials. Therefore, if the hard mask of SiCN is used as this first preferred embodiment, it is possible to obtain a high etch-selectivity in the etching for the fluorine-containing carbon film, so that it is possible to more improve processing precision. [0058]
  • Then, as shown in FIG. 2([0059] e), a resist pattern 212 having a groove portion 212 a is formed on the hard mask 108. The resist pattern 212 may be formed by a well-known photolithography technique. The groove portion 212 a is formed so as to overlap with the hole portion 206 a.
  • The resist [0060] pattern 212 is then used as a mask to etch the hard mask 208 to form a groove 108 a in the hard mask 108. This etching may be, e.g., a dry etching with the plasma of C4F5. Since the fluorine-containing carbon film 206 is hardly etched by the dry etching with the plasma C4F5, the hard mask 108 can be selectively etched.
  • The [0061] hard mask 108 having the groove portion 108 a is then used as a mask to etch the fluorine-containing carbon film 206 to form a fluorine-containing carbon film 106 formed with a groove portion 107 a having a depth of about 400 nm as shown in FIG. 2(f). At this step, a reactive ion etching with the plasma of oxygen gas may be used. Since the plasma of oxygen gas is used, the resist pattern 212 on the hard mask 108 is simultaneously removed. Then, a through-hole 107 b based on the hole portion 206 a, which has been formed in the fluorine-containing carbon film 206, is arranged in a region in which the groove portion 107 a of the fluorine-containing carbon film 106 intends to be formed.
  • Then, as shown in FIG. 2([0062] g), a thin metal film 207 a, which is an adhesion layer of a TiN layer and a Ti layer, is formed so as to cover the hard mask 108 and the surfaces of the through-hole 107 b and groove portions 107 a and 108 a. A metal film 207 of copper is then formed on the hard mask 108 via the metal film 207 a so as to be filled in the through-hole 107 b and the groove portions 107 a and 108 a. The metal film 207 may be formed by, e.g., a well-known metal film forming method, such as an electroless plating or a sputtering method.
  • The [0063] metal films 207 and 207 a are then polished from the surface by the chemical mechanical polishing (CMP). If the surface of the hard mask 108 is thus exposed as shown in FIG. 2(h), the Cu layer 107 is arranged on the Cu layer 104 via the fluorine-containing carbon film 106, and the fluorine-containing carbon film 106 and the Cu layer 107 contact each other via the adhesion layer 107 c having the TiN/Ti structure. Of course, the steps of forming the fluorine-containing carbon film 103 through the Cu layer 104 can be carried out substantially in the same manner although the descriptions of these steps are omitted.
  • The adhesions of the above described hard mask material of SiCN and a conventional hard mask material of SiO[0064] 2 to a fluorine-containing carbon film stacked thereto were compared with each other. In this case, the adhesive strengths in a triple layer structure of “fluorine-containing carbon film/hard mask/fluorine-containing carbon film” were compared with each other. As a reference, a hard mask material of SiN was examined.
  • The adhesive strengths are measured as follows. First, as shown in FIG. 3, a fluorine-containing [0065] carbon film 602, a hard mask 603 and a fluorine-containing carbon film 604 are sequentially stacked on a substrate 601 to prepare a sample, and a test rod 605 is fixed to the fluorine-containing carbon film 604 by a predetermined adhesive. A load is then applied to the test rod 605 so that the test rod 605 goes away from the substrate while the substrate 601 is fixed. It is assumed that the adhesive strength is a load (Kpsi) applied when any one of the films is peeled off.
  • The measured results of the above described adhesive strengths are shown in FIG. 4. As can be seen from FIG. 4, the adhesive strength to the fluorine-containing carbon film is remarkably improved by using SiCN as the material of the hard mask. When an adhesive strength of 5 Kpsi or more is thus obtained between the hard mask and the fluorine-containing carbon film, even if the hard mask remains as a part of the interlayer film, the hard mask is inhibited from being peeled off by the chemical mechanical polishing during the formation of the electrode (wiring layer). [0066]
  • The difference between the adhesive strengths of three kinds of underlying films A, B and C to a hard mask of SiCN was examined. The results thereof are shown in the graph of FIG. 5. In this case, the underlying film A is the above described fluorine-containing carbon film, the underlying film B is a “SiCO(H) film, where (H) is H in a C[0067] xHy group”, and the underlying film C is an SOD (Spin On Dielectric) film. The method for measuring the adhesive strength is the same as the above described method. The SiCO(H) film is formed by the plasma CVD method using, e.g., methylsilane which is expressed by SiHx(CH3)y, or an alkoxysilane as a raw material, alone or with oxygen-containing gas (O2, N2O, etc.). In this case, the SOD film is made of SiLK which is an organic polymer.
  • As described above, the hard mask is used as a part of an interlayer dielectric film between wiring layers. Therefore, even if the hard mask is thinner than the fluorine-containing carbon film, it is desirable that its dielectric constant is as small as possible. Therefore, comparing the relative dielectric constants ε of various hard mask materials with each other as shown in the graph of FIG. 6, the relative dielectric constant ε of SiCN in this preferred embodiment is about 5.5 which is far lower than about ε of SiN or SiC although it is higher than about 4 of SiO[0068] 2.
  • Second Preferred Embodiment
  • The second preferred embodiment of the present invention will be described. In the second preferred embodiment, boron (B) is added to a hard mask of SiCN in order to further lower the dielectric constant of the hard mask material for the above described reason. Although the relative dielectric constant ε of SiCN itself is about 5.5 as described above, the dielectric constant ε can be lowered to about 5.1 if boron is added to SiCN. Other constructions are the same as those in the above described first preferred embodiment. [0069]
  • In order to add boron, BF[0070] 3 may be used in addition to SiH4, C2H4 and N2 as raw material gases in the chemical vapor deposition method during the formation of the insulating film 208 of SiCN shown in, e.g., FIG. 2(b). As a combination of raw material gases, a combination of SiH4, C2H4 and BF3, or a combination of SiH4, BF3 and N2 may be used. In place of BF3, B2F6 may be used. Thus, the insulating film is formed of boron-containing SiCN, SiC or SiN (SiBCN, SiBC or SiBN).
  • The addition of boron may be carried out by the ion implantation into the insulating film already deposited. [0071]
  • The variation in relative dielectric constant was examined when boron was added to SiN, SiC and SiCN. The results thereof are shown in the graph of FIG. 7. As shown in FIG. 7, although the relative dielectric constants ε of SiN, SiC and SiCN are about 8.2, 7.9 and 5.5, respectively, the relative dielectric constants ε of SiBN, SiBC and SiBCN, which are formed by adding boron thereto, are lowered to be about 5.9, 5.5 and 5.1, respectively. [0072]
  • Other Preferred Embodiments
  • While the hard mask of SiCN has been formed by the process using “SiH[0073] 4+C2H4+N2” as raw material gases in the above described preferred embodiments, the hard mask may be formed by a process using other raw material gases.
  • Hard masks of SiCN were formed by different three kinds of processes (raw materials) A, B and C, and the difference between relative dielectric constants of the hard masks of SiCN was examined. The results thereof are shown in the graph of FIG. 8. In this case, the process A uses “SiH[0074] 4+(a carbon-containing raw material gas)+(a nitrogen-containing raw material gas)” as raw material gases, similar to the above described process. In the processes B and C, SiH4 in the process A is replaced with SiHx(CH3)y and an alkoxysilane, respectively, to be used as raw material gases.
  • The carbon-containing raw material gas may be CH[0075] 4, C2H4, C3H6 or C2H2 other than the above described C2H4. The nitrogen-containing raw material gas may be NF3, N2O, NO2, N2O4, NO or NH4 other than the above described N2.
  • As shown in FIG. 8, although the relative dielectric constant ε is about 5.5 in the process A which is used in the above described preferred embodiment, the relative dielectric constants ε in the processes B and C are lowered to be about 4.2 to 4.3. That is, according to the above described processes B and C, the relative dielectric constant can be further lowered as compared with that in the above described second preferred embodiment that boron is added. [0076]
  • While the plasma of oxygen gas has been used for etching the fluorine-containing carbon film, the plasma of a mixed gas of hydrogen gas, argon gas and nitrogen gas may be used. In this case, the flow ratio of argon gas to the mixed gas (Ar/(N[0077] 2+H2+Ar)) may be substantially about 0.7 to about 0.8. The flow ratio of hydrogen gas to nitrogen gas (H2/(N2+H2)) may be substantially 0.2 to 0.9. The degree of vacuum in plasma producing atmosphere may be about 5 mTorr to about 15 mTorr.
  • Also in this case, when the hard mask material is processed simultaneously with the fluorine-containing carbon film similar to when oxygen gas is used, the etch rate (nm/min.) of the hard mask material of SiCN is far smaller than those of other hard mask materials. [0078]
    Fluorine-containing 1826
    carbon film
    SiN 58
    SiC 88
    SiO2 95
    SiCN 18
  • The feature of the above described use of hydrogen gas for etching will be described. When the reactive ion etching with the plasma of hydrogen gas, argon gas and nitrogen gas is used for etching the fluorine-containing carbon film, the anisotropy of etching can be higher than that when oxygen gas is used. [0079]
  • It is considered that reactive ions and radicals are produced as etching active-species by producing plasma in dry etching processes. In a reactive ion etching, an electric field is utilized for mainly flying reactant ions to an object to be etched, the process is carried out in a high vertical anisotropic state. [0080]
  • However, since plasma is produced in atmosphere, radicals, which have not been attracted by the electric field, finally reach the object to be etched, to participate in the etching reaction. If the etching reaction due to the radicals occurs, a side etching, by which regions underlying the master pattern are etched, occurs. In such a mechanism, in the reactive ion etching with the plasma of oxygen gas, oxygen radicals have a high reactivity with respect to organic compounds, so that the oxygen radicals excessively participate in the etching reaction to lower the controllability of the etched shape of the fluorine-containing carbon film. [0081]
  • On the other hand, when the above described mixed gas of hydrogen gas, argon gas and nitrogen gas is used, the etching active-species against the fluorine-containing carbon film mainly become reactive hydrogen ions and radicals. However, hydrogen radicals do not have so high reactivity with respect to organic compounds. For that reason, in the case of the reactive ion etching using the mixed gas, the etching active-species against the fluorine-containing carbon film are substantially only reactive hydrogen ions. That is, in this case, the etching hardly proceeds by radicals having no directionality. [0082]
  • As a result, when the mixed gas of hydrogen gas, argon gas and nitrogen gas is used, a higher anisotropy is obtained to inhibit the side etching and so forth, so that it is possible to improve processing precision, such as directional controllability. [0083]
  • While the groove has been formed in the interlayer film of the fluorine-containing carbon film to be filled with the wiring layer in the above described preferred embodiments, the present invention should not be limited thereto. For example, a structure shown in FIG. 9 may be provided. In this structure, a [0084] hard mask 702 of SiCN is formed on an interlayer dielectric film 701 of a fluorine-containing carbon film, and a wiring layer 703 is formed thereon. The wiring layer 703 is connected to an underlying wiring layer (not shown) via a through-hole which is formed in the interlayer dielectric layer 701 and hard mask 702.
  • On the [0085] hard mask 702, an interlayer dielectric film 704 of a fluorine-containing carbon film is formed so as to cover the wiring layer 703. A hard mask 705 of SiCN is formed on the interlayer dielectric film 704, and a wiring layer 706 is formed thereon. The wiring layer 706 is connected to an underlying wiring layer 703 via a through-hole which is formed in the interlayer dielectric film 704 and hard mask 705.
  • In this case, the [0086] hard masks 702 and 705 are used for forming the through-holes for connecting the wiring layers to each other. Therefore, in this case, one fine patterning process is carried out for, e.g., the hard mask 705 and the interlayer dielectric film 704. However, for example, the wiring layer 706 is formed by forming a metal film on the hard mask 705 and by fine-patterning the metal film by well-known photolithography and etching techniques.
  • While the wiring layer has been made of Cu in the above described preferred embodiments, the present invention should not be limited thereto, but the wiring layer may be made of any one of other conductive materials, such as aluminum (Al). [0087]

Claims (5)

1. A semiconductor device comprising:
a semiconductor substrate, on which an active region is formed;
a plurality of wiring layers which are formed on said semiconductor substrate;
a first insulating layer containing carbon, said first insulating layer being formed at least between any adjacent two of said wiring layers; and
a second insulating layer comprising silicon, carbon and nitrogen, said second insulating layer being formed on said first insulating layer.
2. A semiconductor device as set forth in claim 1, wherein said second insulating layer further comprises boron.
3. A semiconductor device as set forth in claim 1, which further comprises an adhesion layer which comprises a high-melting point metal and a nitride thereof, said adhesion layer being formed in the interface between said first insulating layer and said wiring layers.
4-9. (Cancelled).
10. A semiconductor device as set forth in claim 2, which further comprises an adhesion layer which comprises a high-melting point metal and a nitride thereof, said adhesion layer being formed in the interface between said first insulating layer and said wiring layers.
US10/851,200 1999-03-09 2004-05-24 Semiconductor device and method of manufacturing the same Abandoned US20040219736A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/851,200 US20040219736A1 (en) 1999-03-09 2004-05-24 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP6238199 1999-03-09
JP1999-62381 1999-03-09
PCT/JP2000/001321 WO2000054329A1 (en) 1999-03-09 2000-03-06 Semiconductor device and production method therefor
US09/658,861 US6764939B1 (en) 1999-03-09 2000-09-08 Semiconductor device and method of manufacturing the same
US10/851,200 US20040219736A1 (en) 1999-03-09 2004-05-24 Semiconductor device and method of manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/658,861 Division US6764939B1 (en) 1999-03-09 2000-09-08 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
US20040219736A1 true US20040219736A1 (en) 2004-11-04

Family

ID=13198495

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/658,861 Expired - Fee Related US6764939B1 (en) 1999-03-09 2000-09-08 Semiconductor device and method of manufacturing the same
US10/851,200 Abandoned US20040219736A1 (en) 1999-03-09 2004-05-24 Semiconductor device and method of manufacturing the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/658,861 Expired - Fee Related US6764939B1 (en) 1999-03-09 2000-09-08 Semiconductor device and method of manufacturing the same

Country Status (6)

Country Link
US (2) US6764939B1 (en)
EP (1) EP1146555B1 (en)
KR (1) KR100407542B1 (en)
DE (1) DE60037395T2 (en)
TW (1) TW483027B (en)
WO (1) WO2000054329A1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040265746A1 (en) * 2003-06-26 2004-12-30 Yates Donald L Semiconductor processing patterning methods and constructions
US20060027836A1 (en) * 2003-10-20 2006-02-09 Derderian Garo J Semiconductor substrate
US20060046473A1 (en) * 2004-09-01 2006-03-02 Cem Basceri Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US20060258154A1 (en) * 2004-04-08 2006-11-16 Sandhu Gurtej S Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US20070059930A1 (en) * 2003-10-20 2007-03-15 Micron Technology, Inc. Method of forming conductive metal silicides by reaction of metal with silicon
US20070082492A1 (en) * 2005-10-12 2007-04-12 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
US20080063791A1 (en) * 2006-09-01 2008-03-13 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20090026588A1 (en) * 2007-07-24 2009-01-29 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US20090134518A1 (en) * 2006-06-23 2009-05-28 Tokyo Electron Limited Semiconductor device and manufacturing method of semiconductor device
US20110126406A1 (en) * 2005-12-21 2011-06-02 Asml Netherlands B.V. Lithographic Apparatus and Method of Manufacturing an Electrostatic Clamp for a Lithographic Apparatus
US20110147947A1 (en) * 2009-01-20 2011-06-23 Panasonic Corporation Semiconductor device and method for fabricating the same
WO2024015198A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Carbon hardmask opening using boron nitride mask

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
US8421158B2 (en) * 1998-12-21 2013-04-16 Megica Corporation Chip structure with a passive device and method for forming the same
US8178435B2 (en) 1998-12-21 2012-05-15 Megica Corporation High performance system-on-chip inductor using post passivation process
US8021976B2 (en) 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
US6468956B1 (en) * 2000-05-24 2002-10-22 Huish Detergents, Inc. Composition containing α-sulfofatty acid ester and hydrotrope and methods of making and using the same
US6429129B1 (en) * 2000-06-16 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method of using silicon rich carbide as a barrier material for fluorinated materials
KR100479796B1 (en) * 2000-09-11 2005-03-31 동경 엘렉트론 주식회사 Semiconductor device and fabrication method therefor
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
EP1298176B1 (en) * 2001-09-28 2007-01-03 JSR Corporation Stacked film insulating film and substrate for semiconductor
JP4015510B2 (en) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method thereof
US6803660B1 (en) * 2003-01-29 2004-10-12 International Business Machines Corporation Patterning layers comprised of spin-on ceramic films
JP4413556B2 (en) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 Film forming method, semiconductor device manufacturing method
JP4715207B2 (en) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 Semiconductor device manufacturing method and film forming system
CN100433294C (en) * 2004-01-13 2008-11-12 东京毅力科创株式会社 Method for manufacturing semiconductor device and film-forming system
KR100743745B1 (en) * 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 Method for manufacturing semiconductor device and film-forming system
US8008775B2 (en) 2004-09-09 2011-08-30 Megica Corporation Post passivation interconnection structures
US7355282B2 (en) 2004-09-09 2008-04-08 Megica Corporation Post passivation interconnection process and structures
JP4258518B2 (en) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US8384189B2 (en) 2005-03-29 2013-02-26 Megica Corporation High performance system-on-chip using post passivation process
TWI450379B (en) 2005-06-20 2014-08-21 Univ Tohoku Interlayer insulation film and wiring structure, and method of producing the same
CN1901163B (en) 2005-07-22 2011-04-13 米辑电子股份有限公司 Method for fabricating a circuitry component by continuous electroplating and circuitry component structure
US7851351B2 (en) 2006-03-31 2010-12-14 Tokyo Electron Limited Manufacturing method for semiconductor devices with enhanced adhesivity and barrier properties
JP5119606B2 (en) * 2006-03-31 2013-01-16 東京エレクトロン株式会社 Semiconductor device and manufacturing method of semiconductor device
KR100731496B1 (en) * 2006-08-31 2007-06-21 동부일렉트로닉스 주식회사 Semiconductor device and manufacturing method thereof
JP4919871B2 (en) * 2007-02-09 2012-04-18 東京エレクトロン株式会社 Etching method, semiconductor device manufacturing method, and storage medium
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
CN109494192B (en) 2017-09-11 2020-10-09 联华电子股份有限公司 Semiconductor element and manufacturing method thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US5882826A (en) * 1995-07-18 1999-03-16 Canon Kabushiki Kaisha Membrane and mask, and exposure apparatus using the mask, and device producing method using the mask
US6077789A (en) * 1998-07-14 2000-06-20 United Microelectronics Corp. Method for forming a passivation layer with planarization
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6211068B1 (en) * 1999-05-25 2001-04-03 United Microelectronics Corp. Dual damascene process for manufacturing interconnects
US6211061B1 (en) * 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6444568B1 (en) * 1999-09-30 2002-09-03 Novellus Systems, Inc. Method of forming a copper diffusion barrier
US6774489B2 (en) * 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3323055B2 (en) * 1996-04-03 2002-09-09 株式会社東芝 Semiconductor device and manufacturing method thereof
JP3409984B2 (en) 1996-11-14 2003-05-26 東京エレクトロン株式会社 Semiconductor device and method of manufacturing semiconductor device
JP3400918B2 (en) * 1996-11-14 2003-04-28 東京エレクトロン株式会社 Method for manufacturing semiconductor device
TW368741B (en) * 1998-02-26 1999-09-01 United Microelectronics Corp Manufacturing method for dual damascene
JP3148183B2 (en) * 1998-08-31 2001-03-19 日本電気株式会社 Method for manufacturing semiconductor device

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US5882826A (en) * 1995-07-18 1999-03-16 Canon Kabushiki Kaisha Membrane and mask, and exposure apparatus using the mask, and device producing method using the mask
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6077789A (en) * 1998-07-14 2000-06-20 United Microelectronics Corp. Method for forming a passivation layer with planarization
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6211068B1 (en) * 1999-05-25 2001-04-03 United Microelectronics Corp. Dual damascene process for manufacturing interconnects
US6444568B1 (en) * 1999-09-30 2002-09-03 Novellus Systems, Inc. Method of forming a copper diffusion barrier
US6211061B1 (en) * 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6774489B2 (en) * 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384727B2 (en) 2003-06-26 2008-06-10 Micron Technology, Inc. Semiconductor processing patterning methods
US20050282397A1 (en) * 2003-06-26 2005-12-22 Yates Donald L Semiconductor constructions
US20040265746A1 (en) * 2003-06-26 2004-12-30 Yates Donald L Semiconductor processing patterning methods and constructions
US7411254B2 (en) 2003-10-20 2008-08-12 Micron Technology, Inc. Semiconductor substrate
US20060027836A1 (en) * 2003-10-20 2006-02-09 Derderian Garo J Semiconductor substrate
US20070059930A1 (en) * 2003-10-20 2007-03-15 Micron Technology, Inc. Method of forming conductive metal silicides by reaction of metal with silicon
US7358188B2 (en) 2003-10-20 2008-04-15 Micron Technology, Inc. Method of forming conductive metal silicides by reaction of metal with silicon
US7291555B2 (en) 2004-04-08 2007-11-06 Micron Technology, Inc. Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US20060258154A1 (en) * 2004-04-08 2006-11-16 Sandhu Gurtej S Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US20060246697A1 (en) * 2004-09-01 2006-11-02 Cem Basceri Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US7241705B2 (en) * 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US20060046473A1 (en) * 2004-09-01 2006-03-02 Cem Basceri Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US20070015358A1 (en) * 2004-09-01 2007-01-18 Cem Basceri Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US8409933B2 (en) 2004-09-01 2013-04-02 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US8084142B2 (en) 2004-09-01 2011-12-27 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US7572710B2 (en) 2004-09-01 2009-08-11 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US7923308B2 (en) 2004-09-01 2011-04-12 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US20070082492A1 (en) * 2005-10-12 2007-04-12 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
US7763542B2 (en) * 2005-10-12 2010-07-27 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
US8476167B2 (en) * 2005-12-21 2013-07-02 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing an electrostatic clamp for a lithographic apparatus
US20110126406A1 (en) * 2005-12-21 2011-06-02 Asml Netherlands B.V. Lithographic Apparatus and Method of Manufacturing an Electrostatic Clamp for a Lithographic Apparatus
US20090134518A1 (en) * 2006-06-23 2009-05-28 Tokyo Electron Limited Semiconductor device and manufacturing method of semiconductor device
US7964241B2 (en) * 2006-09-01 2011-06-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20080063791A1 (en) * 2006-09-01 2008-03-13 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US8021975B2 (en) * 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US20090026588A1 (en) * 2007-07-24 2009-01-29 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US20110147947A1 (en) * 2009-01-20 2011-06-23 Panasonic Corporation Semiconductor device and method for fabricating the same
WO2024015198A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Carbon hardmask opening using boron nitride mask

Also Published As

Publication number Publication date
KR100407542B1 (en) 2003-11-28
EP1146555A1 (en) 2001-10-17
DE60037395D1 (en) 2008-01-24
KR20020002390A (en) 2002-01-09
EP1146555B1 (en) 2007-12-12
WO2000054329A1 (en) 2000-09-14
EP1146555A4 (en) 2004-12-29
TW483027B (en) 2002-04-11
US6764939B1 (en) 2004-07-20
DE60037395T2 (en) 2008-11-27

Similar Documents

Publication Publication Date Title
US6764939B1 (en) Semiconductor device and method of manufacturing the same
US6417092B1 (en) Low dielectric constant etch stop films
US7811926B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR100878170B1 (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US7144606B2 (en) Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20030119307A1 (en) Method of forming a dual damascene structure
US20020076918A1 (en) Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
JP4260764B2 (en) Manufacturing method of semiconductor device
US7138332B2 (en) Method of forming silicon carbide films
KR20020094598A (en) semiconductor device and method for fabricating the same
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
US20040201108A1 (en) Semiconductor device and method for manufacturing the same
EP1186685A2 (en) Method for forming silicon carbide films
US6972453B2 (en) Method of manufacturing a semiconductor device capable of etching a multi-layer of organic films at a high selectivity
US6727182B2 (en) Process for the production of semiconductor device
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
US6620739B1 (en) Method of manufacturing semiconductor device
JP2007157959A (en) Method of manufacturing semiconductor device, and semiconductor device
KR100670618B1 (en) Sequential sputter and reactive precleans of vias and contacts
US7687394B2 (en) Method for forming inter-layer dielectric of low dielectric constant and method for forming copper wiring using the same
KR20040101008A (en) Manufacturing method for semiconductor apparatus
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
TW202129712A (en) Integrated circuit structure and forming the same
KR20050023204A (en) Production Method For Semiconductor Device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION