US20040216388A1 - Slurry compositions for use in a chemical-mechanical planarization process - Google Patents

Slurry compositions for use in a chemical-mechanical planarization process Download PDF

Info

Publication number
US20040216388A1
US20040216388A1 US10/792,738 US79273804A US2004216388A1 US 20040216388 A1 US20040216388 A1 US 20040216388A1 US 79273804 A US79273804 A US 79273804A US 2004216388 A1 US2004216388 A1 US 2004216388A1
Authority
US
United States
Prior art keywords
slurry
abrasive
spherical
abrasive particles
cmp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/792,738
Inventor
Sharad Mathur
Ahmad Moini
Ivan Petrovic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF Catalysts LLC
Original Assignee
Engelhard Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Engelhard Corp filed Critical Engelhard Corp
Priority to US10/792,738 priority Critical patent/US20040216388A1/en
Priority to PCT/US2004/007468 priority patent/WO2004083328A2/en
Priority to KR1020057017570A priority patent/KR20050111391A/en
Priority to JP2006507090A priority patent/JP2007525815A/en
Priority to EP04719748A priority patent/EP1620517A2/en
Assigned to ENGELHARD CORPORATION reassignment ENGELHARD CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOINI, AHMAD, PETROVIC, IVAN, MATHUR, SHARAD
Publication of US20040216388A1 publication Critical patent/US20040216388A1/en
Assigned to BASF CATALYSTS LLC reassignment BASF CATALYSTS LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: ENGELHARD CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Definitions

  • the present invention relates to a novel slurry for chemical-mechanical planarization (CMP).
  • CMP chemical-mechanical planarization
  • the present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with high production throughput.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • ECP now electrochemical plating
  • Planarizing a surface is a process where material is removed from the surface of the substrate to form a generally even planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing.
  • CMP chemical mechanical planarization
  • a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad.
  • the pad is moved relative to the substrate by an external driving force.
  • the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition, or slurry, to effect both chemical activity and mechanical activity.
  • the abrasive article can be a fixed abrasive article, such as a fixed abrasive polishing pad, which maybe used with a CMP composition or slurry that does not contain abrasive particles.
  • a fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto.
  • Abrasives which are most extensively used in the semi-conductor CMP process are silica (SiO 2 ), alumina (Al 2 O 3 ), ceria (CeO 2 ), zirconia (ZrO 2 ), and titania (TiO 2 ), which can be produced by a fuming or a sol-gel method, as described in U.S. Pat Nos. 4,959,113; 5,354,490; and 5,516,346 and WO97/40,030.
  • Mn 2 O 3 mangania
  • SiN silicon nitride
  • U.S. Pat. No. 6,508,952 discloses a CMP slurry containing any commercially available abrasive agent in particle form, such as SiO 2 , Al 2 O 3 , ZrO 2 , CeO 2 , SiC, Fe 2 O 3 , TiO 2 , Si 3 N 4 , or a mixture thereof.
  • abrasive particles normally have a high purity, a high surface area, and a narrow particle size distribution, and thus are suitable for use in abrasive compositions as abrasive agents.
  • U.S. Pat. No. 4,549,374 discloses polishing semiconductor wafers with an abrasive slurry prepared by dispersing montmorillonite clay in deionized water. The pH of the slurry is adjusted by adding alkali such as NaOH and KOH.
  • circuit density can be achieved by decreasing the space between the individual pathways. Pathways cannot be too close as electrical spillover can occur across the SiO 2 dielectric (the wafer oxide) effectively shorting out the connection. Recent technological advancements permitting the fabrication of very small, high density circuit patterns on integrated circuits have placed higher demands on isolation structures.
  • US Patent Application Publication 2003/0129838 discloses the following non-plate-like abrasive materials: iron oxide, strontium titanate, apatite, dioptase, iron, brass, fluorite, hydrated iron oxide, and azurite.
  • CMP Chemical Mechanical Polishing
  • polishing is accomplished via the removal of surface features using a liquid chemical slurry and a rotating polymer brush.
  • synergistic relationships between surface etching chemicals, surface protecting chemicals, abrasives in the slurry, and polymer pad physics result in a uniform flat surface.
  • particles having a non-spherical morphology are used as the abrasive in a CMP slurry.
  • FIG. 1 is an example of one modified non-spherical particle of the present invention.
  • FIG. 2 is an example of another modified non-spherical particle of the present invention.
  • FIG. 3 is an example of a partially coated non-spherical particle of the present invention.
  • FIG. 4 is an example of another partially coated non-spherical particle of the present invention.
  • FIG. 5 is an example of another partially coated non-spherical particle of the present invention.
  • FIG. 6 is an example of another partially coated non-spherical particle of the present invention.
  • FIG. 7 is an example of a completely coated non-spherical particle of the present invention.
  • FIG. 8 is an example of another partially coated non-spherical particle of the present invention.
  • FIG. 9 is a depiction of the use of CMP to remove rider from a silicon dioxide layer.
  • FIG. 10 is a depiction of polishing an etched semiconductive wafer.
  • FIG. 11 is a depiction of polishing an etched wafer containing metal.
  • FIG. 12 is a Scanning Electron Micrograph (SEM) of the ultrafine abrasive particles prepared in Example 1 below.
  • FIG. 13 is a graph comparing the removal rate of copper using a CMP slurry containing aluminum oxide and a CMP slurry containing calcined kaolin particles as the abrasive.
  • FIG. 14 is a Scanning Electron Micrograph (SEM) of the ultrafine abrasive particles (Sample A) prepared in Example 3 below.
  • CMP slurry compositions include abrasives for mechanical action and at least one of: oxidizers, acids, bases, complexing agents, surfactants, dispersants, and other chemicals for providing a chemical reaction such as oxidation on the surface to be polished. Certain poisons are typically avoided. Examples include metal ions with high mobilities, such as Na + , or elements that undergo reaction with wafer materials such as fluorine (although HF is sometimes used in post-CMP cleaning).
  • Non-limiting examples of available bases include KOH, NH 4 OH, and R 4 NOH. Acids also can be added, which can be exemplified by H 3 PO 4 , CH 3 COOH, HCl, HF and so on. Available as such supplementary oxidizing agents are H 2 O 2 , KIO3, HNO 3 , H 3 PO 4 , K 2 Fe(CN) 6 , Na 2 Cr 2 O 7 , KOCl, Fe(NO 3 ) 2 , NH 2 OH, and DMSO. Divalent acids, such as oxalic acid, malonic acid, and succinic acid can be used as additives for the polishing composition of the present invention.
  • fluorine-containing compounds may be added to the slurry composition.
  • Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof.
  • Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylklenetriaminepentacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof.
  • EDTA ethylenediaminetetracetic acid
  • NHEDTA N-hydroxyethylethylenediaminetriacetic acid
  • NTA nitrilotriacetic acid
  • DPTA diethylklenetriaminepentacetic acid
  • ethanoldiglycinate and mixtures thereof.
  • the chelating agents may aid in the softening of the metallic surface or even help to protect low lying features or surfaces of particular composition. The idea of protection mechanisms may lead to significant improvements.
  • Suitable amines that may be added to the slurry composition include, for example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, and mixtures thereof.
  • Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic, or amphoteric surfactants known to those skilled in the art.
  • the pH of the slurry is vital to the performance of all slurry components.
  • the acidity level of a solution can control reaction rates at the surface, formation constants of metal complexing agents, rates of surface oxidation, solution ionic strength, aggregation size of slurry particles, and more. Examination of various acids, bases, and pH buffers are a prospective area for CMP development.
  • a CMP slurry in which the abrasive is formed of particles having a morphology wherein at least one dimension (height, length and/or width) is substantially larger than another.
  • a morphology will be described as “non-spherical.”
  • a non-spherical particle morphology may be plate-like, sheet-like, needle-like, capsule-like, laminar-like, or any other of a myriad of shapes having at least one dimension substantially larger than another.
  • Such morphology distinguishes over spherical particles which are substantially round in appearance and do not have noticeable elongated surfaces.
  • Laminar clays such as kaolin, vermiculite and montmorillonite (that can be exfoliated) and modifications of such clays that preserve the clay shape such as acid leached kaolin, mica, talc, graphite flake, glass flake, and synthetic polymer flake are useful as abrasives in the CMP slurries of this invention.
  • non-spherical particles are primary in the slurry.
  • the phrase “non-spherical particle” as used herein does not cover a non-spherical agglomeration of spherical particles.
  • the abrasive particles having a non-spherical morphology provide an advantage over the prior art ceramic oxide materials of spherical shape. It is believed that the pressure of the non-spherical abrasive on the substrate surface is distributed over an area rather than a point of contact as the spherical particles. Accordingly, non-spherical particles provide a gentle polishing action and yet reduce micro-scratching, oxide loss, as well as reduce dishing and erosion compared to the point of contact polishing achieved by the hard ceramic abrasives presently used.
  • kaolin clay particles are preferred as the non-spherical abrasive. While hydrous kaolin can be utilized, it has been found that if the kaolin has been calcined, a better polishing rate results. However, the overall performance of hydrous kaolin is better than calcined kaolin and thus, hydrous kaolin is preferred. Calcination of the kaolin to undergo a strong endothermic reaction associated with dehydroxylation results in metakaolin.
  • Calcination temperatures of 1400-2200° F. can be used to produce a kaolin clay that has been calcined through its characteristic exotherm to spinel form kaolin. At the higher temperatures, e.g. above 1900° F., formation of mullite occurs. Any and all of these forms of kaolin clay can be utilized as the abrasive of this invention. All of these materials are available commercially from the present assignee, Engelhard Corporation, Iselin, N.J.
  • Hydrous kaolin is typically prepared through combination of unit operations that modify the particle size distribution and remove coloring impurities from kaolin. These unit operations are facilitated by using aqueous suspensions of kaolin in water. Examples of unit operations that change the particle size distribution are centrifuges, delamination or milling devices and selective flocculation. Examples of unit operations that result in removal of coloring impurities are flotation and magnetic separation. Further, reductive and/or oxidative bleaching can be used to render coloring impurities colorless. In addition, filtration may be utilized to substantially remove water from kaolin following which the high solids filtration product slurry can be spray dried.
  • the spray dried portion can be added back to the high solids filter product slurry to further raise the solids content of the slurry.
  • the filtration product may not be dispersed and thus the filtercake can be dried and pulverized to obtain what is referred to as acid dried kaolin product in the industry.
  • the kaolin may be modified by thermal or chemical treatments. Typically, the kaolin is pulverized prior to and after the calcinations operation. Treated kaolin can be slurried to further effect modifications to the particle size distribution through the unit operations mentioned above.
  • Such a process may be carried out in various ways, depending on the nature of the components and the desired result.
  • chlorides of the metals involved are not worked with, as they lead to a reaction into clay minerals that is hardly perceptible, if at all.
  • expandable clay platelets 10 that are modified via complexation with other components follows.
  • expandable clay platelets 10 have charged cations 12 such as sodium ions residing in the interlayer space of the clay platelets.
  • the expandable clay platelets 10 are ion exchanged with inorganic clusters 14 such as aluminum oxide hydroxide cation (Al 13 Keggin ion) to replace the cations 12 .
  • Al 13 Keggin ion aluminum oxide hydroxide cation
  • the higher charge density of these resulting clusters yields a stronger interlayer interaction, and the clay layers remain stacked.
  • the resulting material is either used without further modification or heated to elevated temperatures to form a 3-dimensional pillared structure.
  • positively charged platelets, such as hydrotalcite may be intercalated with anionic clusters such as poly-oxometallates of Mo, W, and other transition metals.
  • host non-spherical particle 18 is substantially coated with smaller crystallites 24 .
  • useful smaller crystallites 24 include metal oxide or silica crystallites or non-oxide ceramic phases such as metal carbides and nitrides. Such a coating may be formed by heating to convert the platelets or colloidal particles into a crystalline oxide. Alternatively, the desired phase may be crystallized directly onto the surface of the host non-spherical particle 18 similar to known techniques for forming titanium dioxide coated mica pearlescent pigments.
  • An example of a useful process is disclosed in commonly assigned U.S. Pat. No. 4,038,099 incorporated herein by reference in its entirety.
  • Particle sizes of the non-spherical abrasive regardless of the type utilized will typically have an average diameter less than about 1 micron as measured by commercially used particle measurement techniques. See for example commonly assigned U.S. Pat. No. 4,767,466 teaching that particle sizes are determined with the Sedigraph 5100 particle size analyzer and reported as equivalent spherical diameter on a weight percentage basis.
  • Kaolin particle size for example is measured by x-ray sedimentation, e.g. Sedigraph 5100.
  • the average particle size for kaolin will preferably range from about 0.01 to less than about 1 micron and more preferably range from about 0.01 to about 0.5 micron.
  • a pattern In order to place an electrical circuit on a chip, a pattern must be etched on the wafer surface as in FIG. 10.
  • substrate 34 has been etched to form a series of channels 36 which can be filled with dielectric or conductive metal components.
  • the etched substrate 34 increases the challenge of polishing because the surface is not uniform.
  • the substrate 34 as shown has an etched area of low pattern density (A) and an area of high pattern density (B). Surface removal during polishing tends to be greater in areas (B) where the pattern density is high because the local pressure exerted by the pad is distributed over less surface area. Other defects such as erosion and rounding of sharp corners and features of the pattern must also be minimized.
  • Metal polishing as opposed to oxide polishing, is accomplished using an oxidizing agent in the aqueous solution in order to form a soft oxide layer on the metal surface that can be removed by the mechanical abrasives in the slurry. Again, the use of both chemical and mechanical means are used to polish the surface.
  • the silicon nitride layer is intended to function as a polishing stop that protects the underlying thermally grown oxide layer and silicon substrate from being exposed during CMP processing.
  • the silicon nitride layer is later removed by, for example, dipping the article in an HF acid solution, leaving only the silicon dioxide filled trench to serve as an STI structure. Additional processing is usually then performed to form polysilicon gate structures.
  • the slurry was mixed with 4 pounds per ton of Defloc 411 (ammonium polyacrylate) supplied by Sharpe Specialty Chemicals.
  • the mixture was Netzsch milled at 1.2 gallons per minute (gpm)—2 passes using zirconia beads. After Netzsch milling, 2 pounds per ton of Defloc 411 was again added and the mixture then spray dried in order to keep the slurry from spoiling.
  • the spray dried product was reslurried in a Waring Blender for 5 minutes, then deslimed on the CU5000 (centrifuge) at 40% solids for 26 minutes wide open.
  • Desliming removed the ultrafine fraction of the particulate slurry, which is of interest for the CMP application.
  • the size distribution of the spray dried and the ultrafine product as measured by Sedigraph 5100 are set forth in Table 2.
  • An SEM of the ultrafine product, diluted several times to enhance image quality, is shown in FIG. 12. The SEM was obtained using a field emission electron microscope (Jol 6500F) at 5 kV. TABLE 2 PSD (mass Spray % finer than) Ansilex 93 dried product Ultrafine (microns) Slurry Reslurried Product 2 1 92 94 100 0.5 79 83 99 0.3 46 58 98 0.2 16 31 85 5 17 65
  • Example 1 The ultrafine product of Example 1 was reslurried to 4% solids. The slurry was passed through a Puradisc 25 GD glass filter (25 mm diameter and pore size of 2 microns) to remove oversize particles. A chemical package from a generic Copper CMP slurry was added to the abrasive slurry. The chemical package included an oxidizer (hydrogen peroxide), a passivator (benzotriazole), a complexing/etching agent (citric acid), and a stabilizer (TEA, TX-100). For comparison, a commercial alumina-based CMP slurry (Cabot Microelectronics) was used.
  • a hydrous kaolin spray dried product from Engelhard was used as the starting material.
  • the spray dried product was reslurried in lab in a Waring Blender for 5 minutes to 40% solids, then deslimed on CU5000 centrifuge at 40% solids for 15 minutes wide open (2400 rpms).
  • the ultrafine hydrous kaolin fraction constituting the supernatant at 5% solids from the desliming step was filtered through Whatman filter (25 mm diameter and pore size of 2 ⁇ ) and constituted the abrasive slurry for use in CMP formulation (Sample A).
  • the size distribution of the starting spray dried product and the ultrafine product as measured by Sedigraph 5100 are set forth in Table 4.
  • FIG. 12 An SEM of the ultrafine hydrous kaolin (Sample A), diluted several times to enhance image quality, is shown in FIG. 12.
  • the SEM was obtained using a field emission electron microscope (Jeol 6500F) at 10 kV.
  • Example 2 Chemical package from Example 2 was added to the ultrafine hydrous kaolin slurry (Sample A) from Example 3 to prepare a CMP formulation for planarization of Cu (Example 4).
  • Other CMP formulations were prepared with the same chemical package by using a fumed silica slurry and alumina slurry.
  • the fumed silica used was Aerosil 200 from Degussa (primary particle size of 12 nm and average aggregate size of 170 nm as measured by Microtrac)(Comparative A).
  • the alumina particles were of alpha form and obtained from Polishing Solutions Inc. (Comparative B). The proprietary alumina particles are used in commercial CMP slurries for metal planarization.
  • the CMP slurries were tested on bare 200 mm tetraethylorthosilicate (hereinafter “TEOS”) silica wafers as well as coated with either copper or tantalum to determine the polishing rate to aid in estimating the polishing time for clearing copper on the patterned wafers, as well as determine surface smoothness and selectivity between copper/tanatalum and copper/silica.
  • TEOS tetraethylorthosilicate
  • the CMP slurries were then tested on 200 mm Si wafers provided with copper interconnects and Ta diffusion barrier by the dual damascene process (patterned wafers) to assess the erosion and dishing. Erosion was measured at 70% patterned density while the dishing was measured on 300 micron pitch copper line. The dishing and erosion measurements were done on both the polished and overpolished wafers (20% extra time over polished wafers) to determine sensitivity of these undesirable topographic features to overpolishing.
  • ultrafine hydrous kaolin based CMP slurry resulted in the desired higher selectivity and uniformity than either fumed silica or alumina.
  • the copper material removal rate with the ultrafine hydrous kaolin is comparable to fumed silica and lower than that due to alumina.
  • the Cu/Ta selectivity is more critical than the polishing rate since the expected outcome from the Cu planarization slurry is to stop at the Ta layer.
  • the low Ta planarization rate with the hydrous kaolin formulation precludes from taking advantage of better Ta/TEOS selectivity than silica or alumina based CMP formulation.
  • the ultrafine hydrous kaolin based CMP slurry resulted in significantly lower erosion with no sensitivity to overpolishing compared to silica and alumina. This is consistent with the high selectivity for copper/tantalum and tantalum/TEOS removal rates obtained with the ultrafine hydrous kaolin slurry.
  • the ultrafine hydrous kaolin slurry is expected to result in lower erosion as well as oxide and metal loss.
  • the dishing was similar with all the abrasives indicating a strong role of the chemistry in the formulation compared to the mechanical action of the abrasives.
  • Example B CMP formulations based on ultrafine hydrous kaolin (Sample B) and fumed silica in Example 4 were used with the exception of removal of TX100 and TEA from the chemical package and lowering the slurry pH from 5 to 4 (Comparative C).
  • Example D an alumina-based commercial slurry from Cabot Microelectronics (CCMP) was also used (Comparative D).
  • the CMP slurries were tested on bare 200 mm TEOS wafers as well as coated with either copper or tantalum to determine the polishing rate to aid in estimating the polishing time for the patterned wafers, surface smoothness and selectivity between copper/tanatalum as well as copper/silica.
  • the CMP slurries were then tested on 200 mm Si wafers provided with copper interconnects and Ta diffusion barrier by the dual damascene process (patterned wafers) to assess the erosion and dishing. Erosion was measured at 70% patterned density while the dishing was measured on 150 micron width copper line. The dishing and erosion measurements were done on both the polished and overpolished wafers (20% extra time over polished wafers) to determine sensitivity to overpolishing.
  • Example 4 The testing was done on the same machine as in Example 4 and at a down pressure of 2 psi and platen speed of 90 rpm. Blanket Wafers Abrasive in CMP Material Removal Rate Copper/TEOS slurry (nm/min) WIWNU, % Selectivity Example 5 173 1 1020 Comparative C 224 1 83 Comparative D 127 17 52

Abstract

A chemical-mechanical abrasive composition for use in semiconductor processing uses abrasive particles having a non-spherical morphology.

Description

  • This patent application claims the benefit of pending provisional U.S. patent applications 60/455,216 filed Mar. 17, 2003 and 60/509,445 filed Oct. 8, 2003, incorporated herein in their entireties.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates to a novel slurry for chemical-mechanical planarization (CMP). The present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with high production throughput. [0002]
  • BACKGROUND OF THE INVENTION
  • In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. [0003]
  • Common deposition techniques in modern processing include physical vapor deposition (PVD), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), and now electrochemical plating (ECP). [0004]
  • As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or “polishing” a surface, is a process where material is removed from the surface of the substrate to form a generally even planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing. [0005]
  • Chemical mechanical planarization, or chemical mechanical polishing (CMP), is a common technique used to planarize substrates. CMP utilizes a chemical composition, typically a slurry or other fluid medium, for selective removal of material from substrates. Considerations in CMP slurry design are discussed in Rajiv K. Singh et al., “Fundamentals of Slurry Design for CMP of Metal and Dielectrics Materials”, MRS Bulletin, pages 752-760 (October 2002). In conventional CMP techniques, a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition, or slurry, to effect both chemical activity and mechanical activity. [0006]
  • Conventional slurries used for CMP processes contain abrasive particles in a reactive solution. Alternatively, the abrasive article can be a fixed abrasive article, such as a fixed abrasive polishing pad, which maybe used with a CMP composition or slurry that does not contain abrasive particles. A fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto. [0007]
  • Abrasives which are most extensively used in the semi-conductor CMP process are silica (SiO[0008] 2), alumina (Al2O3), ceria (CeO2), zirconia (ZrO2), and titania (TiO2), which can be produced by a fuming or a sol-gel method, as described in U.S. Pat Nos. 4,959,113; 5,354,490; and 5,516,346 and WO97/40,030. There has recently been reported a composition or a slurry comprising mangania (Mn2O3) (European Pat. No. 816,457) or a silicon nitride (SiN) (European Pat. No. 786,504).
  • U.S. Pat. No. 6,508,952 discloses a CMP slurry containing any commercially available abrasive agent in particle form, such as SiO[0009] 2, Al2O3, ZrO2, CeO2, SiC, Fe2O3, TiO2, Si3N4, or a mixture thereof. These abrasive particles normally have a high purity, a high surface area, and a narrow particle size distribution, and thus are suitable for use in abrasive compositions as abrasive agents.
  • U.S. Pat. No. 4,549,374 discloses polishing semiconductor wafers with an abrasive slurry prepared by dispersing montmorillonite clay in deionized water. The pH of the slurry is adjusted by adding alkali such as NaOH and KOH. [0010]
  • Demands for electrical processing speed have continued to increase requiring higher and higher circuit densities and performance. It is now desirable to fabricate chips with 8 or more layers of circuit patterns. In principal the requirement for more layers does not change the nature of polishing, but it does require more rigorous specifications from the polishing method. The width of each layer can be <5 μm. [0011]
  • Defects such as scratches and dishing must be lessened or eliminated. An issue that further increases the technical demand is the move toward 300 mm wafers. The larger wafer makes it more difficult to maintain uniformity over larger length scales as compared to an 8″, or 200 mm, wafer. [0012]
  • Besides adding layers, increased circuit density can be achieved by decreasing the space between the individual pathways. Pathways cannot be too close as electrical spillover can occur across the SiO[0013] 2 dielectric (the wafer oxide) effectively shorting out the connection. Recent technological advancements permitting the fabrication of very small, high density circuit patterns on integrated circuits have placed higher demands on isolation structures.
  • US Patent Application Publication 2003/0129838 (filed Dec. 28, 1999) discloses the following non-plate-like abrasive materials: iron oxide, strontium titanate, apatite, dioptase, iron, brass, fluorite, hydrated iron oxide, and azurite. [0014]
  • SUMMARY OF THE INVENTION
  • High performance polishing is required in the fabrication of integrated circuits (ICs) for computer and electronics applications. In essence, an IC is a device made up of many thin layers sequentially deposited on an inorganic oxide wafer. The layers have different compositions including oxide, metal, or dielectric materials, and each must be polished within narrow tolerances and high selectivity in order to obtain a working device. Chemical Mechanical Polishing (CMP) is a means to accomplish this task. Polishing is accomplished via the removal of surface features using a liquid chemical slurry and a rotating polymer brush. In an effective system, synergistic relationships between surface etching chemicals, surface protecting chemicals, abrasives in the slurry, and polymer pad physics result in a uniform flat surface. In the present invention, particles having a non-spherical morphology are used as the abrasive in a CMP slurry.[0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an example of one modified non-spherical particle of the present invention. [0016]
  • FIG. 2 is an example of another modified non-spherical particle of the present invention. [0017]
  • FIG. 3 is an example of a partially coated non-spherical particle of the present invention. [0018]
  • FIG. 4 is an example of another partially coated non-spherical particle of the present invention. [0019]
  • FIG. 5 is an example of another partially coated non-spherical particle of the present invention. [0020]
  • FIG. 6 is an example of another partially coated non-spherical particle of the present invention. [0021]
  • FIG. 7 is an example of a completely coated non-spherical particle of the present invention. [0022]
  • FIG. 8 is an example of another partially coated non-spherical particle of the present invention. [0023]
  • FIG. 9 is a depiction of the use of CMP to remove rider from a silicon dioxide layer. [0024]
  • FIG. 10 is a depiction of polishing an etched semiconductive wafer. [0025]
  • FIG. 11 is a depiction of polishing an etched wafer containing metal. [0026]
  • FIG. 12 is a Scanning Electron Micrograph (SEM) of the ultrafine abrasive particles prepared in Example 1 below. [0027]
  • FIG. 13 is a graph comparing the removal rate of copper using a CMP slurry containing aluminum oxide and a CMP slurry containing calcined kaolin particles as the abrasive. [0028]
  • FIG. 14 is a Scanning Electron Micrograph (SEM) of the ultrafine abrasive particles (Sample A) prepared in Example 3 below. [0029]
  • DETAILED DESCRIPTION OF THE INVENTION
  • In general, CMP slurry compositions include abrasives for mechanical action and at least one of: oxidizers, acids, bases, complexing agents, surfactants, dispersants, and other chemicals for providing a chemical reaction such as oxidation on the surface to be polished. Certain poisons are typically avoided. Examples include metal ions with high mobilities, such as Na[0030] +, or elements that undergo reaction with wafer materials such as fluorine (although HF is sometimes used in post-CMP cleaning).
  • Non-limiting examples of available bases include KOH, NH[0031] 4OH, and R4NOH. Acids also can be added, which can be exemplified by H3PO4, CH3COOH, HCl, HF and so on. Available as such supplementary oxidizing agents are H2O2, KIO3, HNO3, H3PO4, K2Fe(CN)6, Na2Cr2O7, KOCl, Fe(NO3)2, NH2OH, and DMSO. Divalent acids, such as oxalic acid, malonic acid, and succinic acid can be used as additives for the polishing composition of the present invention.
  • Additional suitable acid compounds that may be added to the slurry composition include, for example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, oxtanoic acid, nonanoic acid, lactic acid, nitric acid, sulfuric acid, malic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures thereof. [0032]
  • Suitable corrosion inhibitors that may be added to the slurry composition include, for example, benzotriazole, 6-tolylytriazole, 1-(2,3-dicarboxypropyl) benzotriazole, and mixtures thereof. [0033]
  • Carboxylic acids, if added, may also impart corrosion inhibition properties to the slurry composition. [0034]
  • To increase the selectivity of tantalum and tantalum compounds relative to silicon dioxide, fluorine-containing compounds may be added to the slurry composition. Suitable fluorine-containing compounds include, for example, hydrogen fluoride, perfluoric acid, alkali metal fluoride salt, alkaline earth metal fluoride salt, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, ethylenediammonium difluoride, diethylenetriammonium trifluoride, and mixtures thereof. [0035]
  • Suitable chelating agents that may be added to the slurry composition include, for example, ethylenediaminetetracetic acid (EDTA), N-hydroxyethylethylenediaminetriacetic acid (NHEDTA), nitrilotriacetic acid (NTA), diethylklenetriaminepentacetic acid (DPTA), ethanoldiglycinate, and mixtures thereof. The chelating agents may aid in the softening of the metallic surface or even help to protect low lying features or surfaces of particular composition. The idea of protection mechanisms may lead to significant improvements. [0036]
  • Suitable amines that may be added to the slurry composition include, for example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, and mixtures thereof. [0037]
  • Suitable surfactant compounds that may be added to the slurry composition include, for example, any of the numerous nonionic, anionic, cationic, or amphoteric surfactants known to those skilled in the art. [0038]
  • The pH of the slurry is vital to the performance of all slurry components. The acidity level of a solution can control reaction rates at the surface, formation constants of metal complexing agents, rates of surface oxidation, solution ionic strength, aggregation size of slurry particles, and more. Examination of various acids, bases, and pH buffers are a prospective area for CMP development. [0039]
  • In accordance with the present invention, a CMP slurry is provided in which the abrasive is formed of particles having a morphology wherein at least one dimension (height, length and/or width) is substantially larger than another. For purposes of this application, such a morphology will be described as “non-spherical.” Thus, a non-spherical particle morphology may be plate-like, sheet-like, needle-like, capsule-like, laminar-like, or any other of a myriad of shapes having at least one dimension substantially larger than another. Such morphology distinguishes over spherical particles which are substantially round in appearance and do not have noticeable elongated surfaces. Laminar clays such as kaolin, vermiculite and montmorillonite (that can be exfoliated) and modifications of such clays that preserve the clay shape such as acid leached kaolin, mica, talc, graphite flake, glass flake, and synthetic polymer flake are useful as abrasives in the CMP slurries of this invention. [0040]
  • These non-spherical particles are primary in the slurry. Thus, the phrase “non-spherical particle” as used herein does not cover a non-spherical agglomeration of spherical particles. [0041]
  • It is believed that the abrasive particles having a non-spherical morphology provide an advantage over the prior art ceramic oxide materials of spherical shape. It is believed that the pressure of the non-spherical abrasive on the substrate surface is distributed over an area rather than a point of contact as the spherical particles. Accordingly, non-spherical particles provide a gentle polishing action and yet reduce micro-scratching, oxide loss, as well as reduce dishing and erosion compared to the point of contact polishing achieved by the hard ceramic abrasives presently used. [0042]
  • In addition to having a non-spherical morphology, the abrasive particles are preferably softer than the silica or alumina abrasives typically used for CMP. Accordingly, the non-spherical abrasive particles have a Mohs hardness of about 1 to 6. For reference, Table 1 below sets forth the various metals and abrasive particles. [0043]
    TABLE 1
    Microhardness
    Materials Mohs [kg mm−2]
    Copper 2.5-3.0 80
    Tantalum 6.5 230
    Tungsten 7.5-8.0 350
    Hydrated SiO2 4-5 400-500
    SiO2 6-7 1200
    Copper Oxide 3.5-4.0
    Kaolin (hydrous) 2-3
    Kaolin (calcined) 4.0-6.0
    Alumina 9.0 2000
    ZrO2 6.5
    Diamond 10.0  10000
  • It is believed that a non-spherical abrasive having a Mohs hardness between about 1-6 is hard enough to provide the necessary mechanical action of a CMP slurry, yet defects such as scratching, dishing, and overpolishing action can simultaneously be avoided. [0044]
  • In general, the non-spherical particle abrasive will comprise up to 20 by weight percent of the slurry although abrasive solids contents up to 60 wt. % maybe prepared. More typically, amounts of less than 15% by weight and more preferably, an abrasive content in amounts of from 0.5-8 wt. % are utilized. [0045]
  • At present, kaolin clay particles are preferred as the non-spherical abrasive. While hydrous kaolin can be utilized, it has been found that if the kaolin has been calcined, a better polishing rate results. However, the overall performance of hydrous kaolin is better than calcined kaolin and thus, hydrous kaolin is preferred. Calcination of the kaolin to undergo a strong endothermic reaction associated with dehydroxylation results in metakaolin. Kaolin clay calcined under conditions more severe than those used to convert kaolin to metakaolin, i.e., kaolin clay calcined to undergo the characteristic kaolin exothermic reaction, results in the spinel form of calcined kaolin and also mullite if more extreme conditions are utilized. Generally, calcination of the hydrous kaolin at temperatures of 1200° F. and higher results in the dehydroxylation of hydrous kaolin to metakaolin. Calcination temperatures of 1400-2200° F. can be used to produce a kaolin clay that has been calcined through its characteristic exotherm to spinel form kaolin. At the higher temperatures, e.g. above 1900° F., formation of mullite occurs. Any and all of these forms of kaolin clay can be utilized as the abrasive of this invention. All of these materials are available commercially from the present assignee, Engelhard Corporation, Iselin, N.J. [0046]
  • Hydrous kaolin is typically prepared through combination of unit operations that modify the particle size distribution and remove coloring impurities from kaolin. These unit operations are facilitated by using aqueous suspensions of kaolin in water. Examples of unit operations that change the particle size distribution are centrifuges, delamination or milling devices and selective flocculation. Examples of unit operations that result in removal of coloring impurities are flotation and magnetic separation. Further, reductive and/or oxidative bleaching can be used to render coloring impurities colorless. In addition, filtration may be utilized to substantially remove water from kaolin following which the high solids filtration product slurry can be spray dried. The spray dried portion can be added back to the high solids filter product slurry to further raise the solids content of the slurry. The filtration product may not be dispersed and thus the filtercake can be dried and pulverized to obtain what is referred to as acid dried kaolin product in the industry. Additionally, the kaolin may be modified by thermal or chemical treatments. Typically, the kaolin is pulverized prior to and after the calcinations operation. Treated kaolin can be slurried to further effect modifications to the particle size distribution through the unit operations mentioned above. [0047]
  • Other useful non-spherical abrasive particles are brucite (magnesium hydroxide), hydrotalcite, and nanotalc. The preceding materials are commercially available. Other useful non-spherical abrasive particles are disclosed in commonly assigned U.S. Pat. No. 6,187,710 incorporated herein by reference in its entirety. This patent teaches in one embodiment clay minerals made up of elementary three-layer platelets consisting of a central layer of octahedrally oxygen-surrounded metal ions (octahedron layer), which layer is surrounded by two tetrahedrally surrounded, silicon atom-containing layers (tetrahedron layer), characterized in that the dimensions of the clay particles vary from 0.1 micron to one micron. In the octahedron layer, at most 30 at. % of the metal ions has been replaced by ions of a lower valency and in the tetrahedron layers, at most 15 at. % of the silicon ions has been replaced by ions of a lower valency. The patent teaches in another embodiment that the silicon (germanium) in the tetrahedron layer can be replaced by trivalent ions. In the octahedron layer, aluminum, chromium, iron (III), cobalt (III), manganese (III), gallium, vanadium, molybdenum, tungsten, indium, rhodium, and/or scandium are preferably present as trivalent ions. As divalent ions, magnesium, zinc, nickel, cobalt (II), iron (II), manganese (II), and/or beryllium are preferably present in the octahedron layer. In the tetrahedron layer, silicon and/or germanium is present as tetravalent component and preferably, aluminum, boron, gallium, chromium, iron (II), cobalt (III), and/or manganese (III) are present as trivalent component. [0048]
  • The components required for the synthesis, oxides of silicon (germanium) for the tetrahedron layer and the tri/di/monovalent ions for the octahedron layer, are presented in aqueous medium, are brought to the desired pH (3-9, preferably 5-9) and are then maintained for some time at a temperature of 60-350° C., with the pH being maintained within the desired range. The reaction time strongly depends on temperature, and hence on pressure, with higher temperatures enabling shorter reaction times. In practice, reaction times to the order of 5-25 hours are found at the lower temperatures, 60-125° C., whereas at temperatures in the range of 150° C. and higher, reaction times to the order of some minutes to approximately 2.5 hours may suffice. The reaction time partly determines the dimensions of the clay minerals. [0049]
  • Such a process may be carried out in various ways, depending on the nature of the components and the desired result. Preferably, chlorides of the metals involved are not worked with, as they lead to a reaction into clay minerals that is hardly perceptible, if at all. For more process details, see incorporated U.S. Pat. No. 6,187,710. [0050]
  • Another useful non-spherical abrasive particle comprises expandable clay platelets that are modified via complexation with other components. The expandable clay systems include smectite clays, montmorillonite, Laponite, Stevensite, and many other natural and synthetic clays with varying composition, charge density, and platelet dimensions. It is known in the clay literature that these types of layered materials may be modified by a variety of ion exchange and intercalation processes. Also, positively charged platelets, such as hydrotalcite and other layered double hydroxides, may go through similar types of chemistry as the negatively charged smectite platelets. [0051]
  • One example of expandable clay platelets that are modified via complexation with other components follows. In FIG. 1, [0052] expandable clay platelets 10 have charged cations 12 such as sodium ions residing in the interlayer space of the clay platelets. The expandable clay platelets 10 are ion exchanged with inorganic clusters 14 such as aluminum oxide hydroxide cation (Al13 Keggin ion) to replace the cations 12. The higher charge density of these resulting clusters yields a stronger interlayer interaction, and the clay layers remain stacked. The resulting material is either used without further modification or heated to elevated temperatures to form a 3-dimensional pillared structure. Alternatively, positively charged platelets, such as hydrotalcite, may be intercalated with anionic clusters such as poly-oxometallates of Mo, W, and other transition metals.
  • Another example of expandable clay platelets that are modified via complexation with other components follows. In FIG. 2, [0053] expandable clay platelets 10 have charged cations 12 such as sodium ions residing in the interlayer space of the clay platelets. Organic cations 16, such as long chain alkyl ammonium ions, are exchanged into the interlayer spaces of smectite or similar negatively charged expandable clay platelets 10. Alternatively, anionic organic molecules, such as organo-sulfonates, may be intercalated into the interlayer space of positively charged platelets, such as hydrotalcite.
  • Another useful non-spherical abrasive particle comprises a central host that is coated with a secondary component. The central host may be a non-spherical particle such as those described above, three-dimensional particles such as alumina or other metal oxide particle. The coating may partially or completely cover the central host. Also, a particle may have multiple coatings on it. [0054]
  • One example of a partially coated platelet follows. In FIG. 3, host [0055] non-spherical particle 18 is partially coated with smaller platelets 20. Examples of useful smaller platelets 20 include laponite or other smectite particles or organic polymer coated on the surface of the host non-spherical particle 18. A particular smaller platelet may have the desired softness and composition, but the platelet size may be too small or there may be problems with dispersing it. By placing these platelets on the surface of the host, with more desirable rheology, dispersion, etc., a more effective abrasive may be developed. These types of materials may be synthesized by a number of approaches, including layer-by-layer techniques.
  • Another example of a partially coated platelet follows. In FIG. 4, host [0056] non-spherical particle 18 is substantially coated with smaller spherical particles 22. Examples of useful smaller spherical particles 22 include colloidal particles such as colloidal silica and molecular species such as the aluminum oxide hydroxide Keggin ion. The size, composition, charge density, and other attributes of the smaller spherical particles 22 may be adjusted to meet the desired final properties. In addition, different size spheres may be placed on the surface in subsequent coatings to create different levels of packing, porosity, and softness.
  • Another example of a partially coated platelet follows. In FIG. 5, host [0057] non-spherical particle 18 is substantially coated with smaller crystallites 24. Examples of useful smaller crystallites 24 include metal oxide or silica crystallites or non-oxide ceramic phases such as metal carbides and nitrides. Such a coating may be formed by heating to convert the platelets or colloidal particles into a crystalline oxide. Alternatively, the desired phase may be crystallized directly onto the surface of the host non-spherical particle 18 similar to known techniques for forming titanium dioxide coated mica pearlescent pigments. An example of a useful process is disclosed in commonly assigned U.S. Pat. No. 4,038,099 incorporated herein by reference in its entirety.
  • Another example of a partially coated platelet follows. In FIG. 6, host [0058] non-spherical particle 18 is substantially coated with a polymer 26. Examples of useful polymers include] diallyldimethylammonium chloride (abbreviated PDADMAC) or polysodiumstyrene sulfonate (abbreviated PSS). The surface properties such as charge, softness, isoelectric point, rheology etc. may be adjusted by coating the surface of the host non-spherical particle 18 with polymer 26.
  • An example of a completely coated platelet follows. In FIG. 7, host [0059] non-spherical particle 18 is completely coated with carbon 28. Various precursors, such as polymers, organic molecules, etc. maybe placed on the surface of the host particle 18. The coated material is then pyrolyzed to form a carbon coating 28. The carbon coating may be very thin (few nm in thickness) or thick depending on the desired property.
  • Another example of a partially coated platelet follows. In FIG. 8, host [0060] non-spherical particle 18 is partially coated with organic functional groups 30. The particle 18 may be treated with coupling agents such as organo-silanes to attach a molecule directly to the particle surface. Typically, reactive groups on the particle surface, such as hydroxide groups, react with the alkoxy or halo groups of the silane. The result is the introduction of organic groups with specific functionality to the particle surface.
  • Particle sizes of the non-spherical abrasive regardless of the type utilized will typically have an average diameter less than about 1 micron as measured by commercially used particle measurement techniques. See for example commonly assigned U.S. Pat. No. 4,767,466 teaching that particle sizes are determined with the Sedigraph 5100 particle size analyzer and reported as equivalent spherical diameter on a weight percentage basis. Kaolin particle size for example is measured by x-ray sedimentation, e.g. Sedigraph 5100. The average particle size for kaolin will preferably range from about 0.01 to less than about 1 micron and more preferably range from about 0.01 to about 0.5 micron. [0061]
  • The non-spherical abrasive can be combined with any of the chemical adjuvants which typically form a CMP slurry, such as acids, bases, dispersants, oxidizers, complexing agents, surfactants and/or passivating agents. The CMP slurry containing the non-spherical abrasive agent can be utilized in any CMP processing. Examples of typical CMP processing are described below. These are intended to be examples only and are not provided for the purpose of limiting the uses of the CMP slurries of this invention to the specific processing techniques or conditions disclosed. Thus, the CMP slurries of this invention containing the non-spherical abrasive are intended to be used for any of the CMP processes which are now known or can be utilized in the future as the complexity of the integrated circuits increases. [0062]
  • For example, in oxide-CMP, the pH of the aqueous solution is adjusted to maintain the suspension of small particles and to soften the surface of the silicon wafer such that the high features can be ground away by the action of the abrasives. Depending on the selected chemistry, the pH of the slurry may be adjusted accordingly. Thus, the pH may be acidic or basic. The surface of the wafer is thought to undergo a transformation under the alkaline conditions as sketched in FIG. 9. As shown in FIG. 9, [0063] substrate 32 formed of silicon dioxide is treated by the combination of chemical (alkaline reactivity) and mechanical action (particles abrasion). This situation represents the most straight forward case of oxide-only polishing. Thus, the silicon-oxide-silicon bonds are broken by the alkaline reaction and the individual silicon hydroxide moieties on the surface are removed by the mechanical abrasive action.
  • In order to place an electrical circuit on a chip, a pattern must be etched on the wafer surface as in FIG. 10. In this embodiment, [0064] substrate 34 has been etched to form a series of channels 36 which can be filled with dielectric or conductive metal components. The etched substrate 34 increases the challenge of polishing because the surface is not uniform. The substrate 34 as shown has an etched area of low pattern density (A) and an area of high pattern density (B). Surface removal during polishing tends to be greater in areas (B) where the pattern density is high because the local pressure exerted by the pad is distributed over less surface area. Other defects such as erosion and rounding of sharp corners and features of the pattern must also be minimized.
  • Once the wafer containing an etched pattern is prepared, a metal layer can be applied, which will be the electrical circuit. FIG. 11 illustrates such a wafer which includes [0065] wafer substrate 38, patterned area or channels 40, and metal or metal alloy 42 contained within the patterned areas. The metal used is usually a conductive copper/aluminum (Cu/Al) alloy or tungsten (W), which are more resistant to temperature and oxidation than bulk Cu metal. Polishing is required to remove the metal overburden 44 as the metal layer extends beyond the low lying etched areas. Metal polishing, as opposed to oxide polishing, is accomplished using an oxidizing agent in the aqueous solution in order to form a soft oxide layer on the metal surface that can be removed by the mechanical abrasives in the slurry. Again, the use of both chemical and mechanical means are used to polish the surface.
  • There are added challenges with metal-CMP. Multiple surface compositions are present with varied coverage densities, yet a uniform removal of metal must be attained. All the overburden metal must be removed in order to prevent electrical shorts between the circuit lines. Some of the [0066] metal surface 42 may undergo metal over polishing within the trench areas 40 called dishing in FIG. 11. In FIG. 11, LS means line space while LW means line width. The sum of LW and LS is pitch. LW divided by pitch is pattern density. An approach to limit dishing is to add a complexing agent that binds to the low lying metal areas, forming a protective layer and limiting further metal erosion from slurry oxidizers. Clearly, the aqueous slurry and pad composition must be chosen carefully to balance erosion and protection processes.
  • Removing excess metal or other contamination from smaller and smaller spaces between individual pathways presents ever increasing challenges for CMP processing. Copper metal has a smaller intrinsic resistance and capacitance than Cu/Al alloy, which is currently used as the conducting medium. Therefore, a smaller electrical potential is required to send a signal through a copper line, reducing the tendency for electrical spillover. In effect, by using Cu-only, the circuit pathways can be placed closer together. [0067]
  • However, the use of Cu also has disadvantages. Copper does not adhere well to oxide surfaces. Copper is also susceptible to bulk oxidation as, unlike WO[0068] 3 or Al2O3, a CuO or CuO2 surface layer still allows O2 and H2O to penetrate into the bulk metal. Moreover, Cu atoms are mobile and can migrate into the SiO2 wafer material ultimately causing the transistors in the circuit to fail. Therefore, a thin layer of low dielectric material, typically composed of tantalum, tantalum nitride, or titanium nitride, is placed between the wafer oxide and conducting Cu layers. The buffer layer promotes Cu adhesion, prevents oxidation of the bulk Cu metal, prevents Cu ion contamination of the bulk oxide, and further lowers the dielectric between the circuits (i.e. allows the circuits to be even more closely spaced).
  • One of the uses of CMP technology is in the manufacture of shallow trench isolation (STI) structures in integrated circuits formed on semiconductor chips or wafers such as silicon. The purpose of an STI structure is to isolate discrete device elements (e.g., transistors) in a given pattern layer to prevent current leakage from occurring between them. [0069]
  • An STI structure is usually formed by thermally growing an oxide layer on a silicon substrate and then depositing a silicon nitride layer on the thermally grown oxide layer. After deposition of the silicon nitride layer, a shallow trench is formed through the silicon nitride layer and the thermally grown oxide layer and partially through the silicon substrate using, for example, any of the well-known photolithography mask and etching processes. A layer of a dielectric material such as silicon dioxide is then typically deposited using a chemical vapor deposition process to completely fill the trench and cover the silicon nitride layer. Next, a CMP process is used to remove that portion of the silicon dioxide layer covering the silicon nitride layer and to planarize the entire surface of the article. The silicon nitride layer is intended to function as a polishing stop that protects the underlying thermally grown oxide layer and silicon substrate from being exposed during CMP processing. In some applications, the silicon nitride layer is later removed by, for example, dipping the article in an HF acid solution, leaving only the silicon dioxide filled trench to serve as an STI structure. Additional processing is usually then performed to form polysilicon gate structures. [0070]
  • The use of Cu and accompanying low dielectric buffer layer demand enhanced performance from polishing techniques. The new techniques are called Cu-CMP but in principle do not differ significantly from previous polishing methods. The CMP process must be able to remove the soft Cu metal overburden, yet limit Cu dishing, scratching, and removal of the low dielectric buffer layer. Simultaneously, tolerances are more rigorous because of more closely spaced circuit patterns. The ability to produce layers that are thin, flat, and defect free is of paramount importance. [0071]
  • As is also known in the art, one method for forming interconnects in a semiconductor structure is a so-called dual damascene process. A dual damascene process starts with the deposition of a dielectric layer, typically an oxide layer, disposed over circuitry formed in a single crystal body, for example silicon. The oxide layer is etched to form a trench having a pattern corresponding to a pattern of vias and wires for interconnection of elements of the circuitry. Vias are openings in the oxide through which different layers of the structure are electrically interconnected, and the pattern of the wires is defined by trenches in the oxide. Then, metal is deposited to fill the openings in the oxide layer. Subsequently, excess metal is removed by polishing. The process is repeated as many times as necessary to form the required interconnections. Thus, a dual damascene structure has a trench in an upper portion of a dielectric layer and a via terminating at the bottom of the trench and passing through a lower portion of the dielectric layer. The structure has a step between the bottom of the trench and a sidewall of the via at the bottom of the trench. [0072]
  • The abrasive particles of the current invention can be used in CMP of copper in applications other than logic (such as microprocessors) or memory (such as flash memory) devices where copper is used in the interconnect metallic layers. For example, improving the thermal and electrical characteristics of the packaging of the device may involve use of a copper layer that needs to be planarized. The structure of the interconnect copper layer in the integrated circuit device and the copper layer in packaging may be different leading to different requirements on thickness of layer to be removed, planarity, dishing and defectivity. Also Micro-ElectroMechanical Systems (MEMS) may have a copper layer that may require planarization using CMP. Abrasive particles of the current invention can be used in CMP slurries for this application also. [0073]
  • A review of CMP processing is provided in “Advances in Chemical-Mechanical Planarization,” Rajiv K. Singh and Rajiv Bajaj, MRS Bulletin, October 2002, pages 743-747. In general, while the CMP process appears quite simple, achieving a detailed understanding has been limited primarily by the large number of input variables in the polishing process. Among such variables are slurry variables such as particles and chemicals, pad variables, tool variables such as down pressure and linear velocity, and substrate variables such as pattern density. The article provides a good review of the process variables and emerging applications for CMP technology and is herein incorporated by reference. [0074]
  • EXAMPLE 1
  • Ansilex 93® calcined kaolin slurry (50% solids) supplied by Engelhard Corporation was used as the starting material. The slurry was mixed with 4 pounds per ton of Defloc 411 (ammonium polyacrylate) supplied by Sharpe Specialty Chemicals. The mixture was Netzsch milled at 1.2 gallons per minute (gpm)—2 passes using zirconia beads. After Netzsch milling, 2 pounds per ton of Defloc 411 was again added and the mixture then spray dried in order to keep the slurry from spoiling. The spray dried product was reslurried in a Waring Blender for 5 minutes, then deslimed on the CU5000 (centrifuge) at 40% solids for 26 minutes wide open. Desliming removed the ultrafine fraction of the particulate slurry, which is of interest for the CMP application. The size distribution of the spray dried and the ultrafine product as measured by Sedigraph 5100 are set forth in Table 2. An SEM of the ultrafine product, diluted several times to enhance image quality, is shown in FIG. 12. The SEM was obtained using a field emission electron microscope (Jol 6500F) at 5 kV. [0075]
    TABLE 2
    PSD (mass Spray
    % finer than) Ansilex 93 dried product Ultrafine
    (microns) Slurry Reslurried Product
    2
    1 92 94 100
    0.5 79 83 99
    0.3 46 58 98
    0.2 16 31 85
    5 17 65
  • EXAMPLE 2
  • The ultrafine product of Example 1 was reslurried to 4% solids. The slurry was passed through a Puradisc [0076] 25 GD glass filter (25 mm diameter and pore size of 2 microns) to remove oversize particles. A chemical package from a generic Copper CMP slurry was added to the abrasive slurry. The chemical package included an oxidizer (hydrogen peroxide), a passivator (benzotriazole), a complexing/etching agent (citric acid), and a stabilizer (TEA, TX-100). For comparison, a commercial alumina-based CMP slurry (Cabot Microelectronics) was used.
  • The CMP slurries were tested on 200 mm Si wafers provided with copper interconnects and a Ta diffusion barrier by the dual-damascene process. A polishing machine (Novellus IPEC [0077] 372) with a down pressure of 2 psi was used to apply the CMP slurries. Results are shown in Table 3 and FIG. 13. Also, see FIG. 11 for understanding the definitions of “Pitch” and “Pattern Density” used in Table 3.
    TABLE 3
    Surface Topography (overpolished area)
    Alumina Slurry Kaolin Slurry
    100 μm Pitch 100 μm Pitch
    50% Pattern Density 50% Pattern Density
    Erosion and Dishing - severe Erosion <1 nm
    overpolishing
    Very low Cu/Ta selectivity Good Cu/Ta selectivity
  • The measurements in Table 3 are from the over polished area of the wafer. In case of the alumina slurry, severe overpolishing precluded measurements on dishing and erosion. [0078]
  • EXAMPLE 3
  • A hydrous kaolin spray dried product from Engelhard was used as the starting material. The spray dried product was reslurried in lab in a Waring Blender for 5 minutes to 40% solids, then deslimed on CU5000 centrifuge at 40% solids for 15 minutes wide open (2400 rpms). The ultrafine hydrous kaolin fraction constituting the supernatant at 5% solids from the desliming step was filtered through Whatman filter (25 mm diameter and pore size of 2μ) and constituted the abrasive slurry for use in CMP formulation (Sample A). The size distribution of the starting spray dried product and the ultrafine product as measured by Sedigraph 5100 are set forth in Table 4. [0079]
    TABLE 4
    PSD (mass % finer Starting Spray dried Ultrafine hydrous
    than) (microns) product Kaolin (Sample A)
    2 98 100
    1 98 99
    0.5 92 98
    0.3 73 84
    0.18 50 61
  • An SEM of the ultrafine hydrous kaolin (Sample A), diluted several times to enhance image quality, is shown in FIG. 12. The SEM was obtained using a field emission electron microscope (Jeol 6500F) at 10 kV. [0080]
  • Another sample (Sample B) was prepared from a different starting feed PSD material and after desliming on CU5000 centrifuge at 40% solids for 15 minutes wide open (2400 rpms), the ultrafines were further subjected to an additional 32 minutes desliming at 2400 rpm and filtered through Whatman filter (25 mm diameter and pore size of 2μ). The size distribution of the starting spray dried product and the ultrafine product as measured by Sedigraph 5100 are set forth in Table 5. [0081]
    TABLE 5
    PSD (mass %
    finer than) Starting spray Ultrafine hydrous
    (microns) dried product kaolin (Sample B)
    2 88 100
    1 80 99
    0.5 66 99
    0.3 47 93
    0.18 32 78
  • EXAMPLE 4 AND COMPARATIVES A AND B
  • Chemical package from Example 2 was added to the ultrafine hydrous kaolin slurry (Sample A) from Example 3 to prepare a CMP formulation for planarization of Cu (Example 4). Other CMP formulations were prepared with the same chemical package by using a fumed silica slurry and alumina slurry. The fumed silica used was [0082] Aerosil 200 from Degussa (primary particle size of 12 nm and average aggregate size of 170 nm as measured by Microtrac)(Comparative A). The alumina particles were of alpha form and obtained from Polishing Solutions Inc. (Comparative B). The proprietary alumina particles are used in commercial CMP slurries for metal planarization.
  • The CMP slurries were tested on bare 200 mm tetraethylorthosilicate (hereinafter “TEOS”) silica wafers as well as coated with either copper or tantalum to determine the polishing rate to aid in estimating the polishing time for clearing copper on the patterned wafers, as well as determine surface smoothness and selectivity between copper/tanatalum and copper/silica. The CMP slurries were then tested on 200 mm Si wafers provided with copper interconnects and Ta diffusion barrier by the dual damascene process (patterned wafers) to assess the erosion and dishing. Erosion was measured at 70% patterned density while the dishing was measured on 300 micron pitch copper line. The dishing and erosion measurements were done on both the polished and overpolished wafers (20% extra time over polished wafers) to determine sensitivity of these undesirable topographic features to overpolishing. [0083]
  • The testing was done on a IPEC-372 M machine (Polishing Solutions Inc., Phoenix, Ariz.) at 5 psi down pressure and 60 rpm platen speed. WIWNU stands for Within Wafer Non Uniformity. [0084]
    Blanket Wafers
    Material Tantalum/
    Abrasive in CMP Removal Rate Cu/Ta TEOS
    slurry (nm/min) WIWNU, % Selectivity Selectivity
    Example 2 254 4.7 65 5.9
    Comparative A 206 4.9 40 1.4
    Comparative B 713 10.2 17 2.8
  • Clearly, ultrafine hydrous kaolin based CMP slurry resulted in the desired higher selectivity and uniformity than either fumed silica or alumina. The copper material removal rate with the ultrafine hydrous kaolin is comparable to fumed silica and lower than that due to alumina. The Cu/Ta selectivity is more critical than the polishing rate since the expected outcome from the Cu planarization slurry is to stop at the Ta layer. The low Ta planarization rate with the hydrous kaolin formulation precludes from taking advantage of better Ta/TEOS selectivity than silica or alumina based CMP formulation. [0085]
  • Patterned Wafers
  • Just-Polished: estimated by visual inspection of the wafer when copper is just cleared. [0086]
  • Overpolished: New patterned wafer polished with 20% extra time over that required to clear copper. [0087]
    Polished Overpolished
    Condition Condition
    Abrasive in CMP Dishing Erosion Dishing Erosion % increase in % increase in
    slurry (nm) (nm) (nm) (nm) dishing erosion
    Example 2 419 95 618 74 50 0
    Comparative A 450 278 655 386 50 40
    Comparative B 332 186 522 390 60 110
  • The ultrafine hydrous kaolin based CMP slurry resulted in significantly lower erosion with no sensitivity to overpolishing compared to silica and alumina. This is consistent with the high selectivity for copper/tantalum and tantalum/TEOS removal rates obtained with the ultrafine hydrous kaolin slurry. Thus, compared to silica and alumina, the ultrafine hydrous kaolin slurry is expected to result in lower erosion as well as oxide and metal loss. [0088]
  • The dishing was similar with all the abrasives indicating a strong role of the chemistry in the formulation compared to the mechanical action of the abrasives. [0089]
  • EXAMPLE 5 AND COMPARATIVES C AND D
  • In this example, CMP formulations based on ultrafine hydrous kaolin (Sample B) and fumed silica in Example 4 were used with the exception of removal of TX100 and TEA from the chemical package and lowering the slurry pH from 5 to 4 (Comparative C). In addition, an alumina-based commercial slurry from Cabot Microelectronics (CCMP) was also used (Comparative D). [0090]
  • The CMP slurries were tested on bare 200 mm TEOS wafers as well as coated with either copper or tantalum to determine the polishing rate to aid in estimating the polishing time for the patterned wafers, surface smoothness and selectivity between copper/tanatalum as well as copper/silica. The CMP slurries were then tested on 200 mm Si wafers provided with copper interconnects and Ta diffusion barrier by the dual damascene process (patterned wafers) to assess the erosion and dishing. Erosion was measured at 70% patterned density while the dishing was measured on 150 micron width copper line. The dishing and erosion measurements were done on both the polished and overpolished wafers (20% extra time over polished wafers) to determine sensitivity to overpolishing. [0091]
  • The testing was done on the same machine as in Example 4 and at a down pressure of 2 psi and platen speed of 90 rpm. [0092]
    Blanket Wafers
    Abrasive in CMP Material Removal Rate Copper/TEOS
    slurry (nm/min) WIWNU, % Selectivity
    Example 5 173 1 1020
    Comparative C 224 1 83
    Comparative D 127 17 52
  • Clearly, ultrafine hydrous kaolin A based CMP slurry resulted in an improved material removal rate and a dramatic improvement in selectivity and uniformity when compared to the commercial slurry. Compared to silica, the removal rate was slightly lower but this factor is far outweighed by dramatic increase in Cu/TEOS selectivity. The very high selectivity is due to extremely low removal rates for TEOS with the ultrafine hydrous that results in low erosion and almost no oxide and thus metal loss, which the semiconductor circuit designer has to normally compensate for in the design. [0093]
  • Patterned Wafers
  • Just-Polished: estimated by visual inspection of the wafer when copper is just cleared. [0094]
  • Overpolished: New patterned wafer polished with 20% extra time over that required to clear copper. [0095]
    Polished Overpolished
    Condition Condition
    Abrasive in CMP Dishing Erosion Dishing Erosion
    slurry (nm) (nm) (nm) (nm)
    Example 5 405 25 510 32
    Comparative C 503 223 466 247
    Comparative D 131 45 133 70
  • The ultrafine hydrous kaolin based CMP slurry resulted in roughly 10% of the erosion due to silica and 50% of the erosion due to the commercial CCMP slurry. The commercial slurry resulted in better dishing compared to both silica and the hydrous kaolin sample showing the importance of the optimized chemistry of the formulation. [0096]

Claims (20)

What is claimed is:
1. A chemical-mechanical planarization abrasive slurry comprising primary abrasive particles having a non-spherical morphology.
2. The slurry of claim 1 wherein said abrasive particles having a non-spherical morphology are selected from mica, talc, laminar clays, graphite flake, glass flake, and synthetic polymer flake.
3. The slurry of claim 2 wherein said abrasive particles comprise laminar clay.
4. The slurry of claim 3 wherein said clay particles have been calcined at a temperature of at least 1200° F.
5. The slurry of claim 1 wherein said slurry comprises up to about 20 weight % of said abrasive particles.
6. The slurry of claim 5 wherein said slurry comprises about 0.5 to about 8 weight % of said abrasive particles.
7. The slurry of claim 1 wherein said abrasive particles have an average diameter of less than about 1 micron.
8. The slurry of claim 7 wherein said abrasive particles have an average diameter of about 0.01 to about 0.5 micron.
9. The slurry of claim 1, wherein said abrasive particles have a Mohs hardness within the range of about 1 to about 6.
10. The slurry of claim 1 wherein said non-spherical abrasive particles have been modified via complexation with other components.
11. The slurry of claim 10 wherein said modified non-spherical abrasive particles are substantially separated by inorganic cations.
12. The slurry of claim 1 wherein said non-spherical abrasive particles are at least partially coated.
13. The slurry of claim 12 wherein said non-spherical abrasive particles are at least partially coated with platelets, polymer, carbon, organic functional groups, or crystallites.
14. A method of planarizing a semiconductor with a chemical-mechanical abrasive slurry, said semiconductor comprising a surface of semiconductive material, metal, dielectric material or mixtures thereof, said method comprising contacting said surface with said chemical-mechanical abrasive slurry, said chemical-mechanical abrasive slurry comprising a primary particle abrasive having a non-spherical morphology.
15. The method of claim 14 wherein said particle abrasive is selected from mica, talc, laminar clays, graphite flake, glass flake, and synthetic polymer flake.
16. The method of claim 15 wherein said particle abrasive is laminar clay.
17. The method of claim 16 wherein said clay has been calcined at a temperature of at least 1200° F.
18. The method of claim 14 wherein said slurry contains up to about 20% by weight of said particle abrasive.
19. The method of claim 14 wherein said slurry comprises from about 0.5 to about 8 weight % of said particle abrasive.
20. The method of claim 14 wherein said particle abrasive has an average diameter of less than about 1 micron.
US10/792,738 2003-03-17 2004-03-05 Slurry compositions for use in a chemical-mechanical planarization process Abandoned US20040216388A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/792,738 US20040216388A1 (en) 2003-03-17 2004-03-05 Slurry compositions for use in a chemical-mechanical planarization process
PCT/US2004/007468 WO2004083328A2 (en) 2003-03-17 2004-03-11 Slurry compositions for use in a chemical-mechanical planarization process having non-spherical abrasive particles
KR1020057017570A KR20050111391A (en) 2003-03-17 2004-03-11 Slurry compositions for use in a chemical-mechanical planarization process having non-spherical abrasive particles
JP2006507090A JP2007525815A (en) 2003-03-17 2004-03-11 Slurry compositions for use in chemical-mechanical planarization processes
EP04719748A EP1620517A2 (en) 2003-03-17 2004-03-11 Slurry compositions for use in a chemical-mechanical planarization process having non-spherical abrasive particles

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45521603P 2003-03-17 2003-03-17
US50944503P 2003-10-08 2003-10-08
US10/792,738 US20040216388A1 (en) 2003-03-17 2004-03-05 Slurry compositions for use in a chemical-mechanical planarization process

Publications (1)

Publication Number Publication Date
US20040216388A1 true US20040216388A1 (en) 2004-11-04

Family

ID=33314230

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/792,738 Abandoned US20040216388A1 (en) 2003-03-17 2004-03-05 Slurry compositions for use in a chemical-mechanical planarization process

Country Status (5)

Country Link
US (1) US20040216388A1 (en)
EP (1) EP1620517A2 (en)
JP (1) JP2007525815A (en)
KR (1) KR20050111391A (en)
WO (1) WO2004083328A2 (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050072054A1 (en) * 2003-10-02 2005-04-07 Amcol International Corporation, A Delaware Corporation Chemical-mechanical polishing (CMP) slurry and method of planarizing computer memory disk surfaces
US20060283093A1 (en) * 2005-06-15 2006-12-21 Ivan Petrovic Planarization composition
US20070087667A1 (en) * 2005-09-30 2007-04-19 Saint-Gobain Ceramics & Plastics, Inc. Polishing slurries and methods for utilizing same
US20070191244A1 (en) * 2006-01-25 2007-08-16 Cho Seung B CMP slurry and method for polishing semiconductor wafer using the same
WO2008069781A1 (en) * 2006-12-04 2008-06-12 Basf Se Planarization composition for metal surfaces comprising an alumina hydrate abrasive
US20080134585A1 (en) * 2006-12-06 2008-06-12 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US7763577B1 (en) * 2009-02-27 2010-07-27 Uwiz Technology Co., Ltd. Acidic post-CMP cleaning composition
US20100258143A1 (en) * 2009-04-13 2010-10-14 Microchip Technology Incorporated Scrubber clean before oxide chemical mechanical polish (cmp) for reduced microscratches and improved yields
US7919815B1 (en) * 2005-02-24 2011-04-05 Saint-Gobain Ceramics & Plastics, Inc. Spinel wafers and methods of preparation
US8440602B2 (en) 2009-12-22 2013-05-14 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a divinyl benzene cross-linked styrene polymer
US8440603B2 (en) 2011-06-20 2013-05-14 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a polylactic acid biodegradable abrasive
US8440604B2 (en) 2008-09-30 2013-05-14 The Procter & Gamble Company Liquid hard surface cleaning composition
US8445422B2 (en) 2010-09-21 2013-05-21 The Procter & Gamble Company Liquid cleaning composition
US8470759B2 (en) 2011-06-20 2013-06-25 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a polyhydroxy-alkanoate biodegradable abrasive
KR101279971B1 (en) 2008-12-31 2013-07-05 제일모직주식회사 CMP slurry composition for polishing copper barrier layer, polishing method using the composition, and semiconductor device manifactured by the method
US8546316B2 (en) 2010-09-21 2013-10-01 The Procter & Gamble Company Liquid detergent composition with natural abrasive particles
US8551932B2 (en) 2008-09-30 2013-10-08 The Procter & Gamble Company Liquid hard surface cleaning composition
US8569223B2 (en) 2008-09-30 2013-10-29 The Procter & Gamble Company Liquid hard surface cleaning composition
US8629095B2 (en) 2010-04-21 2014-01-14 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising polyurethane foam abrasive particles
US8680036B2 (en) 2009-12-22 2014-03-25 The Procter & Gamble Company Liquid cleaning composition comprising color-stable polyurethane abrasive particles
US8759270B2 (en) 2011-06-20 2014-06-24 The Procter & Gamble Company Liquid detergent composition with abrasive particles
US8852643B2 (en) 2011-06-20 2014-10-07 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
US9163201B2 (en) 2012-10-15 2015-10-20 The Procter & Gamble Company Liquid detergent composition with abrasive particles
US20160104629A1 (en) * 2012-02-03 2016-04-14 Samsung Electronics Co., Ltd. Apparatus and a method for treating a substrate
US9353337B2 (en) 2010-09-21 2016-05-31 The Procter & Gamble Company Liquid cleaning composition
EP3004304A4 (en) * 2013-05-31 2016-06-08 Unilever Nv Composition for cleaning of hard surfaces
CN114539813A (en) * 2020-11-18 2022-05-27 华为技术有限公司 Non-spherical silica particles, preparation method thereof and polishing solution
US11781039B2 (en) * 2016-12-26 2023-10-10 Fujimi Incorporated Polishing composition and polishing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223156B2 (en) 2003-11-14 2007-05-29 Amcol International Corporation Method chemical-mechanical polishing and planarizing corundum, GaAs, GaP and GaAs/GaP alloy surfaces

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2441534A (en) * 1940-01-24 1948-05-11 Babcock & Wilcox Co Abrasive materials and method of manufacturing the same
US3105013A (en) * 1961-09-14 1963-09-24 Bristol Myers Co Fluoride dentifrices containing calcined aluminum silicate abrasives
US3715842A (en) * 1970-07-02 1973-02-13 Tizon Chem Corp Silica polishing compositions having a reduced tendency to scratch silicon and germanium surfaces
US4038099A (en) * 1971-08-30 1977-07-26 The Mearl Corporation Rutile-coated mica nacreous pigments and process for the preparation thereof
US4122163A (en) * 1976-08-02 1978-10-24 Indiana University Foundation Dentifrice preparation comprising purified, calcined kaolin abrasives
US4549374A (en) * 1982-08-12 1985-10-29 International Business Machines Corporation Method for polishing semiconductor wafers with montmorillonite slurry
US4755223A (en) * 1986-08-22 1988-07-05 Antonio Castaldo Liquid composition for cleaning and polishing cymbals comprising kaolin clay
US4767466A (en) * 1986-09-16 1988-08-30 Engelhard Corporation Bulking pigments
US4956015A (en) * 1988-01-19 1990-09-11 Mitsubishi Kasei Corporation Polishing composition
US4959113A (en) * 1989-07-31 1990-09-25 Rodel, Inc. Method and composition for polishing metal surfaces
US5354490A (en) * 1992-06-04 1994-10-11 Micron Technology, Inc. Slurries for chemical mechanically polishing copper containing metal layers
US5516346A (en) * 1993-11-03 1996-05-14 Intel Corporation Slurries for chemical mechanical polishing
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5906949A (en) * 1995-11-14 1999-05-25 Sony Corporation Chemical-mechanical polishing process
US6037260A (en) * 1998-02-20 2000-03-14 Industrial Technology Research Institute Polishing composition
US6187710B1 (en) * 1994-09-02 2001-02-13 Roland Jacobus Martinus Josephus Vogels Synthetic swelling clay minerals
US6207630B1 (en) * 1997-08-19 2001-03-27 Micron Technology, Inc. Processing compositions and methods of using same
US6322425B1 (en) * 1999-07-30 2001-11-27 Corning Incorporated Colloidal polishing of fused silica
US6391467B1 (en) * 1999-07-08 2002-05-21 Exxonmobil Oil Corporation Cast film made from metallocene-catalyzed polypropylene
US6475607B2 (en) * 1999-01-26 2002-11-05 Murata Manufacturing Co., Ltd. Dielectric ceramic composition and multilayered ceramic substrate
US6475407B2 (en) * 1998-05-19 2002-11-05 Showa Denko K.K. Composition for polishing metal on semiconductor wafer and method of using same
US6508952B1 (en) * 1999-06-16 2003-01-21 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US6562091B2 (en) * 1998-10-26 2003-05-13 Hyundai Electronics Industries Co., Ltd. Slurry for chemical mechanical polishing of a semiconductor device and preparation method thereof
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US20050074975A1 (en) * 2003-10-02 2005-04-07 American Colloid Company Chemical-mechanical polishing (CMP) slurry and method of planarizing surfaces

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05154760A (en) * 1991-12-02 1993-06-22 Fujimi Inkooporeetetsudo:Kk Polishing composition and polishing method for silicon wafer
JP3514908B2 (en) * 1995-11-13 2004-04-05 株式会社東芝 Abrasive
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
JP4557105B2 (en) * 1999-05-28 2010-10-06 日産化学工業株式会社 Polishing composition
US6881674B2 (en) * 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
JP2002220584A (en) * 2001-01-29 2002-08-09 Sumitomo Chem Co Ltd Precision abrasive
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2441534A (en) * 1940-01-24 1948-05-11 Babcock & Wilcox Co Abrasive materials and method of manufacturing the same
US3105013A (en) * 1961-09-14 1963-09-24 Bristol Myers Co Fluoride dentifrices containing calcined aluminum silicate abrasives
US3715842A (en) * 1970-07-02 1973-02-13 Tizon Chem Corp Silica polishing compositions having a reduced tendency to scratch silicon and germanium surfaces
US4038099A (en) * 1971-08-30 1977-07-26 The Mearl Corporation Rutile-coated mica nacreous pigments and process for the preparation thereof
US4122163A (en) * 1976-08-02 1978-10-24 Indiana University Foundation Dentifrice preparation comprising purified, calcined kaolin abrasives
US4549374A (en) * 1982-08-12 1985-10-29 International Business Machines Corporation Method for polishing semiconductor wafers with montmorillonite slurry
US4755223A (en) * 1986-08-22 1988-07-05 Antonio Castaldo Liquid composition for cleaning and polishing cymbals comprising kaolin clay
US4767466A (en) * 1986-09-16 1988-08-30 Engelhard Corporation Bulking pigments
US4956015A (en) * 1988-01-19 1990-09-11 Mitsubishi Kasei Corporation Polishing composition
US4959113A (en) * 1989-07-31 1990-09-25 Rodel, Inc. Method and composition for polishing metal surfaces
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US5354490A (en) * 1992-06-04 1994-10-11 Micron Technology, Inc. Slurries for chemical mechanically polishing copper containing metal layers
US5516346A (en) * 1993-11-03 1996-05-14 Intel Corporation Slurries for chemical mechanical polishing
US6187710B1 (en) * 1994-09-02 2001-02-13 Roland Jacobus Martinus Josephus Vogels Synthetic swelling clay minerals
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5906949A (en) * 1995-11-14 1999-05-25 Sony Corporation Chemical-mechanical polishing process
US6207630B1 (en) * 1997-08-19 2001-03-27 Micron Technology, Inc. Processing compositions and methods of using same
US6037260A (en) * 1998-02-20 2000-03-14 Industrial Technology Research Institute Polishing composition
US6475407B2 (en) * 1998-05-19 2002-11-05 Showa Denko K.K. Composition for polishing metal on semiconductor wafer and method of using same
US6562091B2 (en) * 1998-10-26 2003-05-13 Hyundai Electronics Industries Co., Ltd. Slurry for chemical mechanical polishing of a semiconductor device and preparation method thereof
US6475607B2 (en) * 1999-01-26 2002-11-05 Murata Manufacturing Co., Ltd. Dielectric ceramic composition and multilayered ceramic substrate
US6508952B1 (en) * 1999-06-16 2003-01-21 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US6391467B1 (en) * 1999-07-08 2002-05-21 Exxonmobil Oil Corporation Cast film made from metallocene-catalyzed polypropylene
US6322425B1 (en) * 1999-07-30 2001-11-27 Corning Incorporated Colloidal polishing of fused silica
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US20050074975A1 (en) * 2003-10-02 2005-04-07 American Colloid Company Chemical-mechanical polishing (CMP) slurry and method of planarizing surfaces

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050072054A1 (en) * 2003-10-02 2005-04-07 Amcol International Corporation, A Delaware Corporation Chemical-mechanical polishing (CMP) slurry and method of planarizing computer memory disk surfaces
US20050074975A1 (en) * 2003-10-02 2005-04-07 American Colloid Company Chemical-mechanical polishing (CMP) slurry and method of planarizing surfaces
US7087529B2 (en) * 2003-10-02 2006-08-08 Amcol International Corporation Chemical-mechanical polishing (CMP) slurry and method of planarizing surfaces
US20060226125A1 (en) * 2003-10-02 2006-10-12 Amcol International Corporation Chemical-mechanical polishing (CMP) slurry and method of planarizing computer memory disk surfaces
US7267784B2 (en) * 2003-10-02 2007-09-11 Amcol International Corporation Chemical-mechanical polishing (CMP) slurry and method of planarizing computer memory disk surfaces
US7919815B1 (en) * 2005-02-24 2011-04-05 Saint-Gobain Ceramics & Plastics, Inc. Spinel wafers and methods of preparation
US20060283093A1 (en) * 2005-06-15 2006-12-21 Ivan Petrovic Planarization composition
US20070087667A1 (en) * 2005-09-30 2007-04-19 Saint-Gobain Ceramics & Plastics, Inc. Polishing slurries and methods for utilizing same
US8105135B2 (en) 2005-09-30 2012-01-31 Saint-Gobain Ceramics & Plastics, Inc. Polishing slurries
KR101022982B1 (en) * 2005-09-30 2011-03-18 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 Polishing slurries and methods for utilizing same
US20070191244A1 (en) * 2006-01-25 2007-08-16 Cho Seung B CMP slurry and method for polishing semiconductor wafer using the same
US7736530B2 (en) * 2006-01-25 2010-06-15 Lg Chem, Ltd. CMP slurry and method for polishing semiconductor wafer using the same
WO2008069781A1 (en) * 2006-12-04 2008-06-12 Basf Se Planarization composition for metal surfaces comprising an alumina hydrate abrasive
US9343330B2 (en) 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US20080134585A1 (en) * 2006-12-06 2008-06-12 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US8440604B2 (en) 2008-09-30 2013-05-14 The Procter & Gamble Company Liquid hard surface cleaning composition
US8569223B2 (en) 2008-09-30 2013-10-29 The Procter & Gamble Company Liquid hard surface cleaning composition
US8551932B2 (en) 2008-09-30 2013-10-08 The Procter & Gamble Company Liquid hard surface cleaning composition
KR101279971B1 (en) 2008-12-31 2013-07-05 제일모직주식회사 CMP slurry composition for polishing copper barrier layer, polishing method using the composition, and semiconductor device manifactured by the method
US7763577B1 (en) * 2009-02-27 2010-07-27 Uwiz Technology Co., Ltd. Acidic post-CMP cleaning composition
US20100258143A1 (en) * 2009-04-13 2010-10-14 Microchip Technology Incorporated Scrubber clean before oxide chemical mechanical polish (cmp) for reduced microscratches and improved yields
US8680036B2 (en) 2009-12-22 2014-03-25 The Procter & Gamble Company Liquid cleaning composition comprising color-stable polyurethane abrasive particles
US9163200B2 (en) 2009-12-22 2015-10-20 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
US8440602B2 (en) 2009-12-22 2013-05-14 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a divinyl benzene cross-linked styrene polymer
US8629095B2 (en) 2010-04-21 2014-01-14 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising polyurethane foam abrasive particles
US9353337B2 (en) 2010-09-21 2016-05-31 The Procter & Gamble Company Liquid cleaning composition
US8546316B2 (en) 2010-09-21 2013-10-01 The Procter & Gamble Company Liquid detergent composition with natural abrasive particles
US8445422B2 (en) 2010-09-21 2013-05-21 The Procter & Gamble Company Liquid cleaning composition
US8440603B2 (en) 2011-06-20 2013-05-14 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a polylactic acid biodegradable abrasive
US8703685B2 (en) 2011-06-20 2014-04-22 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising polylactic acid abrasives
US8759270B2 (en) 2011-06-20 2014-06-24 The Procter & Gamble Company Liquid detergent composition with abrasive particles
US8852643B2 (en) 2011-06-20 2014-10-07 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
US8470759B2 (en) 2011-06-20 2013-06-25 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a polyhydroxy-alkanoate biodegradable abrasive
US20160104629A1 (en) * 2012-02-03 2016-04-14 Samsung Electronics Co., Ltd. Apparatus and a method for treating a substrate
US9721801B2 (en) * 2012-02-03 2017-08-01 Samsung Electronics Co., Ltd. Apparatus and a method for treating a substrate
US9163201B2 (en) 2012-10-15 2015-10-20 The Procter & Gamble Company Liquid detergent composition with abrasive particles
EP3004304A4 (en) * 2013-05-31 2016-06-08 Unilever Nv Composition for cleaning of hard surfaces
US11781039B2 (en) * 2016-12-26 2023-10-10 Fujimi Incorporated Polishing composition and polishing method
CN114539813A (en) * 2020-11-18 2022-05-27 华为技术有限公司 Non-spherical silica particles, preparation method thereof and polishing solution

Also Published As

Publication number Publication date
WO2004083328A3 (en) 2004-11-11
WO2004083328A2 (en) 2004-09-30
EP1620517A2 (en) 2006-02-01
JP2007525815A (en) 2007-09-06
KR20050111391A (en) 2005-11-24

Similar Documents

Publication Publication Date Title
US20040216388A1 (en) Slurry compositions for use in a chemical-mechanical planarization process
US20060283093A1 (en) Planarization composition
KR101134827B1 (en) Abrasive particles for chemical mechanical polishing
US5759917A (en) Composition for oxide CMP
US6447694B1 (en) Composition for chemical mechanical polishing
US8557006B2 (en) Chemical mechanical polishing slurry, its preparation method and use for the same
US7112123B2 (en) Chemical-mechanical polishing (CMP) slurry containing clay and CeO2 abrasive particles and method of planarizing surfaces
CA2335035A1 (en) Chemical mechanical polishing slurry and method for using same
JPWO2007116770A1 (en) Chemical mechanical polishing aqueous dispersion, chemical mechanical polishing method, and kit for preparing chemical mechanical polishing aqueous dispersion
KR101672809B1 (en) Method of chemical mechanical polishing a substrate with polishing composition adapted to enhance silicon oxide removal
KR102322420B1 (en) Low defect chemical mechanical polishing composition
US20080020578A1 (en) Composition for Chemical-Mechanical Polishing (Cmp)
WO2008069781A1 (en) Planarization composition for metal surfaces comprising an alumina hydrate abrasive
EP0982766A1 (en) Process for chemo-mechanical polishing of a copper-based material layer
US20070011952A1 (en) Chemical-mechanical polishing (CMP) slurry containing clay and CeO2 abrasive particles and method of planarizing surfaces
Paik et al. Nanoparticle engineering for chemical-mechanical planarization: Fabrication of next-generation nanodevices
TW201410854A (en) Polishing composition for CMP and device wafer producing method using the polishing composition for CMP
KR101279970B1 (en) CMP slurry composition for polishing metal wiring

Legal Events

Date Code Title Description
AS Assignment

Owner name: ENGELHARD CORPORATION, NEW JERSEY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MATHUR, SHARAD;MOINI, AHMAD;PETROVIC, IVAN;REEL/FRAME:014814/0992;SIGNING DATES FROM 20040628 TO 20040701

AS Assignment

Owner name: BASF CATALYSTS LLC, NEW JERSEY

Free format text: CHANGE OF NAME;ASSIGNOR:ENGELHARD CORPORATION;REEL/FRAME:022888/0961

Effective date: 20060801

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION