US20040200411A1 - Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field - Google Patents

Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field Download PDF

Info

Publication number
US20040200411A1
US20040200411A1 US10/776,881 US77688104A US2004200411A1 US 20040200411 A1 US20040200411 A1 US 20040200411A1 US 77688104 A US77688104 A US 77688104A US 2004200411 A1 US2004200411 A1 US 2004200411A1
Authority
US
United States
Prior art keywords
template
substrate
layer
ito
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/776,881
Inventor
Carlton Willson
Sidlgata Sreenivasan
Roger Bonnecaze
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Priority to US10/776,881 priority Critical patent/US20040200411A1/en
Publication of US20040200411A1 publication Critical patent/US20040200411A1/en
Priority to US12/052,099 priority patent/US20080305440A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/40Distributing applied liquids or other fluent materials by members moving relatively to surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C2043/3205Particular pressure exerting means for making definite articles
    • B29C2043/3211Particular pressure exerting means for making definite articles magnets

Definitions

  • This invention generally relates to the area of low cost, high-resolution, high-throughput lithography with the potential to make structures that are below 100 nm in size.
  • Optical lithography techniques are currently used to make microelectronic devices. However, these methods are reaching their limits in resolution.
  • Sub-micron scale lithography has been a critical process in the microelectronics industry. The use of sub-micron scale lithography allows manufacturers to meet the increased demand for smaller and more densely packed electronic components on chips. The finest structures producible in the microelectronics industry are currently on the order of about 0.13 ⁇ m. It is expected that in the coming years, the microelectronics industry will pursue structures that are smaller than 0.05 ⁇ m (50 nm). Further, there are emerging applications of nanometer scale lithography in the areas of opto-electronics and magnetic storage. For example, photonic crystals and high-density patterned magnetic memory of the order of terabytes per square inch require nanometer scale lithography.
  • optical lithography techniques may require the use of very short wavelengths of light (for instance 13.2 nm). At these short wavelengths, few, if any, materials are optically transparent and therefore imaging systems typically have to be constructed using complicated reflective optics [1]. Furthermore, obtaining a light source that has sufficient output intensity at these wavelengths of light is difficult. Such systems lead to extremely complicated equipment and processes that appear to be prohibitively expensive. High-resolution e-beam lithography techniques, though very precise, typically are too slow for high-volume commercial applications.
  • the present invention is directed to an apparatus for patterning a liquid on a substrate, with the apparatus including, a template having a pair of spaced-apart recessions with a protrusion disposed therebetween, with the protrusion being spaced-apart from the substrate a first distance and each of the pair of spaced-apart recessions being spaced-apart from the substrate a second distance, with the second distance being greater than the first distance; and a source of voltage in electrical communication with the template to produce an electric field between the template and the substrate, with a strength of the electrical field being inversely proportional to the first and second distances.
  • FIGS. 1A-1E illustrate a version of the imprint lithography process according to the invention
  • FIG. 2 is a process flow diagram showing the sequence of steps of the imprint lithography process of FIGS. 1A-1E;
  • FIG. 3 is a side view of a template positioned over a substrate for electric field based lithography
  • FIG. 4 is a side view of a process for forming nanoscale structures using direct contact with a template
  • FIG. 5 is a side view of a process for forming nanoscale structures using non-direct contact with a template
  • FIG. 6 is a side view of a substrate holder configured to alter the planarity of the substrate.
  • FIG. 7 is a side view of an apparatus for positioning a template over a substrate.
  • FIGS. 1A through 1E illustrate an imprint lithography process according to the invention, denoted generally as 10 .
  • a template 12 is orientated in spaced relation to a substrate 14 so that a gap 16 is formed in the space separating template 12 and substrate 14 .
  • a surface 18 of template 12 is treated with a thin layer 20 that lowers the template surface energy and assists in separation of template 12 from substrate 14 .
  • the manner of orientation including devices for controlling of gap 16 between template 12 and substrate 14 are discussed below.
  • gap 16 is filled with a substance 22 that conforms to the shape of surface 18 .
  • substance 22 is a liquid so that it fills the space of gap 16 rather easily without the use of high temperatures and gap 16 can be closed without requiring high pressures.
  • a curing agent 24 shown in FIG. 1C, is applied to template 12 causing substance 22 to harden and to assume the shape of the space defined by gap 16 between template 12 and substrate 14 .
  • desired features 26 shown in FIG. 1D, from template 12 are transferred to the upper surface of substrate 14 .
  • a transfer layer 28 is provided directly on the upper surface of substrate 14 which facilitates the amplification of features transferred from template 12 onto substrate 14 to generate high aspect ratio features.
  • template 12 is removed from substrate 14 leaving the desired features 26 thereon.
  • the separation of template 12 from substrate 14 must be done so that desired features 26 remain intact without shearing or tearing from the surface of substrate 14 .
  • FIG. 1E features 26 transferred from template 12 , shown in FIG. 1D, to substrate 14 are amplified in vertical size by the action of transfer layer 28 , as is known in the use of bi-layer resist processes.
  • the resulting structure can be further processed to complete the manufacturing process using well-known techniques.
  • FIG. 2 summarizes the imprint lithography process, denoted generally as 30 , of the present invention in flow chart form.
  • step 32 course orientation of a template and a substrate is performed so that a rough alignment of the template and the substrate is achieved.
  • the advantage of course orientation at step 32 is that it allows pre-calibration in a manufacturing environment where numerous devices are to be manufactured with efficiency and with high production yields.
  • course alignment step 32
  • course alignment can be performed once on the first die and applied to all other dies during a single production run. In this way, production cycle times are reduced and yields are increased.
  • the spacing between the template and the substrate is controlled so that a relatively uniform gap is created between the two layers permitting the type of precise orientation required for successful imprinting.
  • the present invention provides a device and a system for achieving the type of orientation (both course and fine) required at step 34 .
  • a liquid is dispensed into the gap between the template and the substrate.
  • the liquid is a UV curable organosilicon solution or other organic liquids that become a solid when exposed to UV light. The fact that a liquid is used eliminates the need for high temperatures and high pressures associated with prior art lithography techniques.
  • the gap is closed with fine orientation of the template about the substrate and the liquid is cured resulting in a hardening of the liquid into a form having the features of the template.
  • the template is separated from the substrate, step 40 , resulting in features from the template being imprinted or transferred onto the substrate.
  • the structure is etched, step 42 , using a preliminary etch to remove residual material and a well-known oxygen etching technique to etch the transfer layer.
  • the embodiments described herein may potentially create lithographic patterned structures quickly (in a time of less than about 1 second).
  • the structures may have sizes of tens of nanometers.
  • the structures may be created by curing a polymerizable composition (e.g., a spin-coated UV curable liquid) in the presence of electric fields. Curing the polymerizable composition then sets the pattern of structures on the substrate.
  • the pattern may be created by placing a template with a specific nanometer-scale topography at a carefully controlled nanoscale distance from the surface of a thin layer of the liquid on a substrate. If all or a portion of the desired structures are regularly repeating patterns (such as an array of dots), the pattern on the template may be considerably larger than the size of the desired repeating structures.
  • the template may be formed using direct write e-beam lithography.
  • the template may be used repeatedly in a high-throughput process to replicate nanostructures onto substrates.
  • the template may be fabricated from a conducting material such as Indium Tin Oxide that is also transparent to UV light.
  • the template fabrication process is similar to that of phase shift photomasks for optical lithography; phase shift masks require an etch step that creates a topography on the template.
  • the replication of the pattern on the template may be achieved by applying an electric field between the template and the substrate. Because the liquid and air (or vacuum) have different dielectric constants and the electric field varies locally due to the presence of the topography of the template, an electrostatic force may be generated that attracts regions of the liquid toward the template.
  • the polymerizable composition may be made to attach to the template and dewet from the substrate at certain points. This polymerizable composition may be hardened in place by polymerization of the composition.
  • the template may be treated with a low energy self-assembled monolayer film (e.g., a fluorinated surfactant) to aid in detachment of the template the polymerized composition.
  • a low energy self-assembled monolayer film e.g., a fluorinated surfactant
  • the polymerizable composition may be deposited on top of a hard-baked resist material to lead to a bi-layer process.
  • a bi-layer process allows for the formation of low aspect ratio, high-resolution structures using the electrical fields followed by an anisotropic etch that results in high-aspect ratio, high-resolution structures.
  • Such a bi-layer process may also be used to perform a “metal lift-off process” to deposit a metal on the substrate such that the metal is left behind after lift-off in the trench areas of the originally created structures.
  • the pattern formation due to the electric field may be fast (e.g., less than about 1 sec.), and the structure may be rapidly cured. Avoiding temperature variations in the substrate and the polymerizable composition may also avoid undesirable pattern distortion that makes nano-resolution layer-to-layer alignment impractical. In addition, as mentioned above, it is possible to quickly form a pattern without contact with the template, thus eliminating defects associated with imprint methods that require direct contact.
  • FIG. 3 depicts an embodiment of the template and the substrate designs.
  • Template 12 may be formed from a material that is transparent to activating light produced by curing agent 24 to allow curing of substance 22 , with substance 22 being a polymerizable composition, by exposure to activating light. Forming template 12 from a transparent material may also allow the use of established optical techniques to measure gap 16 between template 12 and substrate 14 and to measure overlay marks to perform overlay alignment and magnification correction during formation of the structures. Template 12 may also be thermally and mechanically stable to provide nano-resolution patterning capability. Template 12 may also include an electrically conducting material to allow electric fields to be generated at the template-substrate interface.
  • a thick blank of fused silica has been chosen as the base material for template 12 .
  • Indium Tin Oxide (ITO) may be deposited onto the fused Silica.
  • ITO is transparent to visible and UV light and is a conducting material.
  • ITO may be patterned using high-resolution e-beam lithography.
  • Thin layer 20 (for example, a fluorine containing self-assembly monolayer) may be coated onto template 12 to improve the release characteristics between template 12 and substance 22 .
  • Substrate 14 may include standard wafer materials, such as Si, GaAs, SiGeC and InP.
  • a UV curable liquid may be used as substance 22 .
  • Substance 22 may be spin coated onto substrate 14 .
  • Transfer layer 28 may be placed between substrate 14 and substance 22 .
  • Transfer layer 28 may be used for bi-layer process.
  • Transfer layer 28 material properties and thickness may be chosen to allow for the creation of high-aspect ratio structures from low-aspect ratio structures created in substance 22 .
  • An electric field may be generated between template 12 and substrate 14 by connecting the ITO to a voltage source.
  • FIGS. 4 and 5 two variants of the above-described process are presented.
  • a desired uniform gap 16 may be maintained between template 12 and substrate 14 .
  • An electric field of the desired magnitude may be applied resulting in the attraction of substance 22 towards the raised portions of template 12 .
  • gap 16 and the field magnitudes are such that substance 22 makes direct contact and adheres to template- 12 .
  • a UV curing process may be used to harden substance 22 in that configuration.
  • gap 16 and the field magnitudes are chosen such that substance 22 achieves a topography that is essentially the same as that of template 12 . This topography may be achieved without making direct contact with template 12 .
  • a UV curing process may be used to harden substance 22 in that configuration.
  • a subsequent etch process may be used to eliminate the residual layer of the UV cured material.
  • a further etch may also be used if transfer layer 28 is present between substance 22 and substrate 14 , as shown in FIGS. 4 and 5. As mentioned earlier, transfer layer 28 may be used to obtain a high-aspect ratio structure from a low aspect ratio structure created in substance 22 .
  • FIG. 6 illustrates mechanical devices that may increase the planarity of the substrate.
  • the template may be formed from high-quality optical flats of fused-silica with Indium Tin Oxide deposited on the fused silica. Therefore, the template typically possess extremely high planarity.
  • the substrates typically have low planarity. Sources of variations in the planarity of the substrate include poor finishing of the back side of the wafer, the presence of particular contaminants trapped between the wafer and the wafer chuck, and wafer distortions caused by thermal processing of the wafer.
  • the substrate may be mounted on a chuck whose top surface shape may be altered by a large array of piezoelectric actuators.
  • the chuck thickness may be such that accurate corrections in surface topography of up to a few microns may be achieved.
  • the substrate may be mounted to the chuck such that it substantially conforms to the shape of the chuck.
  • a sensing system e.g., an optical surface topography measurement system
  • the array of piezoelectric actuators may be actuated to rectify the topography variations such that the upper surface of the substrate exhibits a planarity of less than about lam. Since the template is assumed to be made from an optically flat material, this leads to template and substrate that are high quality planar surfaces.
  • the mechanical device in FIG. 7 may be used to perform a high-resolution gap control at the template-substrate interface.
  • This device may control two tilting degrees of freedom (about orthogonal axes that lie on the surface of the template) and the vertical translation degree of freedom of the template.
  • the magnitude of the gap between the template and the substrate may be measured in real-time. These real-time measurements may be used to identify the corrective template motions required about the tilting degrees of freedom and the vertical displacement degree of freedom.
  • the three gap measurements may be obtained by using a broadband optical interferometric approach that is similar to the one used for measuring thicknesses of thin films and thin film stacks. This approach of capacitive sensing may also be used for measuring these three gaps.

Abstract

The present invention is directed to an apparatus for patterning a liquid on a substrate, with the apparatus including, a template having a pair of spaced-apart recessions with a protrusion disposed therebetween, with the protrusion being spaced-apart from the substrate a first distance and each of the pair of spaced-apart recessions being spaced-apart from the substrate a second distance, with the second distance being greater than the first distance; and a source of voltage in electrical communication with the template to produce an electric field between the template and the substrate, with a strength of the electrical field being inversely proportional to the first and second distances.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a divisional of U.S. patent application Ser. No. 09/905,718 filed on May 16, 2001 entitled “Method and System for Fabricating Nanoscale Patterns in Light Curable Compositions using an Electric Field,” which is incorporated by reference herein.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • This invention generally relates to the area of low cost, high-resolution, high-throughput lithography with the potential to make structures that are below 100 nm in size. [0003]
  • 2. Description of the Relevant Art [0004]
  • Optical lithography techniques are currently used to make microelectronic devices. However, these methods are reaching their limits in resolution. Sub-micron scale lithography has been a critical process in the microelectronics industry. The use of sub-micron scale lithography allows manufacturers to meet the increased demand for smaller and more densely packed electronic components on chips. The finest structures producible in the microelectronics industry are currently on the order of about 0.13 μm. It is expected that in the coming years, the microelectronics industry will pursue structures that are smaller than 0.05 μm (50 nm). Further, there are emerging applications of nanometer scale lithography in the areas of opto-electronics and magnetic storage. For example, photonic crystals and high-density patterned magnetic memory of the order of terabytes per square inch require nanometer scale lithography. [0005]
  • For making sub-50 nm structures, optical lithography techniques may require the use of very short wavelengths of light (for instance 13.2 nm). At these short wavelengths, few, if any, materials are optically transparent and therefore imaging systems typically have to be constructed using complicated reflective optics [1]. Furthermore, obtaining a light source that has sufficient output intensity at these wavelengths of light is difficult. Such systems lead to extremely complicated equipment and processes that appear to be prohibitively expensive. High-resolution e-beam lithography techniques, though very precise, typically are too slow for high-volume commercial applications. [0006]
  • One of the main challenges with current imprint lithography technologies is the need to establish direct contact between the template (master) and the substrate. This may lead to defects, low process yields, and low template life. Additionally, the template in imprint lithography typically is the same size as the eventual structures on the substrate (1×), as compared to 4× masks typically used in optical lithography. The cost of preparing the template and the life of the template are issues that may make imprint lithography impractical. Hence there exists a need for improved lithography techniques that address the challenges associated with optical lithography, e-beam lithography and imprint lithography for creating very high-resolution features. [0007]
  • SUMMARY OF THE INVENTION
  • The present invention is directed to an apparatus for patterning a liquid on a substrate, with the apparatus including, a template having a pair of spaced-apart recessions with a protrusion disposed therebetween, with the protrusion being spaced-apart from the substrate a first distance and each of the pair of spaced-apart recessions being spaced-apart from the substrate a second distance, with the second distance being greater than the first distance; and a source of voltage in electrical communication with the template to produce an electric field between the template and the substrate, with a strength of the electrical field being inversely proportional to the first and second distances. These and other embodiments are discussed below.[0008]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1E illustrate a version of the imprint lithography process according to the invention; [0009]
  • FIG. 2 is a process flow diagram showing the sequence of steps of the imprint lithography process of FIGS. 1A-1E; [0010]
  • FIG. 3 is a side view of a template positioned over a substrate for electric field based lithography; [0011]
  • FIG. 4 is a side view of a process for forming nanoscale structures using direct contact with a template; [0012]
  • FIG. 5 is a side view of a process for forming nanoscale structures using non-direct contact with a template; [0013]
  • FIG. 6 is a side view of a substrate holder configured to alter the planarity of the substrate; and [0014]
  • FIG. 7 is a side view of an apparatus for positioning a template over a substrate.[0015]
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIGS. 1A through 1E illustrate an imprint lithography process according to the invention, denoted generally as [0016] 10. In FIG. 1A, a template 12 is orientated in spaced relation to a substrate 14 so that a gap 16 is formed in the space separating template 12 and substrate 14. A surface 18 of template 12 is treated with a thin layer 20 that lowers the template surface energy and assists in separation of template 12 from substrate 14. The manner of orientation including devices for controlling of gap 16 between template 12 and substrate 14 are discussed below. Next, in FIG. 1B, gap 16 is filled with a substance 22 that conforms to the shape of surface 18. Preferably, substance 22 is a liquid so that it fills the space of gap 16 rather easily without the use of high temperatures and gap 16 can be closed without requiring high pressures.
  • A [0017] curing agent 24, shown in FIG. 1C, is applied to template 12 causing substance 22 to harden and to assume the shape of the space defined by gap 16 between template 12 and substrate 14. In this way, desired features 26, shown in FIG. 1D, from template 12 are transferred to the upper surface of substrate 14. A transfer layer 28 is provided directly on the upper surface of substrate 14 which facilitates the amplification of features transferred from template 12 onto substrate 14 to generate high aspect ratio features.
  • In FIG. 1D, [0018] template 12 is removed from substrate 14 leaving the desired features 26 thereon. The separation of template 12 from substrate 14 must be done so that desired features 26 remain intact without shearing or tearing from the surface of substrate 14.
  • Finally, in FIG. 1E, features [0019] 26 transferred from template 12, shown in FIG. 1D, to substrate 14 are amplified in vertical size by the action of transfer layer 28, as is known in the use of bi-layer resist processes. The resulting structure can be further processed to complete the manufacturing process using well-known techniques. FIG. 2 summarizes the imprint lithography process, denoted generally as 30, of the present invention in flow chart form. Initially, at step 32, course orientation of a template and a substrate is performed so that a rough alignment of the template and the substrate is achieved. The advantage of course orientation at step 32 is that it allows pre-calibration in a manufacturing environment where numerous devices are to be manufactured with efficiency and with high production yields. For example, where the substrate comprises one of many die on a semiconductor wafer, course alignment (step 32) can be performed once on the first die and applied to all other dies during a single production run. In this way, production cycle times are reduced and yields are increased.
  • Next, at [0020] step 34, the spacing between the template and the substrate is controlled so that a relatively uniform gap is created between the two layers permitting the type of precise orientation required for successful imprinting. The present invention provides a device and a system for achieving the type of orientation (both course and fine) required at step 34. At step 36, a liquid is dispensed into the gap between the template and the substrate. Preferably, the liquid is a UV curable organosilicon solution or other organic liquids that become a solid when exposed to UV light. The fact that a liquid is used eliminates the need for high temperatures and high pressures associated with prior art lithography techniques.
  • At [0021] step 38, the gap is closed with fine orientation of the template about the substrate and the liquid is cured resulting in a hardening of the liquid into a form having the features of the template. Next, the template is separated from the substrate, step 40, resulting in features from the template being imprinted or transferred onto the substrate. Finally, the structure is etched, step 42, using a preliminary etch to remove residual material and a well-known oxygen etching technique to etch the transfer layer.
  • As mentioned above, recent imprint lithography techniques with UV curable liquids [2, 3, 4, 5] and polymers [6] have been described for preparing nanoscale structures. These techniques may potentially be significantly lower cost than optical lithography techniques for sub-50 nm resolution. Recent research [7, 8] has also investigated the possibility of applying electric fields and van der Waals attractions between a template that possesses a topography and a substrate that contains a polymeric material to form nanoscale structures. This research has been for systems of polymeric material that may be heated to temperatures that are slightly above their glass transition temperature. These viscous polymeric materials tend to react very slowly to the electric fields (order of several minutes) making them less desirable for commercial applications. [0022]
  • The embodiments described herein may potentially create lithographic patterned structures quickly (in a time of less than about 1 second). The structures may have sizes of tens of nanometers. The structures may be created by curing a polymerizable composition (e.g., a spin-coated UV curable liquid) in the presence of electric fields. Curing the polymerizable composition then sets the pattern of structures on the substrate. The pattern may be created by placing a template with a specific nanometer-scale topography at a carefully controlled nanoscale distance from the surface of a thin layer of the liquid on a substrate. If all or a portion of the desired structures are regularly repeating patterns (such as an array of dots), the pattern on the template may be considerably larger than the size of the desired repeating structures. The template may be formed using direct write e-beam lithography. The template may be used repeatedly in a high-throughput process to replicate nanostructures onto substrates. In one embodiment, the template may be fabricated from a conducting material such as Indium Tin Oxide that is also transparent to UV light. The template fabrication process is similar to that of phase shift photomasks for optical lithography; phase shift masks require an etch step that creates a topography on the template. [0023]
  • The replication of the pattern on the template may be achieved by applying an electric field between the template and the substrate. Because the liquid and air (or vacuum) have different dielectric constants and the electric field varies locally due to the presence of the topography of the template, an electrostatic force may be generated that attracts regions of the liquid toward the template. At high electric field strengths, the polymerizable composition may be made to attach to the template and dewet from the substrate at certain points. This polymerizable composition may be hardened in place by polymerization of the composition. The template may be treated with a low energy self-assembled monolayer film (e.g., a fluorinated surfactant) to aid in detachment of the template the polymerized composition. [0024]
  • It may be possible to control the electric field, the design of the topography of the template and the proximity of the template to the liquid surface so as to create a pattern in the polymerizable composition that does not come into contact with the surface of the template. This technique may eliminate the need for mechanical separation of the template from the polymerized composition. This technique may also eliminate a potential source of defects in the pattern. In the absence of contact, however, the liquid may not form sharp, high-resolution structures that are as well defined as in the case of contact. This may be addressed by first creating structures in the polymerizable composition that are partially defined at a given electric field. Subsequently, the gap may be increased between the template and substrate while simultaneously increasing the magnitude of the electric field to “draw-out” the liquid to form clearly defined structures without requiring contact. [0025]
  • The polymerizable composition may be deposited on top of a hard-baked resist material to lead to a bi-layer process. Such a bi-layer process allows for the formation of low aspect ratio, high-resolution structures using the electrical fields followed by an anisotropic etch that results in high-aspect ratio, high-resolution structures. Such a bi-layer process may also be used to perform a “metal lift-off process” to deposit a metal on the substrate such that the metal is left behind after lift-off in the trench areas of the originally created structures. [0026]
  • By using a low viscosity polymerizable composition, the pattern formation due to the electric field may be fast (e.g., less than about 1 sec.), and the structure may be rapidly cured. Avoiding temperature variations in the substrate and the polymerizable composition may also avoid undesirable pattern distortion that makes nano-resolution layer-to-layer alignment impractical. In addition, as mentioned above, it is possible to quickly form a pattern without contact with the template, thus eliminating defects associated with imprint methods that require direct contact. [0027]
  • FIG. 3 depicts an embodiment of the template and the substrate designs. [0028] Template 12 may be formed from a material that is transparent to activating light produced by curing agent 24 to allow curing of substance 22, with substance 22 being a polymerizable composition, by exposure to activating light. Forming template 12 from a transparent material may also allow the use of established optical techniques to measure gap 16 between template 12 and substrate 14 and to measure overlay marks to perform overlay alignment and magnification correction during formation of the structures. Template 12 may also be thermally and mechanically stable to provide nano-resolution patterning capability. Template 12 may also include an electrically conducting material to allow electric fields to be generated at the template-substrate interface.
  • In one embodiment, depicted in FIG. 3, a thick blank of fused silica has been chosen as the base material for [0029] template 12. Indium Tin Oxide (ITO) may be deposited onto the fused Silica. ITO is transparent to visible and UV light and is a conducting material. ITO may be patterned using high-resolution e-beam lithography. Thin layer 20 (for example, a fluorine containing self-assembly monolayer) may be coated onto template 12 to improve the release characteristics between template 12 and substance 22. Substrate 14 may include standard wafer materials, such as Si, GaAs, SiGeC and InP. A UV curable liquid may be used as substance 22. Substance 22 may be spin coated onto substrate 14. An optional transfer layer 28 may be placed between substrate 14 and substance 22. Transfer layer 28 may be used for bi-layer process. Transfer layer 28 material properties and thickness may be chosen to allow for the creation of high-aspect ratio structures from low-aspect ratio structures created in substance 22. An electric field may be generated between template 12 and substrate 14 by connecting the ITO to a voltage source.
  • In FIGS. 4 and 5, two variants of the above-described process are presented. In each variant, it is assumed that a desired [0030] uniform gap 16 may be maintained between template 12 and substrate 14. An electric field of the desired magnitude may be applied resulting in the attraction of substance 22 towards the raised portions of template 12. In FIG. 4, gap 16 and the field magnitudes are such that substance 22 makes direct contact and adheres to template-12. A UV curing process may be used to harden substance 22 in that configuration. Once the structures have been formed, template 12 is separated from substrate 14 by either increasing gap 16 till the separation is achieved, or by initiating a peel and pull motion wherein template 12 is peeled away from substrate 14 starting at one edge of template 12. Prior to its use, template 12 is assumed to be treated with thin layer 20 that assists in the separation step.
  • In FIG. 5, [0031] gap 16 and the field magnitudes are chosen such that substance 22 achieves a topography that is essentially the same as that of template 12. This topography may be achieved without making direct contact with template 12. A UV curing process may be used to harden substance 22 in that configuration. In both the processes of FIGS. 4 and 5, a subsequent etch process may be used to eliminate the residual layer of the UV cured material. A further etch may also be used if transfer layer 28 is present between substance 22 and substrate 14, as shown in FIGS. 4 and 5. As mentioned earlier, transfer layer 28 may be used to obtain a high-aspect ratio structure from a low aspect ratio structure created in substance 22.
  • FIG. 6 illustrates mechanical devices that may increase the planarity of the substrate. The template may be formed from high-quality optical flats of fused-silica with Indium Tin Oxide deposited on the fused silica. Therefore, the template typically possess extremely high planarity. The substrates typically have low planarity. Sources of variations in the planarity of the substrate include poor finishing of the back side of the wafer, the presence of particular contaminants trapped between the wafer and the wafer chuck, and wafer distortions caused by thermal processing of the wafer. In one embodiment, the substrate may be mounted on a chuck whose top surface shape may be altered by a large array of piezoelectric actuators. The chuck thickness may be such that accurate corrections in surface topography of up to a few microns may be achieved. The substrate may be mounted to the chuck such that it substantially conforms to the shape of the chuck. Once the substrate is loaded on to the chuck, a sensing system (e.g., an optical surface topography measurement system) may be used to map the top surface of the substrate accurately. Once the surface topology is known, the array of piezoelectric actuators may be actuated to rectify the topography variations such that the upper surface of the substrate exhibits a planarity of less than about lam. Since the template is assumed to be made from an optically flat material, this leads to template and substrate that are high quality planar surfaces. [0032]
  • The mechanical device in FIG. 7 may be used to perform a high-resolution gap control at the template-substrate interface. This device may control two tilting degrees of freedom (about orthogonal axes that lie on the surface of the template) and the vertical translation degree of freedom of the template. The magnitude of the gap between the template and the substrate may be measured in real-time. These real-time measurements may be used to identify the corrective template motions required about the tilting degrees of freedom and the vertical displacement degree of freedom. The three gap measurements may be obtained by using a broadband optical interferometric approach that is similar to the one used for measuring thicknesses of thin films and thin film stacks. This approach of capacitive sensing may also be used for measuring these three gaps. [0033]
  • Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims. [0034]
  • References
  • The following references are specifically incorporated herein by reference: [0035]
  • 1. “Getting More from Moore's,” Gary Stix, Scientific American, April 2001. [0036]
  • 2. “Step and Flash Imprint Lithography: An alternative approach to high resolution patterning,” M. Colburn, S. Johnson, M. Stewart, S. Damle, B. J. Choi, T. Bailey, M. Wedlake, T. Michaelson, S. V. Sreenivasan, J. Ekerdt, C. G. Willson, Proc. SPIE Vol.3676, 379-389, 1999. [0037]
  • 3. “Design of Orientation Stages for Step and Flash Imprint Lithography,” B. J. Choi, S. Johnson, M. Colburn, S. V. Sreenivasan, C. G. Willson, To appear in J. of Precision Engineering. [0038]
  • 4. U.S. patent application Ser. No. 09/266,663 entitled “Step and Flash Imprint Lithography” to Grant Willson and Matt Colburn. [0039]
  • 5. U.S. patent application Ser. No. 09/698,317 entitled “High Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes” to B. J. Choi, S. V. Sreenivasan and Steve Johnson. [0040]
  • 6. “Large area high density quantized magnetic disks fabricated using nanoimprint lithography,” W. Wu, B. Cui, X. Y. Sun, W. Zhang, L. Zhunag, and S. Y. Chou., J. Vac Sci Technol B 16 (6) 3825-3829 November-December 1998 [0041]
  • 7. “Lithographically-induced Self-assembly of Periodic Polymer Micropillar Arrays,” S. Y. Chou, L. Zhuang, J Vac Sci Tech B 17 (6), 3197-3202, 1999 [0042]
  • [0043] 8. “Large Area Domain Alignment in Block Copolymer Thin Films Using Electric Fields,” P. Mansky, 1. DeRouchey, J. Mays, M. Pitsikalis, T. Morkved, H. Jaeger and T. Russell, Macromolecules 13,4399 (1998).

Claims (22)

What is claimed is:
1. An apparatus for patterning a liquid on a substrate, said apparatus comprising:
a template having a pair of spaced-apart recessions with a protrusion disposed therebetween, with said protrusion being spaced-apart from said substrate a first distance and each of said pair of spaced-apart recessions being spaced-apart from said substrate a second distance, with said second distance being greater than said first distance; and
a source of voltage in electrical communication with said template to produce an electric field between said template and said substrate, with a strength of said electrical field being inversely proportional to said first and second distances.
2. The apparatus as recited in claim 1 wherein a difference between said first distance and said second distance defines an electric field gradient, with a portion of said electric field present between said protrusion and said substrate being greater than a subsection of said electric field present between each of said pair of spaced-apart recessions and said substrate, with said portion having sufficient magnitude to create a contiguous region of said liquid on said an area of said substrate in superimposition with said protrusion.
3. The apparatus as recited in claim 1 wherein said protrusion consists of Indium Tin Oxide (ITO).
4. The apparatus as recited in claim 1 wherein said template further includes a layer of Indium Tin Oxide (ITO) and said pair of spaced-apart recessions and said protrusion are formed in said layer of ITO.
5. The apparatus as recited in claim 1 wherein said template further includes a layer of fused silica and a layer of Indium Tin Oxide (ITO).
6. The apparatus as recited in claim 1 wherein said template further includes a layer of fused silica and a layer of Indium Tin Oxide (ITO), with said source of voltage being in electrical communication with said layer of ITO.
7. The apparatus as recited in claim 1 wherein said template is substantially transparent to ultraviolet light.
8. The apparatus as recited in claim 1 wherein said template further includes a fluorine containing monolayer.
9. The apparatus as recited in claim 1 wherein template further includes a layer of Indium Tin Oxide (ITO) and said pair of spaced-apart recessions and said protrusion are formed in said layer of ITO and further including a fluorine containing monolayer positioned adjacent to said layer of ITO, with said fluorine containing monolayer being positioned between said substrate and said layer of ITO.
10. An apparatus for patterning a liquid on a substrate, said apparatus comprising:
a template having a plurality of protrusions, each of which is spaced-apart from said substrate a first distance, and a plurality of recessions, each of which is spaced-apart from said substrate a second distance; and
a source of voltage in electrical communication with said template to produce an electric field between said template and said substrate, with a difference between said first distance and said second distance defining a plurality of electric field gradients, with a portion of said electric field present between said plurality of protrusions and said substrate being greater than a subsection of said electric field present between said plurality of recessions and said substrate.
11. The apparatus as recited in claim 10 wherein said plurality of protrusion consist of Indium Tin Oxide (ITO).
12. The apparatus as recited in claim 10 wherein said template further includes a layer of Indium Tin Oxide (ITO), with said plurality of protrusions and said plurality of recessions being present in said layer of ITO.
13. The apparatus as recited in claim 10 wherein said template further includes a layer of fused silica and a layer of Indium Tin Oxide (ITO).
14. The apparatus as recited in claim 10 wherein said template further includes a layer of fused silica and a layer of Indium Tin Oxide (ITO), with said source of voltage being in electrical communication with said layer of ITO.
15. The apparatus as recited in claim 10 wherein said template is substantially transparent to ultraviolet light.
16. The apparatus as recited in claim 10 wherein said template further includes a fluorine containing monolayer.
17. The apparatus as recited in claim 10 wherein said template further includes a layer of Indium Tin Oxide (ITO), with said plurality of recessions and said plurality of protrusions are formed in said layer of ITO and further including a fluorine containing monolayer positioned adjacent to said layer of ITO, with said fluorine containing monolayer being positioned between said substrate and said layer of ITO.
18. The apparatus as recited in claim 10 wherein said portion of said electric field has sufficient magnitude to create a contiguous region of said liquid on an area of said substrate in superimposition with said plurality of protrusions.
19. An apparatus for patterning a liquid on a substrate, said apparatus comprising:
a template having a plurality of protrusions and recessions, spaced apart from said substrate, with said liquid being disposed therebetween;
a source of voltage in electrical communication with said template to produce an electric field between said template and said substrate, with a subportion of said electric field present between each of said plurality of protrusions being greater than a subpart of said electric field present between each of said plurality of recessions, with adjacent subportions and subparts defining an electric field gradient, with said subportions having sufficient magnitude to move said liquid to form a continuous region of said liquid between each of said plurality of protrusions and said substrate, and said electric field gradient preventing said liquid from forming a continuous area of said liquid in regions of said substrate in superimposition with each of said plurality of recessions.
20. The apparatus as recited in claim 19 wherein said template further includes a layer of Indium Tin Oxide (ITO), with said plurality of protrusions and recessions being present in said layer of ITO.
21. The apparatus as recited in claim 19 wherein said template further includes a layer fused silica and a layer of Indium Tin Oxide (ITO).
22. The apparatus as recited in claim 19 wherein said template further includes a layer of fused silica and a layer of Indium Tin Oxide (ITO), with said source
US10/776,881 2002-05-16 2004-02-11 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field Abandoned US20040200411A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/776,881 US20040200411A1 (en) 2002-05-16 2004-02-11 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field
US12/052,099 US20080305440A1 (en) 2002-05-16 2008-03-20 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/905,718 US6964793B2 (en) 2002-05-16 2002-05-16 Method for fabricating nanoscale patterns in light curable compositions using an electric field
US10/776,881 US20040200411A1 (en) 2002-05-16 2004-02-11 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09905718 Division 2001-05-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/052,099 Continuation US20080305440A1 (en) 2002-05-16 2008-03-20 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field

Publications (1)

Publication Number Publication Date
US20040200411A1 true US20040200411A1 (en) 2004-10-14

Family

ID=33132244

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/905,718 Expired - Fee Related US6964793B2 (en) 2001-05-16 2002-05-16 Method for fabricating nanoscale patterns in light curable compositions using an electric field
US10/776,881 Abandoned US20040200411A1 (en) 2002-05-16 2004-02-11 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field
US12/052,099 Abandoned US20080305440A1 (en) 2002-05-16 2008-03-20 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/905,718 Expired - Fee Related US6964793B2 (en) 2001-05-16 2002-05-16 Method for fabricating nanoscale patterns in light curable compositions using an electric field

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/052,099 Abandoned US20080305440A1 (en) 2002-05-16 2008-03-20 Apparatus for fabricating nanoscale patterns in light curable compositions using an electric field

Country Status (1)

Country Link
US (3) US6964793B2 (en)

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040086793A1 (en) * 2000-07-16 2004-05-06 University Of Texas System Board Of Regents, Ut System High resolution overlay alignment systems for imprint lithography
US20040141163A1 (en) * 2000-07-16 2004-07-22 The University Of Texas System, Board Of Regents, Ut System Device for holding a template for use in imprint lithography
US20050082253A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US20050193944A1 (en) * 2004-03-04 2005-09-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US20050214398A1 (en) * 2003-05-14 2005-09-29 Molecular Imprints, Inc Assembly and method for transferring imprint lithography templates
US20060137555A1 (en) * 2004-12-23 2006-06-29 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060144275A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060154179A1 (en) * 2005-01-07 2006-07-13 Asml Netherlands B. V. Imprint lithography
US20060150849A1 (en) * 2004-12-30 2006-07-13 Asml Netherlands B.V. Imprint lithography
US20060180952A1 (en) * 2005-02-17 2006-08-17 Asml Netherlands B.V. Imprint lithography
US20060196377A1 (en) * 2005-03-07 2006-09-07 Asml Netherlands B.V. Imprint lithography
US20060230959A1 (en) * 2005-04-19 2006-10-19 Asml Netherlands B.V. Imprint lithography
US20060231979A1 (en) * 2005-04-19 2006-10-19 Asml Netherlands B.V. Imprint lithography
US20060254446A1 (en) * 2005-05-16 2006-11-16 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US20060268256A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US20060266244A1 (en) * 2005-05-31 2006-11-30 Asml Netherlands B.V. Imprint lithography
US20060280829A1 (en) * 2005-06-13 2006-12-14 Asml Netherlands B.V. Imprint lithography
US20070018360A1 (en) * 2005-07-21 2007-01-25 Asml Netherlands B.V. Imprint lithography
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US20070035056A1 (en) * 2005-08-12 2007-02-15 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US20070102844A1 (en) * 2005-11-04 2007-05-10 Asml Netherlands B.V. Imprint lithography
US20070102838A1 (en) * 2005-11-04 2007-05-10 Asml Netherlands B.V. Imprint lithography
US20070141191A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US20080003827A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Imprintable medium dispenser
US20080011934A1 (en) * 2006-06-30 2008-01-17 Asml Netherlands B.V. Imprint lithography
US20080099941A1 (en) * 2005-10-18 2008-05-01 Canon Kabushiki Kaisha Imprint Apparatus, Imprint Method, And Mold for Imprint
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US20090057267A1 (en) * 2007-09-05 2009-03-05 Asml Netherlands B.V. Imprint lithography
US20100053578A1 (en) * 2002-07-11 2010-03-04 Molecular Imprints, Inc. Apparatus for imprint lithography using an electric field
US20100117256A1 (en) * 2008-11-11 2010-05-13 Best Margaret E Self-releasing resist material for nano-imprint processes
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US9223202B2 (en) 2000-07-17 2015-12-29 Board Of Regents, The University Of Texas System Method of automatic fluid dispensing for imprint lithography processes

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7658772B2 (en) * 1997-09-08 2010-02-09 Borealis Technical Limited Process for making electrode pairs
US7140102B2 (en) * 2001-09-02 2006-11-28 Borealis Technical Limited Electrode sandwich separation
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
EP1362682A1 (en) * 2002-05-13 2003-11-19 ZBD Displays Ltd, Method and apparatus for liquid crystal alignment
EP1509379B1 (en) * 2002-05-24 2012-02-29 Stephen Y. Chou Methods and apparatus of field-induced pressure imprint lithography
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
JP2005008909A (en) * 2003-06-16 2005-01-13 Canon Inc Structure manufacturing method
US8268446B2 (en) 2003-09-23 2012-09-18 The University Of North Carolina At Chapel Hill Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US9040090B2 (en) 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
WO2005101466A2 (en) 2003-12-19 2005-10-27 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- and nano- structures using soft or imprint lithography
KR101010476B1 (en) * 2003-12-27 2011-01-21 엘지디스플레이 주식회사 Method and Apparatus for Fabricating Flat Panel Display
KR101117437B1 (en) * 2003-12-27 2012-02-29 엘지디스플레이 주식회사 Method and Apparatus for Fabricating Flat Panel Display
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
KR100566700B1 (en) * 2004-01-15 2006-04-03 삼성전자주식회사 Method for forming mask pattern, template for forming mask pattern and method for forming template
US8158728B2 (en) 2004-02-13 2012-04-17 The University Of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
JP4393244B2 (en) * 2004-03-29 2010-01-06 キヤノン株式会社 Imprint device
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7141275B2 (en) * 2004-06-16 2006-11-28 Hewlett-Packard Development Company, L.P. Imprinting lithography using the liquid/solid transition of metals and their alloys
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US7331283B2 (en) * 2004-12-16 2008-02-19 Asml Holding N.V. Method and apparatus for imprint pattern replication
US7363854B2 (en) * 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7410591B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method and system for making a nano-plate for imprint lithography
US7409759B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method for making a computer hard drive platen using a nano-plate
US7399422B2 (en) * 2005-11-29 2008-07-15 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US20060177532A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20090304992A1 (en) * 2005-08-08 2009-12-10 Desimone Joseph M Micro and Nano-Structure Metrology
US7665981B2 (en) 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7780893B2 (en) 2006-04-03 2010-08-24 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7854867B2 (en) * 2006-04-21 2010-12-21 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
EP2047470A2 (en) * 2006-07-28 2009-04-15 International Business Machines Corporation Device and method for patterning a surface of a polymer layer
JP5092740B2 (en) * 2007-12-28 2012-12-05 住友電気工業株式会社 Manufacturing method of semiconductor device
KR20090108853A (en) * 2008-04-14 2009-10-19 삼성전자주식회사 Composition for Forming an Inorganic Material Pattern and Method for Forming a Pattern of Inorganic Material using the same
US8021713B2 (en) * 2008-07-18 2011-09-20 Seagate Technology Llc Bit-patterned magnetic media formed in filler layer recesses
JP4825891B2 (en) * 2009-03-31 2011-11-30 株式会社東芝 Semiconductor device manufacturing method and template
JP2010258106A (en) * 2009-04-22 2010-11-11 Toshiba Corp Pattern transfer method
JP2010262957A (en) * 2009-04-30 2010-11-18 Toshiba Corp Patterning method, patterning apparatus, and method for manufacturing semiconductor device
DE102009023355A1 (en) * 2009-05-29 2010-12-02 Osram Opto Semiconductors Gmbh Method for producing an optoelectronic semiconductor component
CN102243436B (en) * 2011-06-07 2013-04-17 西安交通大学 Electric-field-induced micro-compounding method under geometrical restraint
CN102253435A (en) * 2011-07-11 2011-11-23 西安交通大学 Micromachining method for manufacturing polymer cylindrical microlens by electric field induction
JP5203493B2 (en) * 2011-09-29 2013-06-05 シャープ株式会社 Molding apparatus and molding method
JP5464308B1 (en) * 2012-08-09 2014-04-09 大日本印刷株式会社 Method for producing fine convex pattern structure and system for producing fine convex pattern structure
US9700869B2 (en) * 2013-07-17 2017-07-11 Newport Fab, Llc Continuously producing digital micro-scale patterns on a thin polymer film
US9348231B2 (en) * 2013-07-17 2016-05-24 Palo Alto Research Center Incorporated Continuously producing digital micro-scale patterns on a thin polymer film
CN103488046B (en) * 2013-09-26 2019-10-22 上海集成电路研发中心有限公司 A kind of nano-imprint lithography devices and methods therefor
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
JP7077223B2 (en) * 2015-10-15 2022-05-30 ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム A versatile method for precision nanoscale manufacturing
GB201701182D0 (en) * 2017-01-24 2017-03-08 Univ Birmingham Surface enhanced raman scattering apparatus and method
CN112213917A (en) * 2019-07-10 2021-01-12 长春工业大学 Uniform electric field assisted nanoimprint forming device and method
US11342226B2 (en) * 2019-08-13 2022-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process
US11732378B2 (en) * 2019-10-02 2023-08-22 Palo Alto Research Center Incorporated Three dielectric electrohydrodynamic patterning
WO2021087172A1 (en) * 2019-10-29 2021-05-06 Georgia Tech Research Corporation Methods and systems of obtaining patterned structures on surfaces
US11562924B2 (en) * 2020-01-31 2023-01-24 Canon Kabushiki Kaisha Planarization apparatus, planarization process, and method of manufacturing an article

Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3757845A (en) * 1971-09-28 1973-09-11 Compact Closures Overhead stacked folding door
US3757846A (en) * 1958-11-28 1973-09-11 H Herman Method and apparatus for effecting electromagnetic displacement of fluids
US4119688A (en) * 1975-11-03 1978-10-10 International Business Machines Corporation Electro-lithography method
US4512386A (en) * 1982-11-12 1985-04-23 Swiss Aluminium Ltd. Adjustable mold for electromagnetic casting
US4982796A (en) * 1988-10-18 1991-01-08 Arch Development Corp. Electromagnetic confinement for vertical casting or containing molten metal
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5259926A (en) * 1991-09-24 1993-11-09 Hitachi, Ltd. Method of manufacturing a thin-film pattern on a substrate
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) * 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US20010026714A1 (en) * 2000-03-31 2001-10-04 Tsutomu Uezono Image forming apparatus and image forming method
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US20030062334A1 (en) * 2001-09-25 2003-04-03 Lee Hong Hie Method for forming a micro-pattern on a substrate by using capillary force
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6808861B1 (en) * 1996-08-06 2004-10-26 Mitsubishi Chemical Corporation Positive photosensitive composition positive photosensitive lithographic printing plate and method for making positive photosensitive lithographic printing plate
US20040250945A1 (en) * 2003-06-10 2004-12-16 Industrial Technology Research Institute Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20050032253A1 (en) * 2003-08-04 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US20060076717A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography processes

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) * 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) * 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) * 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (en) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
DE59010728D1 (en) 1989-04-24 1997-07-31 Siemens Ag Process for producing etch-resistant structures
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
DE4031637C2 (en) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Arrangement for measuring a displacement between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (en) * 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
JP2524436B2 (en) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) * 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) * 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
NL9401260A (en) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) * 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
JP3624476B2 (en) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
US5545570A (en) * 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5747102A (en) * 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (en) * 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (en) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
KR101031528B1 (en) * 2000-10-12 2011-04-27 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Template for room temperature, low pressure micro- and nano- imprint lithography
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field

Patent Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3757846A (en) * 1958-11-28 1973-09-11 H Herman Method and apparatus for effecting electromagnetic displacement of fluids
US3757845A (en) * 1971-09-28 1973-09-11 Compact Closures Overhead stacked folding door
US4119688A (en) * 1975-11-03 1978-10-10 International Business Machines Corporation Electro-lithography method
US4512386A (en) * 1982-11-12 1985-04-23 Swiss Aluminium Ltd. Adjustable mold for electromagnetic casting
US4982796A (en) * 1988-10-18 1991-01-08 Arch Development Corp. Electromagnetic confinement for vertical casting or containing molten metal
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5259926A (en) * 1991-09-24 1993-11-09 Hitachi, Ltd. Method of manufacturing a thin-film pattern on a substrate
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5855686A (en) * 1994-05-24 1999-01-05 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US6035805A (en) * 1994-05-24 2000-03-14 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5956216A (en) * 1995-05-24 1999-09-21 Regents Of The University Of Minnesota Magnetic storage having discrete elements with quantized magnetic moments
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6808861B1 (en) * 1996-08-06 2004-10-26 Mitsubishi Chemical Corporation Positive photosensitive composition positive photosensitive lithographic printing plate and method for making positive photosensitive lithographic printing plate
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) * 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US20010026714A1 (en) * 2000-03-31 2001-10-04 Tsutomu Uezono Image forming apparatus and image forming method
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US20030062334A1 (en) * 2001-09-25 2003-04-03 Lee Hong Hie Method for forming a micro-pattern on a substrate by using capillary force
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US20060062867A1 (en) * 2002-07-11 2006-03-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US20060076717A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20060077374A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US20040250945A1 (en) * 2003-06-10 2004-12-16 Industrial Technology Research Institute Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050032253A1 (en) * 2003-08-04 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging

Cited By (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7708542B2 (en) 2000-07-16 2010-05-04 Board Of Regents, The University Of Texas System Device for holding a template for use in imprint lithography
US20040141163A1 (en) * 2000-07-16 2004-07-22 The University Of Texas System, Board Of Regents, Ut System Device for holding a template for use in imprint lithography
US20040086793A1 (en) * 2000-07-16 2004-05-06 University Of Texas System Board Of Regents, Ut System High resolution overlay alignment systems for imprint lithography
US20040189994A1 (en) * 2000-07-16 2004-09-30 Board Of Regents, The University Of Texas System Method of determining alignment of a template and a substrate having a liquid disposed therebetween
US20070264588A1 (en) * 2000-07-16 2007-11-15 Board Of Regents, The University Of Texas System Imprint lithography system to produce light to impinge upon and polymerize a liquid in superimposition with template overlay marks
US6919152B2 (en) 2000-07-16 2005-07-19 Board Of Regents, The University Of Texas System High resolution overlay alignment systems for imprint lithography
US7186483B2 (en) 2000-07-16 2007-03-06 Board Of Regents, The University Of Texas System Method of determining alignment of a template and a substrate having a liquid disposed therebetween
US9223202B2 (en) 2000-07-17 2015-12-29 Board Of Regents, The University Of Texas System Method of automatic fluid dispensing for imprint lithography processes
US20040168586A1 (en) * 2000-10-12 2004-09-02 Board Of Regents, The University Of Texas System Imprint lithography template having a feature size under 250 nm
US20100053578A1 (en) * 2002-07-11 2010-03-04 Molecular Imprints, Inc. Apparatus for imprint lithography using an electric field
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6951173B1 (en) * 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US20050214398A1 (en) * 2003-05-14 2005-09-29 Molecular Imprints, Inc Assembly and method for transferring imprint lithography templates
US20050082253A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7261830B2 (en) 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050193944A1 (en) * 2004-03-04 2005-09-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7730834B2 (en) 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US20050211161A1 (en) * 2004-03-04 2005-09-29 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7698999B2 (en) 2004-03-04 2010-04-20 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US20100050893A1 (en) * 2004-12-23 2010-03-04 Asml Netherlands B.V. Imprint lithography
US8571318B2 (en) 2004-12-23 2013-10-29 Asml Netherlands B.V. Imprint lithography
US20060137555A1 (en) * 2004-12-23 2006-06-29 Asml Netherlands B.V. Imprint lithography
US7636475B2 (en) 2004-12-23 2009-12-22 Asml Netherlands B.V. Imprint lithography
US7676088B2 (en) 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US8131078B2 (en) 2004-12-23 2012-03-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US9341944B2 (en) 2004-12-30 2016-05-17 Asml Netherlands B.V. Imprint lithography
US20100139862A1 (en) * 2004-12-30 2010-06-10 Asml Netherlands B.V. Imprint lithography
US20060144275A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060150849A1 (en) * 2004-12-30 2006-07-13 Asml Netherlands B.V. Imprint lithography
US20060154179A1 (en) * 2005-01-07 2006-07-13 Asml Netherlands B. V. Imprint lithography
US7354698B2 (en) 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US20060180952A1 (en) * 2005-02-17 2006-08-17 Asml Netherlands B.V. Imprint lithography
US7922474B2 (en) 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US20090174115A1 (en) * 2005-03-07 2009-07-09 Asml Netherlands B.V. Imprint lithography
US20060196377A1 (en) * 2005-03-07 2006-09-07 Asml Netherlands B.V. Imprint lithography
US7523701B2 (en) 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7906059B2 (en) 2005-03-07 2011-03-15 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7762186B2 (en) 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US20060230959A1 (en) * 2005-04-19 2006-10-19 Asml Netherlands B.V. Imprint lithography
US20060231979A1 (en) * 2005-04-19 2006-10-19 Asml Netherlands B.V. Imprint lithography
US8349238B2 (en) 2005-04-19 2013-01-08 Asml Netherlands B.V. Imprint lithography
US7442029B2 (en) 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US20060254446A1 (en) * 2005-05-16 2006-11-16 Asml Netherlands B.V. Imprint lithography
US7931844B2 (en) 2005-05-16 2011-04-26 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US20100084565A1 (en) * 2005-05-27 2010-04-08 Asml Netherlands B.V. Imprint lithography
US7618250B2 (en) 2005-05-27 2009-11-17 Asml Netherlands B.V. Imprint lithography
US20060268256A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US20060275524A1 (en) * 2005-05-27 2006-12-07 Asml Netherlands B.V. Imprint lithography
US8241550B2 (en) 2005-05-27 2012-08-14 Asml Netherlands B.V. Imprint lithography
US20060266244A1 (en) * 2005-05-31 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
US7377764B2 (en) 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US20060280829A1 (en) * 2005-06-13 2006-12-14 Asml Netherlands B.V. Imprint lithography
US20070018360A1 (en) * 2005-07-21 2007-01-25 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US8202075B2 (en) 2005-08-12 2012-06-19 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US20070035056A1 (en) * 2005-08-12 2007-02-15 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US8047828B2 (en) 2005-10-18 2011-11-01 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and mold for imprint
US8845318B2 (en) 2005-10-18 2014-09-30 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and mold for imprint
US20080099941A1 (en) * 2005-10-18 2008-05-01 Canon Kabushiki Kaisha Imprint Apparatus, Imprint Method, And Mold for Imprint
EP2090928A2 (en) * 2005-10-18 2009-08-19 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and mold for imprint
EP2090928A3 (en) * 2005-10-18 2009-11-04 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and mold for imprint
US20090283938A1 (en) * 2005-10-18 2009-11-19 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and mold for imprint
US9778563B2 (en) 2005-11-04 2017-10-03 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US20070102844A1 (en) * 2005-11-04 2007-05-10 Asml Netherlands B.V. Imprint lithography
US10025206B2 (en) 2005-11-04 2018-07-17 Asml Netherlands B.V. Imprint lithography
US9864271B2 (en) 2005-11-04 2018-01-09 Asml Netherlands B.V. Imprint lithography
US20070102838A1 (en) * 2005-11-04 2007-05-10 Asml Netherlands B.V. Imprint lithography
US8100684B2 (en) 2005-12-21 2012-01-24 Asml Netherlands B.V. Imprint lithography
US9610727B2 (en) 2005-12-21 2017-04-04 Asml Netherlands B.V. Imprint lithography
US20090212462A1 (en) * 2005-12-21 2009-08-27 Asml Netherlans B.V. Imprint lithography
US20070141191A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US8753557B2 (en) 2005-12-21 2014-06-17 Asml Netherlands B.V. Imprint lithography
US20080003827A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Imprintable medium dispenser
US20080011934A1 (en) * 2006-06-30 2008-01-17 Asml Netherlands B.V. Imprint lithography
US8486485B2 (en) 2006-06-30 2013-07-16 Asml Netherlands B.V. Method of dispensing imprintable medium
US8318253B2 (en) 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US20090057267A1 (en) * 2007-09-05 2009-03-05 Asml Netherlands B.V. Imprint lithography
US8323541B2 (en) 2007-09-05 2012-12-04 Asml Netherlands B.V. Imprint lithography
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8262975B2 (en) 2008-11-11 2012-09-11 HGST Netherlands B.V Self-releasing resist material for nano-imprint processes
US20100117256A1 (en) * 2008-11-11 2010-05-13 Best Margaret E Self-releasing resist material for nano-imprint processes

Also Published As

Publication number Publication date
US20030215577A1 (en) 2003-11-20
US20080305440A1 (en) 2008-12-11
US6964793B2 (en) 2005-11-15

Similar Documents

Publication Publication Date Title
US6964793B2 (en) Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7708926B2 (en) Capillary imprinting technique
US6908861B2 (en) Method for imprint lithography using an electric field
US6900881B2 (en) Step and repeat imprint lithography systems
US6932934B2 (en) Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) Step and repeat imprint lithography processes
JP4671860B2 (en) Imprint lithography
EP1512048B1 (en) Method for fabricating nanoscale patterns in light curable compositions using an electric field
Lan et al. Nanoimprint lithography
US20080138460A1 (en) Multilayer nano imprint lithography
Roy et al. Enhanced UV imprint ability with a tri-layer stamp configuration
US7261830B2 (en) Applying imprinting material to substrates employing electromagnetic fields
KR20050025545A (en) Method and system for fabricating nanoscale patterns in light curable compositions using an electric field
Haatainen et al. Imprinted 50 nm features fabricated by step and stamp UV imprinting
Glinsner et al. Transition From MEMS Technology to Nanofabrication
Anil Kumar et al. Negative e-beam resists using for nano-imprint lithography and
Pabba Evaluation of processes in nanoimprint lithography
Glinsner et al. Application of imprint technologies for creation of micro-and nano-scale pattern
Cui et al. Nanofabrication by Replication
Schumaker et al. Applying imprinting material to substrates employing electromagnetic fields

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION