US20040195208A1 - Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal - Google Patents

Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal Download PDF

Info

Publication number
US20040195208A1
US20040195208A1 US10/776,672 US77667204A US2004195208A1 US 20040195208 A1 US20040195208 A1 US 20040195208A1 US 77667204 A US77667204 A US 77667204A US 2004195208 A1 US2004195208 A1 US 2004195208A1
Authority
US
United States
Prior art keywords
optical emission
hydrogen
photoresist
oxygen
level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/776,672
Inventor
Elizabeth Pavel
Mark Kawaguchi
James Papanu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/776,672 priority Critical patent/US20040195208A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAWAGUCHI, MARK N., PAPANU, JAMES S., PAVEL, ELIZABETH G.
Publication of US20040195208A1 publication Critical patent/US20040195208A1/en
Priority to US11/467,842 priority patent/US7648916B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Definitions

  • the present invention relates generally to a method for semiconductor substrate processing. More specifically, the invention relates to a method for monitoring and detecting optical emission endpoint(s), for photoresist stripping and removal of residues from a substrate or a film stack on a substrate.
  • various layers of dielectric, semiconducting, and conducting films such as silicon dioxide, polysilicon, and metal compounds and alloys, are deposited on a silicon substrate.
  • a process including lithography and etching comprises coating a substrate with photoresist, patterning the photoresist, and then transferring this pattern to the underlying layers during etching by using the patterned photoresist as an etch mask.
  • Many of these etch processes leave photoresist and post-etch residues on the substrate and must be removed before performing the next process step.
  • Patterned photoresist also serves as an ion implant mask for preferentially doping semiconductor substrates in selected areas.
  • the doping or implantation process includes exposing the substrate to ions or an electronic beam of implant species, for example, arsenic (As), boron (B, BF 2 , BF 4 ), phosphorous (P), indium (In), antimony (Sb) and hydrogen (H).
  • implant species for example, arsenic (As), boron (B, BF 2 , BF 4 ), phosphorous (P), indium (In), antimony (Sb) and hydrogen (H).
  • the ion implantation process dehydrogenates the photoresist material, resulting in a hydrogen deficient, carbonized crust layer that is typically one to several thousand angstroms thick on top of the bulk photoresist.
  • the photoresist removal process may result in non-uniform removal and substantial post-implant residue remaining on the substrate. Consequently, a technique for monitoring removal of the photoresist is necessary such that the photoresist removal process can be controlled as the characteristics of the material change.
  • Optical emission spectroscopy is commonly used to detect the endpoint of plasma etch processes.
  • Plasma transitions of reactant or product species emit photons which can be detected in the ultraviolet, visible and near-infrared ranges.
  • the endpoint is usually based on increasing signal for reactants or decreasing signal for products.
  • the endpoint is identified when either the reactants or products attain a specific concentration (i.e., the respective signals cross a threshold level).
  • a specific concentration i.e., the respective signals cross a threshold level
  • the invention relates to a method for monitoring and detecting optical emission endpoint(s), more particularly hydrogen emissions within a plasma, for photoresist stripping and removal of residues from a substrate or a film stack on a substrate.
  • the invention determines and uses a hydrogen optical emission peak to identify the endpoint of a photoresist stripping process. More specifically, the invention also relates to a method using optical emission endpoint in general, and hydrogen peak specifically, to monitor the transition from crust removal to bulk photoresist removal for post-implant stripping. Since the hydrogen content of the crust layer is significantly lower than that of the bulk photoresist, removal of both crust and bulk can be monitored during stripping within a remote plasma chamber. By this method, the hydrogen peak also provides a simpler and more direct endpoint trace for patterned implant substrates (compared to other peaks such as oxygen). As such, using the hydrogen peak allows for simpler endpoint algorithms.
  • This invention also comprises the combination of a hydrogen optical emission monitoring with at least one additional emission peak (e.g., oxygen or other reactants, or other by-product volatile gases formed from components of the bulk photoresist) for more robust and/or flexible endpoint control.
  • a hydrogen optical emission monitoring with at least one additional emission peak (e.g., oxygen or other reactants, or other by-product volatile gases formed from components of the bulk photoresist) for more robust and/or flexible endpoint control.
  • FIGS. 1A and 1B is an illustrative graph of a hydrogen emission peak for a blanket photoresist and an arsenic implanted photoresist;
  • FIG. 2 is an illustrative graph of a hydrogen emission peak for three arsenic implanted substrates during a substrate test showing repeatability of an hydrogen emission peak;
  • FIG. 3 is a flow diagram of one embodiment of a method of the present invention.
  • FIGS. 4 A-B are illustrative graphs of hydrogen and oxygen emission traces for stripping of unimplanted photoresist (FIG. 4A), arsenic implanted photoresist (FIG. 4B), phosphorous implanted photoresist (FIG. 4C) and boron implanted photoresist (FIG. 4D); and
  • FIG. 5 is a schematic diagram of one embodiment of an illustrative chamber used to perform the method of the present invention.
  • the invention relates to a method for monitoring and detecting optical emission endpoint(s), more particularly hydrogen emissions, for photoresist stripping and removal of residues from a substrate or a film stack on a substrate.
  • a method determines and uses a hydrogen optical emission peak for identifying an endpoint of a photoresist stripping process, including blanket and patterned photoresist, post-implant photoresist, and post-plasma etch photoresist.
  • the invention comprises a method to use optical emission endpoint in general, and hydrogen peak specifically, to monitor the transition from crust removal to bulk photoresist removal for post-implant stripping.
  • the hydrogen endpoint trace is a more direct measure of stripping for patterned implant substrates (compared to other peaks such as oxygen).
  • the present invention uses, in one embodiment, the hydrogen optical emission peak at 656 nm to monitor endpoint for ion implant strip, and can be applied to other reducing chemistry based stripping processes for strip and residue removal after the etching of low dielectric constant films (low k films), and for other applications.
  • the hydrogen signal can be especially useful because the crust layer is hydrogen-depleted relative to the bulk photoresist.
  • monitoring for the rise and leveling-off of the hydrogen peak (656 nm) indicates that the hydrogen-depleted crust layer is removed and that the hydrogen-rich bulk photoresist has been reached.
  • the ability to accurately identify the crust removal clearing time is of use for identifying changes in substrate conditions or in situations where a multi-step stripping recipe is beneficial.
  • FIGS. 1 A-B depict the hydrogen emission trace that occurs during removal of an unimplanted photoresist layer (FIG. 1A) and arsenic implanted photoresist layer (FIG. 1B).
  • the graphs 100 and 102 depict emission intensity (axis 104 ) versus time (axis 106 ).
  • the hydrogen emission trace 108 increases (portion 110 ) then levels off (portion 112 ), and then decreases (portion 114 ), allowing endpoint detection as the photoresist clears.
  • the clearing of the crust layer can be easily identified in trace 116 .
  • the crust layer is hydrogen deficient as described above, such that the hydrogen emission is low at the beginning of the stripping process (portion 118 ). As the crust is removed, the hydrogen emission increases (portion 120 ) until a plateau is reached ( 122 ). Finally, the bulk photoresist is removed and the hydrogen emission decreased (portion 124 ). The repeatability of the hydrogen emission peak during a 100 substrate run with implanted blanket photoresist monitor substrates is evident in the emission graphs for substrates 2 , 49 , and 99 shown in FIG. 2.
  • One advantage of the present invention is that the hydrogen signal is created as a process by-product, rather than a process reagent like oxygen.
  • the change in optical emission signal is a more direct measure of the photoresist removal process, as opposed to a process reagent which is more of an indirect measure of photoresist removal and may also include additional reactions not related to the photoresist removal process (such as reactions with residues on chamber walls or other locations other than the substrate).
  • a by-product peak should be less sensitive to non-uniformity issues, which, for the bulk strip step of post-implant strip, could lead to overly-short process times.
  • the hydrogen signal is significantly stronger in intensity and more well-defined than any of these other peaks and therefore provides a clearer endpoint trace.
  • water vapor is used in the recipe, where the water vapor may mask the OH peak.
  • the hydrogen emission can be monitored near the substrate surface in a remote plasma source reactor as described with respect to FIG. 5 below.
  • FIG. 3 is a flow diagram of a method 300 of the present invention.
  • the method begins at step 302 and proceeds to step 304 where a substrate is positioned in a process chamber capable of performing photoresist stripping.
  • a process chamber capable of performing photoresist stripping.
  • One such chamber is manufactured under the trademark AXIOMTM by Applied Materials, Inc. and described with respect to FIG. 5 below.
  • the method performs a plasma process in the strip chamber.
  • an oxygen-based plasma is used.
  • an oxidizing gas such as O 2
  • O 2 is applied to a remote plasma source at a flow rate of 100 to 10,000 sccm.
  • the oxidizing gas is formed into a plasma when 600 to 6000 watts of RF energy is applied to the source.
  • the gas pressure in the chamber is maintained at 0.3 to 3 Torr.
  • the temperature of the substrate is maintained at 15 to 300 degrees Celsius.
  • an RF bias of 100 to 2000 watts is applied to the substrate.
  • oxidizing gases can be used including, but not limited to, O 2 O 3 , N 2 O, H 2 O, CO, CO 2 , alcohols, and various combinations of these gases.
  • nonoxidizing gases may be used including, but not limited to, N 2 , H 2 O, H 2 , forming gas, NH 3 , CH 4 , C 2 H 6 , various halogenated gases (CF 4 , NF 3 , C 2 F 6 , C 4 F 8 , CH 3 F, CH 2 F 2 , CHF 3 ), combinations of these gases and the like.
  • the method 300 monitors the hydrogen emission within the plasma in the chamber.
  • the method responds to the emission magnitude.
  • the chamber parameters e.g., gases, power levels, pressure, temperature and the like
  • the emission can be used to optimize processing or to cease processing when the photoresist is removed.
  • one chemistry or recipe can be used for photoresist crust removal and a second chemistry or recipe can be used for bulk photoresist removal.
  • the bulk photoresist can be removed until another emission change occurs, then a third chemistry or recipe can be used to remove residue that remains from the stripping process.
  • the method 300 ends at step 312 .
  • a method uses a combination of a hydrogen optical emission with one (or more) additional emission peak(s) for more robust and/or flexible endpoint control.
  • step 308 can be used to monitor other emissions (shown in phantom).
  • the reactant oxygen signal provides multiple indicators of stripping though transition layers between the crust and bulk photoresist.
  • the method of the present invention permits identification of an early endpoint indicator by monitoring the reagent oxygen peak and a late/final indicator by monitoring the by-product hydrogen peak.
  • FIGS. 4 A-B depicts graphs hydrogen and oxygen optical emission traces during the stripping of blanket unimplanted (graph 400 ), arsenic implanted photoresist (graph 420 ), as well as phosphorous (graph 440 ) and boron (graph 460 ) implanted photoresist.
  • Each graph depicts emission magnitude (axis 404 ) versus time (axis 406 ).
  • the hydrogen emission is trace 408 and the oxygen emission is trace 410 and, in graph 420 , the hydrogen emission is trace 418 and the oxygen emission is trace 416 .
  • the hydrogen emission is trace 436 and the oxygen emission is trace 438 and, in graph 460 , the hydrogen emission is trace 456 and the oxygen emission is trace 458 .
  • the hydrogen and oxygen signals mirror each other since the hydrogen is a by-product peak and oxygen is a reactant peak.
  • the method can incorporate custom endpoint algorithms to minimize risk of mis-processing and maximize throughput by optimizing process duration.
  • utilization of the present invention can drastically reduce errors by providing a back-up wavelength.
  • using both signals simultaneously allows for more robust endpoint capability by providing a backup detection wavelength—if the endpoint is missed at one wavelength, the endpoint can be triggered by the other wavelength. Dual wavelength endpoint triggering occurs when either wavelength meets the endpoint conditions.
  • the dual wavelength optical emission can provide advantages for other processes, such as post-silicon etch photoresist strip and residue removal, where the process is switched at step 310 of FIG. 3 from resist stripping chemistry to residue removal and/or softening chemistry as the photoresist removal is detected.
  • the combination of the reactant oxygen and product hydrogen signals is most useful for controlling the plasma-on time for photoresist removal. Because residues are sometimes more difficult to remove when exposed to excessive oxygen radicals, inaccurate endpoint control can result in overly-long plasma-on times to ensure complete photoresist removal, which in turn reduces the efficacy of residue removal post-treatments. Accurate endpoint control limits the oxidizing plasma exposure, thereby increasing the effectiveness of residue-removal post-treatments.
  • FIG. 5 depicts a schematic diagram of the AXIOMTM reactor (or chamber) 500 that may be used to practice portions of the method 300 .
  • the AXIOM reactor 500 is described in detail in U.S. patent application Ser. No. 10/264,664, filed Oct. 4, 2002 and incorporated herein by reference.
  • the reactor 500 comprises a process chamber 502 , a remote plasma source 506 , and a controller 508 .
  • the process chamber 502 generally is a vacuum vessel, which comprises a first portion 510 and a second portion 512 .
  • the first portion 510 comprises a substrate pedestal 504 , a sidewall 516 and a vacuum pump 514 .
  • the second portion 512 comprises a lid 518 and a gas distribution plate (showerhead) 520 , which defines a gas mixing volume 522 and a reaction volume 524 .
  • the lid 518 and sidewall 516 are generally formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and electrically coupled to a ground reference 560 .
  • the sidewall comprises a window 594 (quartz) that is used to monitor the optical emissions within the plasma.
  • the window 594 is coupled to a light-collecting device 592 that carries the optical signals to the optical emission spectroscopy (OES) system 590 .
  • OES optical emission spectroscopy
  • the substrate pedestal 504 supports a substrate (wafer) 526 within the reaction volume 524 .
  • the substrate pedestal 504 may comprise a source of radiant heat, such as gas-filled lamps 528 , as well as an embedded resistive heater 530 and a conduit 532 .
  • the conduit 532 provides cooling water from a source 534 to the backside of the substrate pedestal 504 .
  • the substrate sits on the pedestal by gravity or, alternatively, can be mechanically clamped, vacuum clamped, or electrostatically clamped as in an electrostatic chuck. Gas conduction transfers heat from the pedestal 504 to the substrate 526 .
  • the temperature of the substrate 526 may be controlled between about 20 and 400 degrees Celsius.
  • the vacuum pump 514 is adapted to an exhaust port 536 formed in the sidewall 516 of the process chamber 502 .
  • the vacuum pump 514 is used to maintain a desired gas pressure in the process chamber 502 , as well as evacuate the post-processing gases and other volatile compounds from the chamber.
  • the vacuum pump 514 is augmented with a throttle valve 538 to control the gas pressure in the process chamber 502 .
  • the process chamber 502 also comprises conventional systems for retaining and releasing the substrate 526 , internal diagnostics, and the like. Such systems are collectively depicted in FIG. 5 as support systems 540 .
  • the remote plasma source 506 comprises a power source 546 , a gas panel 544 , and a remote plasma chamber 542 .
  • the power source 546 comprises a radio-frequency (RF) generator 548 , a tuning assembly 550 , and an applicator 552 .
  • the RF generator 548 is capable of producing of about 200 to 5000 W at a frequency of about 200 to 600 kHz.
  • the applicator 552 is inductively coupled to the remote plasma chamber 542 and energizes a process gas (or gas mixture) 564 to a plasma 562 in the chamber.
  • the remote plasma chamber 542 has a toroidal geometry that confines the plasma and facilitates efficient generation of radical species, as well as lowers the electron temperature of the plasma.
  • the remote plasma source 506 may be a microwave plasma source, however, the stripping rates are generally higher using the inductively coupled plasma.
  • the gas panel 544 uses a conduit 566 to deliver the process gas 564 to the remote plasma chamber 542 .
  • the gas panel 544 (or conduit 566 ) comprises means (not shown), such as mass flow controllers and shut-off valves, to control gas pressure and flow rate for each individual gas supplied to the chamber 542 .
  • the process gas 564 is ionized and dissociated to form reactive species.
  • the reactive species are directed into the mixing volume 522 through an inlet port 568 in the lid 518 .
  • the ionic species of the process gas 564 are substantially neutralized within the mixing volume 522 before the gas reaches the reaction volume 524 through a plurality of openings 570 in the showerhead 520 .
  • the controller 508 comprises a central processing unit (CPU) 554 , a memory 556 , and a support circuit 558 .
  • the CPU 554 may be any form of a general-purpose computer processor used in an industrial setting.
  • Software routines can be stored in the memory 556 , such as random access memory, read only memory, floppy or hard disk, or other form of digital storage.
  • the support circuit 558 is conventionally coupled to the CPU 554 and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
  • the software routines when executed by the CPU 554 , transform the CPU into a specific purpose computer (controller) 508 that controls the reactor 500 such that the processes (e.g., method 300 of FIG. 3) are performed in accordance with the present invention.
  • the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 500 .
  • the AXIOMTM chamber has a window port 594 for attaching a light-collecting device 592 (e.g., a fiber optic probe and cable) to monitor plasma intensity.
  • the window is located slightly above the substrate plane for collecting emission intensity along a line parallel to the substrate.
  • Optical emission spectroscopy hardware 590 based on either a monochromator that can be set to monitor the emission (above the substrate) of a particular wavelength within the entire spectrum or hardware based on bandwidth filter(s), or even a spectrometer, can be used.
  • An exemplary embodiment of the present invention may use a detector unit with two bandpass filters on the chamber. In such an embodiment, one of the filters includes the 656 nm emission, or hydrogen optical emission peak, wavelength.
  • a detector unit may be utilized with one or more bandpass filters coupled to the chamber.
  • the oxygen emission peak(s) of 777 nm and/or 845 nm can also be utilized, either singly or jointly in combination with the hydrogen emission peak.
  • the relative intensities of these peaks so measured and monitored could be indicative of the conditions of the plasma sources and chamber surfaces and be used to provide a proper “fingerprint” of a clean or “golden” chamber.
  • the magnitude of the emissions can be used to determine when a cleaning cycle is necessary or whether components within the chamber are degrading, i.e., certain emissions are indicative of chamber health.

Abstract

A method for monitoring and detecting a hydrogen optical emission while performing photoresist stripping and removal of residues from a substrate or a film stack on a substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Serial No. 60/447,625, filed Feb. 15, 2003, which is herein incorporated by reference.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates generally to a method for semiconductor substrate processing. More specifically, the invention relates to a method for monitoring and detecting optical emission endpoint(s), for photoresist stripping and removal of residues from a substrate or a film stack on a substrate. [0002]
  • BACKGROUND OF THE INVENTION
  • As a part of semiconductor manufacturing, various layers of dielectric, semiconducting, and conducting films, such as silicon dioxide, polysilicon, and metal compounds and alloys, are deposited on a silicon substrate. Features are defined in these layers by a process including lithography and etching. Such a process comprises coating a substrate with photoresist, patterning the photoresist, and then transferring this pattern to the underlying layers during etching by using the patterned photoresist as an etch mask. Many of these etch processes leave photoresist and post-etch residues on the substrate and must be removed before performing the next process step. [0003]
  • Patterned photoresist also serves as an ion implant mask for preferentially doping semiconductor substrates in selected areas. The doping or implantation process includes exposing the substrate to ions or an electronic beam of implant species, for example, arsenic (As), boron (B, BF[0004] 2, BF4), phosphorous (P), indium (In), antimony (Sb) and hydrogen (H). The ion implantation process dehydrogenates the photoresist material, resulting in a hydrogen deficient, carbonized crust layer that is typically one to several thousand angstroms thick on top of the bulk photoresist. This makes the characteristics of the photoresist material vertically non-uniform such that uniform removal (stripping) of the photoresist can be difficult. As such, the photoresist removal process may result in non-uniform removal and substantial post-implant residue remaining on the substrate. Consequently, a technique for monitoring removal of the photoresist is necessary such that the photoresist removal process can be controlled as the characteristics of the material change.
  • Optical emission spectroscopy is commonly used to detect the endpoint of plasma etch processes. Plasma transitions of reactant or product species emit photons which can be detected in the ultraviolet, visible and near-infrared ranges. Thus, the endpoint is usually based on increasing signal for reactants or decreasing signal for products. The endpoint is identified when either the reactants or products attain a specific concentration (i.e., the respective signals cross a threshold level). However, such an endpoint detection technique does not account for the variations in the characteristics of a photoresist layer that has been exposed to an ion beam. [0005]
  • Therefore, there is a need in the art for a method and apparatus for performing optical emission endpoint detection for photoresist strip and residue removal especially when using a chamber having a remote plasma source. [0006]
  • SUMMARY OF THE INVENTION
  • The invention relates to a method for monitoring and detecting optical emission endpoint(s), more particularly hydrogen emissions within a plasma, for photoresist stripping and removal of residues from a substrate or a film stack on a substrate. The invention determines and uses a hydrogen optical emission peak to identify the endpoint of a photoresist stripping process. More specifically, the invention also relates to a method using optical emission endpoint in general, and hydrogen peak specifically, to monitor the transition from crust removal to bulk photoresist removal for post-implant stripping. Since the hydrogen content of the crust layer is significantly lower than that of the bulk photoresist, removal of both crust and bulk can be monitored during stripping within a remote plasma chamber. By this method, the hydrogen peak also provides a simpler and more direct endpoint trace for patterned implant substrates (compared to other peaks such as oxygen). As such, using the hydrogen peak allows for simpler endpoint algorithms. [0007]
  • This invention also comprises the combination of a hydrogen optical emission monitoring with at least one additional emission peak (e.g., oxygen or other reactants, or other by-product volatile gases formed from components of the bulk photoresist) for more robust and/or flexible endpoint control.[0008]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0009]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0010]
  • FIGS. 1A and 1B is an illustrative graph of a hydrogen emission peak for a blanket photoresist and an arsenic implanted photoresist; [0011]
  • FIG. 2 is an illustrative graph of a hydrogen emission peak for three arsenic implanted substrates during a substrate test showing repeatability of an hydrogen emission peak; [0012]
  • FIG. 3 is a flow diagram of one embodiment of a method of the present invention; [0013]
  • FIGS. [0014] 4A-B are illustrative graphs of hydrogen and oxygen emission traces for stripping of unimplanted photoresist (FIG. 4A), arsenic implanted photoresist (FIG. 4B), phosphorous implanted photoresist (FIG. 4C) and boron implanted photoresist (FIG. 4D); and
  • FIG. 5 is a schematic diagram of one embodiment of an illustrative chamber used to perform the method of the present invention.[0015]
  • DETAILED DESCRIPTION
  • The invention relates to a method for monitoring and detecting optical emission endpoint(s), more particularly hydrogen emissions, for photoresist stripping and removal of residues from a substrate or a film stack on a substrate. In one embodiment of the invention, a method determines and uses a hydrogen optical emission peak for identifying an endpoint of a photoresist stripping process, including blanket and patterned photoresist, post-implant photoresist, and post-plasma etch photoresist. In addition, the invention comprises a method to use optical emission endpoint in general, and hydrogen peak specifically, to monitor the transition from crust removal to bulk photoresist removal for post-implant stripping. By this method, the hydrogen endpoint trace is a more direct measure of stripping for patterned implant substrates (compared to other peaks such as oxygen). [0016]
  • The present invention uses, in one embodiment, the hydrogen optical emission peak at 656 nm to monitor endpoint for ion implant strip, and can be applied to other reducing chemistry based stripping processes for strip and residue removal after the etching of low dielectric constant films (low k films), and for other applications. [0017]
  • For the crust removal process in post-implant strip, the hydrogen signal can be especially useful because the crust layer is hydrogen-depleted relative to the bulk photoresist. Thus, in accord with an embodiment of the present invention, monitoring for the rise and leveling-off of the hydrogen peak (656 nm) indicates that the hydrogen-depleted crust layer is removed and that the hydrogen-rich bulk photoresist has been reached. The ability to accurately identify the crust removal clearing time is of use for identifying changes in substrate conditions or in situations where a multi-step stripping recipe is beneficial. [0018]
  • FIGS. [0019] 1A-B depict the hydrogen emission trace that occurs during removal of an unimplanted photoresist layer (FIG. 1A) and arsenic implanted photoresist layer (FIG. 1B). The graphs 100 and 102 depict emission intensity (axis 104) versus time (axis 106). During the stripping of photoresist from the unimplanted substrate, the hydrogen emission trace 108 increases (portion 110) then levels off (portion 112), and then decreases (portion 114), allowing endpoint detection as the photoresist clears. For the implanted substrate, the clearing of the crust layer can be easily identified in trace 116. The crust layer is hydrogen deficient as described above, such that the hydrogen emission is low at the beginning of the stripping process (portion 118). As the crust is removed, the hydrogen emission increases (portion 120) until a plateau is reached (122). Finally, the bulk photoresist is removed and the hydrogen emission decreased (portion 124). The repeatability of the hydrogen emission peak during a 100 substrate run with implanted blanket photoresist monitor substrates is evident in the emission graphs for substrates 2, 49, and 99 shown in FIG. 2.
  • One advantage of the present invention is that the hydrogen signal is created as a process by-product, rather than a process reagent like oxygen. Thus, the change in optical emission signal is a more direct measure of the photoresist removal process, as opposed to a process reagent which is more of an indirect measure of photoresist removal and may also include additional reactions not related to the photoresist removal process (such as reactions with residues on chamber walls or other locations other than the substrate). A by-product peak should be less sensitive to non-uniformity issues, which, for the bulk strip step of post-implant strip, could lead to overly-short process times. While other by-product signals may also be used to signal the end of the crust removal (e.g., the OH peak at ˜311 nm), the hydrogen signal is significantly stronger in intensity and more well-defined than any of these other peaks and therefore provides a clearer endpoint trace. In addition, when using of the hydrogen peak over the OH peak, it may be advantageous if water vapor is used in the recipe, where the water vapor may mask the OH peak. [0020]
  • Furthermore, as a process by-product, the hydrogen emission can be monitored near the substrate surface in a remote plasma source reactor as described with respect to FIG. 5 below. [0021]
  • FIG. 3 is a flow diagram of a [0022] method 300 of the present invention. The method begins at step 302 and proceeds to step 304 where a substrate is positioned in a process chamber capable of performing photoresist stripping. One such chamber is manufactured under the trademark AXIOM™ by Applied Materials, Inc. and described with respect to FIG. 5 below.
  • At [0023] step 306, the method performs a plasma process in the strip chamber. To remove photoresist, an oxygen-based plasma is used. For example, an oxidizing gas such as O2, is applied to a remote plasma source at a flow rate of 100 to 10,000 sccm. The oxidizing gas is formed into a plasma when 600 to 6000 watts of RF energy is applied to the source. The gas pressure in the chamber is maintained at 0.3 to 3 Torr. The temperature of the substrate is maintained at 15 to 300 degrees Celsius. In one embodiment of the invention, an RF bias of 100 to 2000 watts is applied to the substrate. Various oxidizing gases can be used including, but not limited to, O2 O3, N2O, H2O, CO, CO2, alcohols, and various combinations of these gases. In other embodiments of the invention, nonoxidizing gases may be used including, but not limited to, N2, H2O, H2, forming gas, NH3, CH4, C2H6, various halogenated gases (CF4, NF3, C2F6, C4F8, CH3F, CH2F2, CHF3), combinations of these gases and the like.
  • At [0024] step 308, the method 300 monitors the hydrogen emission within the plasma in the chamber. At step 310, the method responds to the emission magnitude. In one embodiment, the chamber parameters, (e.g., gases, power levels, pressure, temperature and the like) may be altered upon detecting a change in the hydrogen emission. As such, the emission can be used to optimize processing or to cease processing when the photoresist is removed. Alternatively, one chemistry or recipe can be used for photoresist crust removal and a second chemistry or recipe can be used for bulk photoresist removal. Similarly, the bulk photoresist can be removed until another emission change occurs, then a third chemistry or recipe can be used to remove residue that remains from the stripping process. The method 300 ends at step 312.
  • In another embodiment of the present invention, a method uses a combination of a hydrogen optical emission with one (or more) additional emission peak(s) for more robust and/or flexible endpoint control. As such, [0025] step 308 can be used to monitor other emissions (shown in phantom).
  • The use of the product hydrogen signal in combination with other optical emission peaks can provide several advantages. For example, the reactant oxygen signal provides multiple indicators of stripping though transition layers between the crust and bulk photoresist. Also, the method of the present invention permits identification of an early endpoint indicator by monitoring the reagent oxygen peak and a late/final indicator by monitoring the by-product hydrogen peak. FIGS. [0026] 4A-B depicts graphs hydrogen and oxygen optical emission traces during the stripping of blanket unimplanted (graph 400), arsenic implanted photoresist (graph 420), as well as phosphorous (graph 440) and boron (graph 460) implanted photoresist. Each graph depicts emission magnitude (axis 404) versus time (axis 406). In graph 400, the hydrogen emission is trace 408 and the oxygen emission is trace 410 and, in graph 420, the hydrogen emission is trace 418 and the oxygen emission is trace 416. In graph 440, the hydrogen emission is trace 436 and the oxygen emission is trace 438 and, in graph 460, the hydrogen emission is trace 456 and the oxygen emission is trace 458. These data show that the implant species and conditions vary the specific intensity versus time values, but that the general shape of the emissions traces is the same, allowing for use of the method described herein. In this example of an embodiment of the present invention, the hydrogen and oxygen signals mirror each other since the hydrogen is a by-product peak and oxygen is a reactant peak. By measuring and monitoring both wavelengths, the method can incorporate custom endpoint algorithms to minimize risk of mis-processing and maximize throughput by optimizing process duration. In addition, utilization of the present invention can drastically reduce errors by providing a back-up wavelength. In other words, using both signals, simultaneously allows for more robust endpoint capability by providing a backup detection wavelength—if the endpoint is missed at one wavelength, the endpoint can be triggered by the other wavelength. Dual wavelength endpoint triggering occurs when either wavelength meets the endpoint conditions.
  • The dual wavelength optical emission can provide advantages for other processes, such as post-silicon etch photoresist strip and residue removal, where the process is switched at [0027] step 310 of FIG. 3 from resist stripping chemistry to residue removal and/or softening chemistry as the photoresist removal is detected. The combination of the reactant oxygen and product hydrogen signals is most useful for controlling the plasma-on time for photoresist removal. Because residues are sometimes more difficult to remove when exposed to excessive oxygen radicals, inaccurate endpoint control can result in overly-long plasma-on times to ensure complete photoresist removal, which in turn reduces the efficacy of residue removal post-treatments. Accurate endpoint control limits the oxidizing plasma exposure, thereby increasing the effectiveness of residue-removal post-treatments.
  • The present inventive method may be used on a variety of systems as the hardware requirements for the implementation of this invention are not unique. FIG. 5 depicts a schematic diagram of the AXIOM™ reactor (or chamber) [0028] 500 that may be used to practice portions of the method 300. The AXIOM reactor 500 is described in detail in U.S. patent application Ser. No. 10/264,664, filed Oct. 4, 2002 and incorporated herein by reference. The reactor 500 comprises a process chamber 502, a remote plasma source 506, and a controller 508.
  • The [0029] process chamber 502 generally is a vacuum vessel, which comprises a first portion 510 and a second portion 512. In one embodiment, the first portion 510 comprises a substrate pedestal 504, a sidewall 516 and a vacuum pump 514. The second portion 512 comprises a lid 518 and a gas distribution plate (showerhead) 520, which defines a gas mixing volume 522 and a reaction volume 524. The lid 518 and sidewall 516 are generally formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and electrically coupled to a ground reference 560. The sidewall comprises a window 594 (quartz) that is used to monitor the optical emissions within the plasma. The window 594 is coupled to a light-collecting device 592 that carries the optical signals to the optical emission spectroscopy (OES) system 590.
  • The [0030] substrate pedestal 504 supports a substrate (wafer) 526 within the reaction volume 524. In one embodiment, the substrate pedestal 504 may comprise a source of radiant heat, such as gas-filled lamps 528, as well as an embedded resistive heater 530 and a conduit 532. The conduit 532 provides cooling water from a source 534 to the backside of the substrate pedestal 504. The substrate sits on the pedestal by gravity or, alternatively, can be mechanically clamped, vacuum clamped, or electrostatically clamped as in an electrostatic chuck. Gas conduction transfers heat from the pedestal 504 to the substrate 526. The temperature of the substrate 526 may be controlled between about 20 and 400 degrees Celsius.
  • The [0031] vacuum pump 514 is adapted to an exhaust port 536 formed in the sidewall 516 of the process chamber 502. The vacuum pump 514 is used to maintain a desired gas pressure in the process chamber 502, as well as evacuate the post-processing gases and other volatile compounds from the chamber. In one embodiment, the vacuum pump 514 is augmented with a throttle valve 538 to control the gas pressure in the process chamber 502.
  • The [0032] process chamber 502 also comprises conventional systems for retaining and releasing the substrate 526, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 5 as support systems 540.
  • The [0033] remote plasma source 506 comprises a power source 546, a gas panel 544, and a remote plasma chamber 542. In one embodiment, the power source 546 comprises a radio-frequency (RF) generator 548, a tuning assembly 550, and an applicator 552. The RF generator 548 is capable of producing of about 200 to 5000 W at a frequency of about 200 to 600 kHz. The applicator 552 is inductively coupled to the remote plasma chamber 542 and energizes a process gas (or gas mixture) 564 to a plasma 562 in the chamber. In this embodiment, the remote plasma chamber 542 has a toroidal geometry that confines the plasma and facilitates efficient generation of radical species, as well as lowers the electron temperature of the plasma. In other embodiments, the remote plasma source 506 may be a microwave plasma source, however, the stripping rates are generally higher using the inductively coupled plasma.
  • The [0034] gas panel 544 uses a conduit 566 to deliver the process gas 564 to the remote plasma chamber 542. The gas panel 544 (or conduit 566) comprises means (not shown), such as mass flow controllers and shut-off valves, to control gas pressure and flow rate for each individual gas supplied to the chamber 542. In the plasma 562, the process gas 564 is ionized and dissociated to form reactive species.
  • The reactive species are directed into the mixing [0035] volume 522 through an inlet port 568 in the lid 518. To minimize charge-up plasma damage to devices on the substrate 526, the ionic species of the process gas 564 are substantially neutralized within the mixing volume 522 before the gas reaches the reaction volume 524 through a plurality of openings 570 in the showerhead 520.
  • The [0036] controller 508 comprises a central processing unit (CPU) 554, a memory 556, and a support circuit 558. The CPU 554 may be any form of a general-purpose computer processor used in an industrial setting. Software routines can be stored in the memory 556, such as random access memory, read only memory, floppy or hard disk, or other form of digital storage. The support circuit 558 is conventionally coupled to the CPU 554 and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
  • The software routines, when executed by the [0037] CPU 554, transform the CPU into a specific purpose computer (controller) 508 that controls the reactor 500 such that the processes (e.g., method 300 of FIG. 3) are performed in accordance with the present invention. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 500.
  • The AXIOM™ chamber has a [0038] window port 594 for attaching a light-collecting device 592 (e.g., a fiber optic probe and cable) to monitor plasma intensity. The window is located slightly above the substrate plane for collecting emission intensity along a line parallel to the substrate. Optical emission spectroscopy hardware 590 based on either a monochromator that can be set to monitor the emission (above the substrate) of a particular wavelength within the entire spectrum or hardware based on bandwidth filter(s), or even a spectrometer, can be used. An exemplary embodiment of the present invention may use a detector unit with two bandpass filters on the chamber. In such an embodiment, one of the filters includes the 656 nm emission, or hydrogen optical emission peak, wavelength.
  • In addition to process control and process recipe endpointing, the use of hydrogen, optical emission or hydrogen combined with a second wavelength such as that of oxygen can also be used to monitor chamber health. In such an embodiment of the present invention, a detector unit may be utilized with one or more bandpass filters coupled to the chamber. The oxygen emission peak(s) of 777 nm and/or 845 nm can also be utilized, either singly or jointly in combination with the hydrogen emission peak. The relative intensities of these peaks so measured and monitored could be indicative of the conditions of the plasma sources and chamber surfaces and be used to provide a proper “fingerprint” of a clean or “golden” chamber. The magnitude of the emissions can be used to determine when a cleaning cycle is necessary or whether components within the chamber are degrading, i.e., certain emissions are indicative of chamber health. [0039]
  • While foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. [0040]

Claims (26)

1. A method of removing a photoresist layer comprising:
positioning a substrate comprising a photoresist layer into a processing chamber;
removing the photoresist layer using a plasma; and
monitoring the plasma for a hydrogen optical emission during the process.
2. The method of claim 1 wherein the photoresist layer comprises a hardened crust layer.
3. The method of claim 1 wherein the photoresist layer is implanted with an implant species.
4. The method of claim 1 wherein the photoresist layer has been exposed to ions.
5. The method of claim 1 wherein the photoresist layer has been exposed to an electron beam.
6. The method of claim 2 wherein the monitoring step produces a signal having a first level while etching the crust and produces a signal having a second level after the crust has been removed.
7. The method of claim 1 wherein the hydrogen optical emission occurs at a wavelength of about 656 nm.
8. The method of claim 1 further comprising:
monitoring the plasma for an oxygen optical emission while etching.
9. The method of claim 8 wherein the oxygen optical emission occurs at a wavelength of about 777 nm.
10. The method of claim 1 further comprising:
stopping the etching upon the hydrogen optical emission obtaining a predetermined level.
11. The method of claim 8 further comprising:
stopping the etching upon either the hydrogen optical emission obtaining a first level or the oxygen optical emission obtaining a second level, or both.
12. The method of claim 2 further comprising:
monitoring the plasma for an oxygen optical emission while etching.
13. The method of claim 12 wherein the oxygen optical emission monitoring step produces an oxygen optical emission signal having a first level while etching the crust and a second level after the crust is removed.
14. The method of claim 13 wherein the oxygen optical emission signal has a third level after the photoresist is removed.
15. The method of claim 8 wherein the hydrogen optical emission is correlated with the oxygen optical emission.
16. A method of etching a photoresist layer comprising:
providing a substrate comprising a photoresist layer to a process chamber;
etching the photoresist layer using a plasma; and
monitoring the plasma for both a hydrogen optical emission and an oxygen optical emission while etching.
17. The method of claim 16 wherein the photoresist layer comprises a crust.
18. The method of claim 16 wherein the photoresist layer is implanted with an implant species.
19. The method of claim 16, wherein the photoresist layer is implanted with at least one of As, B, BF2, BF4, P, In, Sb or H.
20. The method of claim 16 wherein the photoresist layer has been exposed to an ion beam.
21. The method of claim 16 wherein the hydrogen optical emission occurs at a wavelength of about 656 nm.
22. The method of claim 16 wherein the oxygen optical emission occurs at a wavelength of about 777 nm.
23. The method of claim 16 further comprising:
stopping the etching upon either the hydrogen optical emission obtaining a first level or the oxygen optical emission obtaining a second level, or both.
24. The method of claim 16 wherein the oxygen optical emission monitoring step produces an oxygen optical emission signal having a first level while etching the crust and a second level after the crust is removed, and wherein the hydrogen optical emission monitoring step produces a hydrogen optical emission signal having a third level while etching the crust and a fourth level after the crust is removed.
25. The method of claim 16 wherein the oxygen optical emission signal has a fifth level after the photoresist is removed.
26. The method of claim 16 wherein the hydrogen optical emission is correlated with the oxygen optical emission.
US10/776,672 2003-02-15 2004-02-11 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal Abandoned US20040195208A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/776,672 US20040195208A1 (en) 2003-02-15 2004-02-11 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US11/467,842 US7648916B2 (en) 2003-02-15 2006-08-28 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44762503P 2003-02-15 2003-02-15
US10/776,672 US20040195208A1 (en) 2003-02-15 2004-02-11 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/467,842 Continuation US7648916B2 (en) 2003-02-15 2006-08-28 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal

Publications (1)

Publication Number Publication Date
US20040195208A1 true US20040195208A1 (en) 2004-10-07

Family

ID=33101166

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/776,672 Abandoned US20040195208A1 (en) 2003-02-15 2004-02-11 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US11/467,842 Expired - Fee Related US7648916B2 (en) 2003-02-15 2006-08-28 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/467,842 Expired - Fee Related US7648916B2 (en) 2003-02-15 2006-08-28 Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal

Country Status (1)

Country Link
US (2) US20040195208A1 (en)

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20070264841A1 (en) * 2006-05-10 2007-11-15 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US20090104783A1 (en) * 2005-03-30 2009-04-23 Cheng-Guo Jin Asher, Ashing Method and Impurity Doping Apparatus
US20120095582A1 (en) * 2010-10-15 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
EP2398042B1 (en) * 2009-02-12 2016-10-12 Mitsui Engineering & Shipbuilding Co., Ltd. Thin film forming method
WO2017147365A1 (en) * 2016-02-26 2017-08-31 Mattson Technology, Inc. Implanted photoresist stripping process
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) * 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11114350B2 (en) * 2019-04-12 2021-09-07 Applied Materials, Inc. Method for removing photoresist from photomask substrate
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN102652351B (en) 2009-12-11 2016-10-05 诺发系统有限公司 The enhanced passivation technology of protection silicon before high dose is implanted and divested
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936967A (en) * 1987-01-05 1990-06-26 Hitachi, Ltd. Method of detecting an end point of plasma treatment
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US5397432A (en) * 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5871658A (en) * 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US20010027023A1 (en) * 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US6352870B1 (en) * 2000-06-12 2002-03-05 Advanced Micro Devices, Inc. Method of endpointing plasma strip process by measuring wafer temperature
US6419801B1 (en) * 1998-04-23 2002-07-16 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US20020135761A1 (en) * 2000-11-29 2002-09-26 Gary Powell Method and device utilizing plasma source for real-time gas sampling
US20020151156A1 (en) * 2000-12-22 2002-10-17 Hallock John Scott Process for removal of photoresist after post ion implantation
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US20020198682A1 (en) * 2001-06-21 2002-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
US20030015660A1 (en) * 2001-07-19 2003-01-23 Chie Shishido Method and system for monitoring a semiconductor device manufacturing process
US6521003B2 (en) * 1998-09-02 2003-02-18 Sasol Technology (Pty) Limited Treatment of solid carbonaceous material

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262279A (en) * 1990-12-21 1993-11-16 Intel Corporation Dry process for stripping photoresist from a polyimide surface

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936967A (en) * 1987-01-05 1990-06-26 Hitachi, Ltd. Method of detecting an end point of plasma treatment
US5397432A (en) * 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5871658A (en) * 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers
US6419801B1 (en) * 1998-04-23 2002-07-16 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6521003B2 (en) * 1998-09-02 2003-02-18 Sasol Technology (Pty) Limited Treatment of solid carbonaceous material
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US20010027023A1 (en) * 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US6352870B1 (en) * 2000-06-12 2002-03-05 Advanced Micro Devices, Inc. Method of endpointing plasma strip process by measuring wafer temperature
US20020135761A1 (en) * 2000-11-29 2002-09-26 Gary Powell Method and device utilizing plasma source for real-time gas sampling
US20020151156A1 (en) * 2000-12-22 2002-10-17 Hallock John Scott Process for removal of photoresist after post ion implantation
US20020198682A1 (en) * 2001-06-21 2002-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
US20030015660A1 (en) * 2001-07-19 2003-01-23 Chie Shishido Method and system for monitoring a semiconductor device manufacturing process

Cited By (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090104783A1 (en) * 2005-03-30 2009-04-23 Cheng-Guo Jin Asher, Ashing Method and Impurity Doping Apparatus
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20070264841A1 (en) * 2006-05-10 2007-11-15 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7605063B2 (en) 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
EP2398042B1 (en) * 2009-02-12 2016-10-12 Mitsui Engineering & Shipbuilding Co., Ltd. Thin film forming method
US20120095582A1 (en) * 2010-10-15 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) * 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10078266B2 (en) * 2016-02-26 2018-09-18 Mattson Technology, Inc. Implanted photoresist stripping process
TWI697940B (en) * 2016-02-26 2020-07-01 美商得昇科技股份有限公司 Implanted photoresist stripping process by layers using inductively coupled plasma strippers
CN108701586A (en) * 2016-02-26 2018-10-23 马特森技术有限公司 The lift-off processing of photoresist through injection
WO2017147365A1 (en) * 2016-02-26 2017-08-31 Mattson Technology, Inc. Implanted photoresist stripping process
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114350B2 (en) * 2019-04-12 2021-09-07 Applied Materials, Inc. Method for removing photoresist from photomask substrate

Also Published As

Publication number Publication date
US7648916B2 (en) 2010-01-19
US20060289384A1 (en) 2006-12-28

Similar Documents

Publication Publication Date Title
US7648916B2 (en) Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
KR101046918B1 (en) Method and system for monitoring the etching process
US5877032A (en) Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
JP6646978B2 (en) Contact cleaning for high aspect ratio structures
CN1333308C (en) Method for controlling accuracy and repeatability of an etch process
JP4801045B2 (en) Method for removing chamber residue from a plasma processing system in a dry cleaning process
US6916396B2 (en) Etching system and etching method
EP1098189B1 (en) Method for detecting an end point for an oxygen free plasma process
EP1918979A2 (en) Etching oxide with high selectivity to titanium nitride
US7662646B2 (en) Plasma processing method and plasma processing apparatus for performing accurate end point detection
JP5106424B2 (en) Method and system for selective etching of dielectric material to silicon
KR20010052752A (en) Chamber having improved process monitoring window
WO2005111265A1 (en) Method and system of dry cleaning a processing chamber
KR102227883B1 (en) Atomic layer etching process using plasma with rapid thermal activation process
KR20180046860A (en) Plasma processing apparatus
US20100190098A1 (en) Infrared endpoint detection for photoresist strip processes
JP4594235B2 (en) Method for etching an ARC layer
US6340603B1 (en) Plasma emission detection during lateral processing of photoresist mask
US10541184B2 (en) Optical emission spectroscopic techniques for monitoring etching
JP2006522480A (en) Method and apparatus for dry development of multilayer photoresist
US20040018647A1 (en) Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
KR20200060624A (en) Apparatus and Method for Plasma treating and method of fabricating semiconductor using the same
JP2944802B2 (en) Dry etching method
JP3946467B2 (en) Dry etching method
JP2000021857A (en) Method and device for reactive ion etching

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PAVEL, ELIZABETH G.;KAWAGUCHI, MARK N.;PAPANU, JAMES S.;REEL/FRAME:015455/0400

Effective date: 20040213

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION