US20040159335A1 - Method and apparatus for removing organic layers - Google Patents

Method and apparatus for removing organic layers Download PDF

Info

Publication number
US20040159335A1
US20040159335A1 US10/456,995 US45699503A US2004159335A1 US 20040159335 A1 US20040159335 A1 US 20040159335A1 US 45699503 A US45699503 A US 45699503A US 2004159335 A1 US2004159335 A1 US 2004159335A1
Authority
US
United States
Prior art keywords
processing
substrate
chamber
radiation
chemistry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/456,995
Inventor
Garry Montierth
Robert Matthews
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PCT Systems Inc
Original Assignee
PCT Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/150,748 external-priority patent/US20040154641A1/en
Application filed by PCT Systems Inc filed Critical PCT Systems Inc
Priority to US10/456,995 priority Critical patent/US20040159335A1/en
Assigned to P.C.T. SYSTEMS, INC. reassignment P.C.T. SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MONTIERTH, GARRY L.
Priority to TW093112420A priority patent/TW200508419A/en
Priority to DE200410025959 priority patent/DE102004025959A1/en
Priority to KR1020040040948A priority patent/KR20040105567A/en
Publication of US20040159335A1 publication Critical patent/US20040159335A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/005Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by infrared radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Definitions

  • a second step of exposing the ashed substrate surface to wet processing in the piranha process with Caro's acid (a combination of sulfuric acid and hydrogen peroxide) at temperatures over 100° C. is conventionally employed. Neither of the ozone ashing nor the wet processing stages are effective alone. Moreover, the intense microwave radiation applied to generate the plasma creates long-lived reactive chemical species, typically radicals, which may damage fragile structures present on the substrate surface.
  • Embodiments in accordance with the present invention provide methods and apparatuses for heating a substrate with radiation during chemical processing.
  • radiation in the radio or microwave portion of the electromagnetic spectrum is applied to a substrate housed within a processing chamber in order to promote desirable chemical reactions involving the substrate.
  • Processing in accordance with embodiments of the present invention may utilize the application of microwaves, RF, IR, or UV radiation, or electromagnetic induction, to heat the substrate.
  • Alternative embodiments of the present invention may use combinations of these energy types for more effective processing.
  • UV radiation may be introduced into the chamber in conjunction with microwave heating in order to generate reactive species from the processing chemistry.
  • Processing in accordance with embodiments of the present invention may take place at elevated pressures to enhance concentrations of reactant material, or may take place at sub-ambient pressures in order to prolong the lifetime and hence processing effectiveness of radicals or other reactive species present within the chamber.
  • One particular promising embodiment of the present invention is the stripping of photoresists that have been subjected to ion implantation, utilizing exposure of the implanted wafers to ozone gas.
  • Processing chemistry introduced into the chamber to react with the heated substrate may be in the form of a gas, a liquid, or some combination of a gas and a liquid such as a mist.
  • the processing chemistry could also be utilized in the form of a solid such as a dust.
  • the processing chemistry may be transported to or through the processing chamber under the influence of a pressure differential.
  • An embodiment of a method in accordance with the present invention for performing processing of a substrate comprises, providing a processing chamber, inserting a substrate into the processing chamber, and introducing a processing chemistry into the processing chamber.
  • the processing chamber is pressurized by at least one of introducing a component of the processing chemistry into the processing chamber and introducing a gas into the processing chamber.
  • Radiation is applied to heat at least one of a layer of the substrate and a component of the processing chemistry, thereby promoting reaction between the substrate and the processing chemistry, wherein the pressurizing step occurs at least one of before, after, and simultaneously with radiation application step.
  • An embodiment of an apparatus in accordance with the present invention for processing a substrate comprises, a chamber in fluid communication with a processing chemistry source, and a pressurization source in fluid communication with the chamber, the pressurization source operable to increase a pressure within the chamber during processing.
  • a radiation source is in communication with the chamber to heat at least one of a layer of a substrate, a substrate contacting member, and a processing chemistry positioned within the chamber.
  • FIG. 1 shows a simplified cross-sectional view of one embodiment of an apparatus for processing a substrate in accordance with the present invention.
  • FIG. 2 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing processing in accordance with the present invention.
  • FIG. 3 shows a simplified plan view of another alternative embodiment of a processing apparatus in accordance with the present invention.
  • FIG. 4 shows a simplified cross-sectional view of another alternative embodiment in accordance with the present invention.
  • FIG. 5 shows a simplified plan view of yet another alternative embodiment of a processing apparatus in accordance with the present invention.
  • FIG. 1 shows a simplified cross-sectional view of one embodiment of an apparatus 10 for processing a substrate in accordance with the present invention.
  • Substrate or wafer 2 is supported upon turntable 4 positioned within chamber 6 .
  • Substrate 2 may comprise a number of different materials, including but not limited to silicon, GaAs, and other semiconductor materials, quartz, borosilicate glass, flat panel displays, microelectro-mechanical (MEMS) devices, hard disk substrates, biomedical slides, and other media.
  • MEMS microelectro-mechanical
  • the surface of substrate 2 may further comprise patterned layers of different materials such as dielectric, metallic, organic, or organo-metallic materials.
  • organo-metallic refers to any carbon-containing material which also includes one or more metals.
  • organometallic material organic photoresist material that has been ion-implanted with metals such as phosphorous or boron.
  • organometallic material are the chemical by-products of plasma etching, which may deposit on the sidewalls of device features.
  • Chamber 6 includes inlet 8 and outlet 9 for receiving and exhausting respectively, chemistries intended to react with substrate 2 .
  • Chamber 6 may be completely or partially closed, such that the processing chemistries may be maintained under elevated or reduced pressures during processing.
  • Chemistries introduced into chamber 6 for processing may comprise any gas, liquid, or gas/liquid combination intended to react with substrate 2 or material present thereon.
  • Chamber 6 is composed of material permeable to radiation utilized in heating the substrate or a layer of material on top of the substrate, such that radiation 12 emitted by generator 14 enters chamber 6 , contacts wafer 2 , and results in heating of wafer 2 or a layer on wafer 2 .
  • chamber 6 may comprise material that is not permeable to the radiation, but may further include a window comprising a radiation-permeable material which permits entry of the radiation into the chamber.
  • Radiation generator 14 may comprise a magnetron 11 in communication with the chamber through a waveguide 13 .
  • Radiation generator 14 may comprise a generator of microwave radiation of frequency 915 or 2450 MHz. Such microwave sources typically exhibit a power of between about 300 and 1200 W.
  • a microwave generator utilized by embodiments in accordance with the present invention is not limited to any particular frequency or power range, and alternatively could be of a specialized industrial design utilizing a specific fixed or changeable power, frequency, or pulse duration.
  • generators utilizing variable frequency, variable power, and/or precisely controlled power levels could also be advantageously utilized in accordance with embodiments of the present invention.
  • Waveguide 13 is configured to receive radiation from generator 14 , and to convey this radiation in a single mode to chamber 6 .
  • Chamber 6 is designed to ensure that the applied radiation uniformly heats the substrate(s) located therein.
  • chamber 6 may exhibit dimensions sufficiently similar to waveguide 13 to preserve the unipolar character of the applied radiation. While not wishing to be limited to any particular approach, in one possible embodiment of the present invention utilizing unipolar radiation, interior surfaces of the chamber could be lined with radiation-absorbing materials to suppress internal reflectance of the radiation giving rise to unwanted multi-mode radiation.
  • radiation applied to the chamber to heat the wafer will be multi-mode radiation. This is because many materials, including single crystal silicon substrates utilized in the fabrication of semiconductor devices, are relatively transparent to microwave radiation, with a majority of the energy of the radiation encountering the substrate will pass through without being absorbed. Accordingly, the methods and apparatuses in accordance with embodiments of the present invention may require the passage of reflected radiation in order to effect the desired rapid heating.
  • Multi-mode radiation to the processing chamber to accomplish uniform heating of substrates positioned therein can be accomplished in several ways.
  • uniform heating of the wafer(s) is ensured by rotating the wafers utilizing a turntable, relative to the direction of the applied radiation.
  • a mode stirrer structure such as a rotating metal fan could be positioned in the chamber such that unipolar radiation incident from the generator is reflected at random within the cavity to heat substrate(s) present therein.
  • the microwave generator could emit radiation of oscillating frequencies or differing pulse durations in order to accomplish uniform heating with multi-mode radiation in accordance with embodiments of the present invention.
  • multiple microwave generators could be employed to simultaneously apply radiation having a plurality of modes.
  • FIG. 1 shows wafer 2 supported horizontally on turntable 4 in a plane parallel with the direction of radiation 12 from generator 14 .
  • the present invention is not limited to this particular configuration, and in an alternative embodiment the substrate could be supported perpendicular relative to the incident radiation, or in any other orientation relative to the direction of radiation emitted by the generator.
  • substrate 2 is positioned upon turntable 4 within chamber 6 .
  • a processing chemistry is flowed into chamber 6 through inlet 8 .
  • Radiation 12 from generator 14 is transmitted into chamber 6 and into contact with wafer 6 , resulting in heating of wafer 2 .
  • Radiation 12 may also indirectly contact wafer 2 by reflecting off of the interior surfaces 6 a of the chamber 6 .
  • the wafer or the material overlying the wafer is heated.
  • Chemistry present in chamber 6 then reacts with heated substrate 2 or materials present on the surface thereof.
  • the elevated temperature of the substrate combined with the reactive properties of the processing chemistry, effectuate a desired chemical reaction.
  • the spent processing chemistry may be evacuated from chamber 6 through outlet 9 .
  • Radiation generator 14 ceases applying radiation to chamber 6 , allowing the processed wafer 2 to cool at a much faster rate than is experienced with conventional contact heaters.
  • the rapid cooling afforded by embodiments in accordance with the present invention allows for faster throughput and hence reduced operating costs
  • Embodiments in accordance with the present invention are not limited to performing any particular type of chemical processing on a substrate.
  • One particularly promising application for the present invention is in the stripping (removal) of patterns of organometallic photoresist material from the surface of a semiconductor wafer utilizing ozone.
  • the elevated temperature of the microwave-heated substrate promotes rapid reaction with the ozone to consume the organometallic material.
  • the application of microwave radiation may be decoupled from application of a reactive ozone-containing oxygen gas, or other processing chemistry.
  • a reactive ozone-containing oxygen gas or other processing chemistry.
  • the implanted wafer is heated and an independent generator creates ozone from oxygen.
  • the ozone gas does not interact with the microwave energy and hence is not affected by the microwave energy and does not decompose until reaching the heated surface of the organo-metallic coating.
  • the ozone produced does not include large quantities of high energy reactive ions or radicals which can damage sensitive structures present on the wafer surface.
  • substrates cleaned utilizing this process in accordance with the present invention may be substantially free of residues.
  • a positive novolac photoresist resin having a thickness of 12,500 ⁇ was formed on each of two 200 mm wafers.
  • the photoresist on the first wafer was implanted with arsenic, and the photoresist on the second wafer was implanted with phosphorous.
  • Both the As and P implants were performed at a dose of about 3 ⁇ 10 15 atoms/cm 2 with an implant energy of 50 KeV at 10,000 ⁇ A.
  • the wafers bearing the implanted resist were then heated at atmospheric pressure in a 1100 W microwave oven operated at a power setting of 40%, while ozone gas generated at a concentration of greater than about 150,000 ppm was forced through the oven chamber at a flow rate of 1.5 slm.
  • the wafers were stripped clean of the implanted photoresist in less than eight minutes.
  • the same implanted resist material was not stripped at all utilizing conventional high or low temperature ozone processes.
  • the processing chemistry may be maintained under positive pressure within either a sealed or substantially sealed processing chamber to enhance the effectiveness and/or rate of the process. Discussion of processing at elevated pressures is described in detail in copending parent U.S. patent application Ser. No. 10/150748, filed May 17, 2002 and incorporated by reference herein for all purposes.
  • processing under positive pressures may be accomplished by flowing processing fluids into a sealed processing vessel, or by flowing processing fluids into a processing vessel having a outlets of limited capacity such that pressure within the processing vessel increases above the pressure at the exit or exhaust from the outlet from the vessel.
  • this increased pressure within the processing vessel may result in an increase in volumetric concentration. Elevated pressures within the chamber during processing would most typically lie between about 1 and 100 ATM.
  • the processing vessel can be pre-pressurized.
  • Increased pressure and/or elevated concentration of active processing components in the gas phase may promote direct interaction between the gas phase component and the wafer surface.
  • increased gas phase pressure may enhance the resulting concentration of these components in a liquid phase that may be present in the chamber, thereby increasing desirable processing effects such as chemical reactivity.
  • Such pressurized processing, performed at elevated temperatures resulting from the application of radiation in accordance with embodiments of the present invention, may even further enhance the rate and effectiveness of such processing.
  • processing in accordance with embodiments of the present invention may be characterized as being performed in a “chamber”, a discrete processing vessel is not required where as processing fluid is flowed to or through a processing region by virtue of a pressure drop. And while embodiments in accordance with the present invention just discussed may operate at greater than atmospheric pressure, other embodiments may operate at less than atmospheric pressure, for example where the processing chamber has been evacuated prior to the introduction of processing chemistry.
  • Combinations of chemistries may be introduced into the chamber in accordance with embodiments of the present invention.
  • acids may be employed in conjunction with the oxidant to enhance the process of photoresist removal.
  • acids which may be utilized as components of processing chemistries in accordance with embodiments of the present invention include, but are not limited to, inorganic acids and organic acids such as acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid.
  • Such acids could be introduced into the chamber in the gaseous phase, in the liquid phase in the form of droplets, or in the solid phase in the form of dust.
  • active components of process chemistries include but are not limited to surfactants and chelating agents.
  • FIG. 1 illustrates only one embodiment of an apparatus for performing processing in accordance with the present invention, and other apparatuses and methods would also fall within the scope of the present invention.
  • FIG. 2 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing processing in accordance with the present invention.
  • Apparatus 20 of FIG. 2 is similar to that shown in FIG. 1, but further includes a water-filled coil 22 within chamber 24 .
  • Water-within coil 22 absorbs radiation within the chamber and heats up, thereby dampening the effect of radiation reflected off of the walls of the chamber.
  • FIG. 2 While the embodiment of FIG. 2 includes a coil filled with a circulating water stream to absorb radiation within the chamber, the present invention is not limited to this configuration. Other approaches include coating the chamber walls with a radiation-absorbing material, spraying a mist of water or other radiation-absorbing material in the chamber or onto the surface of the wafer, or simply placing a tank of water or other radiation-absorbing material within the chamber.
  • FIG. 3 shows a simplified plan view of another alternative embodiment of a processing apparatus in accordance with the present invention.
  • Apparatus 30 of FIG. 3 is similar to that shown in FIG. 1, but turntable 32 is configured to support and rotate a plurality of wafers 34 relative to the direction of radiation 36 emitted from microwave generator 38 .
  • inlet 40 and outlet 42 of chamber 44 are configured such that a continuous supply of processing chemistry is flowed across surfaces 34 a of wafers 34 .
  • substrates 34 oriented perpendicular to the direction of microwave radiation 36 , this is not required by the present invention.
  • Substrates 34 could be supported by turntable 32 in other orientations relative to the microwave generator 38 .
  • FIG. 3 shows rotation of a turntable structure supporting the wafer, this is also not required by the present invention.
  • the substrates could be rotated relative to radiation within the chamber through contact between a rotating or spinning roller or other structure, and an edge of the substrate.
  • FIG. 4 shows a simplified cross-sectional view of another alternative embodiment of a processing apparatus in accordance with the present invention.
  • Apparatus 40 of FIG. 4 is similar to that shown in FIG. 1, but additionally includes source 42 of ultraviolet (UV) radiation in communication with chamber 44 through the chamber walls or through a UV-permeable window in the chamber walls. While UV radiation source 42 is located outside chamber 44 in FIG. 3, this is not required by the present invention and in alternative embodiments the UV radiation source could be present directly within the chamber.
  • UV radiation source 42 is located outside chamber 44 in FIG. 3, this is not required by the present invention and in alternative embodiments the UV radiation source could be present directly within the chamber.
  • UV source 42 provides to chamber 44 radiation 46 having a substantially shorter wavelength range (10 ⁇ 6 ⁇ 10 ⁇ 8 m) than the microwave radiation (10 ⁇ 4 ⁇ 10 ⁇ 1 m) provided by microwave source 48 . Accordingly, UV radiation 46 transmitted to the chamber 44 may allow advantageous interaction with chemistries present within the chamber.
  • applied UV radiation having a wavelength of 254 nm may generate highly reactive species such as molecular oxygen or oxygen radicals from ozone within the chamber.
  • applied UV radiation having a wavelength of 222 nm could generate hydroxyl radicals from hydrogen peroxide present within the chamber.
  • UV radiation at 172 nm may be applied from a source such as an excimer lamp to oxygen present within a processing chamber. This 172 nm UV radiation can result in formation of reactive oxygen radicals directly from molecular oxygen, without the need for ozone at all.
  • Other potentially reactive species generated from the application of UV radiation includes but is not limited to N 2 O, which upon irradiation may form the highly reactive oxygen radical.
  • the proximity of the radiation source to the surface of the substrate results in close proximity of the generated radical species to the surface with which reaction is desired. Rapid reaction with the substrate surface can thus occur before the short-lived radical species generated by interaction with the UV radiation decay into non-energized species and reduce the effectiveness of the processing.
  • introduction of the gaseous species into an evacuated chamber may prolong the lifetime of radicals and other reactive species generated by interaction with the UV radiation.
  • the embodiment of an apparatus shown in FIG. 4 includes a vacuum pump 50 in fluid communication with the chamber, allowing for evacuation of the chamber during processing. Utilization of low-pressures is not limited to UV-assisted processing in accordance with the present invention, however, and low pressures could be employed without UV radiation.
  • FIG. 5 shows a simplified plan view of yet another alternative embodiment of a processing apparatus in accordance with the present invention.
  • Apparatus 50 of FIG. 5 is similar to that shown in FIG. 4, but microwave source 52 and UV source 54 are positioned on opposite sides of wafer 56 , with microwave source 52 proximate to wafer backside 56 a and UV source 54 proximate to wafer front side 56 b .
  • the embodiment shown in FIG. 5 allows a flow of inlet gas to be provided across both the wafer front side and back side, with exhaust port 58 utilized both to maintain a continuous flow of processing chemistry across the surface of the substrate, and to remove spent processing chemistry.
  • the embodiment shown of FIG. 5 could exploit the presence of wafer 56 or materials in intimate contact therewith or present thereon, to absorb the incident microwave or rf radiation and become hot, while at the same time the wafer package may block and/or reflect the microwave or radio frequency radiation and prevent it from reaching and interacting with processing chemistries overlying the front side of the wafer.
  • the configuration shown in FIG. 5 allows UV radiation to be applied simultaneous with microwave wafer heating to achieve the processing desired. While the embodiment of FIG. 5 shows the UV source in direct communication with the chamber, this is not required by the present invention and the UV radiation could be directed to the chamber and wafer through a reflecting/focusing network comprising lenses or mirrors.
  • Embodiments of methods and apparatuses in accordance with the present invention offer a number of advantages over conventional processing techniques.
  • One advantage is enhanced precision of heating and a corresponding increase in processing effectiveness.
  • it may be desirable to employ ozone in the chamber to accomplish processing such as stripping of photoresist material.
  • the stability of ozone declines with increased temperature.
  • Conventional processing approaches utilizing contact heating of wafers or heating of wafers through exposure to hot gases may result in heating of the entire chamber rather than just the wafer itself.
  • ozone or other reactive processing chemistry may decompose prior to reaching the surface of the wafer. This decomposition reduces the effectiveness and rate of processing.
  • embodiments in accordance with the present invention apply microwaves to the chamber to accomplish specific, precise heating of the wafer without resulting in generalized heating of the entire chamber. Ozone or other reactive processing chemistries introduced into the chamber will thus remain intact until they reach the hot surface of the wafer, whereupon the desired processing reaction can efficiently take place.
  • Another advantage offered by embodiments in accordance with the present invention is increased throughput. Specifically, the transfer of thermal energy to and from the wafer during heating and cooling consumes time, and can reduce the effective throughput of an apparatus.
  • Conventional approaches for heating a wafer may employ contact heating, requiring both the contacting member and the wafer to be heated to an elevated temperature.
  • such conventional approaches may typically employ cooling of both the heated wafer and the heating member, through mechanisms such as convection utilizing a flow of a cooling gas or a cooled structure within the chamber.
  • this approach wastes much of the energy utilized in heating, which must be removed from the processing chamber during each run.
  • many embodiments in accordance with the present invention avoid the use of a separate contacting member, such that there is no need to heat and then cool the contacting member in addition to the wafer.
  • the application of microwave radiation to heat the wafer, and the cessation of application of microwave radiation to allow cooling of the wafer occur without any delay time associated with heating or cooling of a proximate contact member.
  • the increased speed and efficiency of heating and cooling increases throughput of the processing chamber.
  • Still another advantage offered by embodiments in accordance with the present invention is enhanced exposure of surfaces of the substrate to processing chemistries.
  • conventional contact heating techniques typically employ a heated member in direct physical contact with, or in close physical proximity to, at least one surface of the substrate, typically the wafer backside.
  • the presence of this contacting member can physically interfere with the flow of processing chemistries to the wafer backside surface, thereby reducing processing effectiveness and flexibility, particularly as wafer backside cleanliness emerges as an important issue in semiconductor fabrication.
  • Heating of the wafer in accordance with embodiments of the present invention avoids this drawback.
  • the substrate can be supported in the chamber by its sides or edges, with application of microwave or other radiation serving to heat both the wafer front side and the wafer backside. Processing chemistries can then be applied simultaneously and flow unimpeded to the heated front side and backside of the wafer to accomplish the desired chemical reaction.
  • a further advantage of embodiments in accordance with the present invention is the ability to conduct rapid thermal processing.
  • the application of thermal energy to the wafer is prolonged by the time required to heat up and cool down the contacting member. This extended time of exposure to high temperatures must be accounted for in the thermal budget allowed for a particular process in order to avoid unwanted effects such as migration of implanted dopants within a substrate.
  • heating and cooling of the wafer is extremely rapid due to the absence of an intervening contacting member.
  • the ability to rapidly and precisely apply thermal energy to the substrate increases the precision of the processing in a manner analogous to rapid thermal processing (RTP) techniques known in the art, and may prevent unwanted phenomena such as thermally-induced dopant migration.
  • RTP rapid thermal processing
  • Embodiments in accordance with the present invention would be expected to heat an exposed substrate or process chemistry at a rate of between about 10° C. and 10,000° C./min.
  • a heated substrate or process chemistry could be cooled at a rate of between about 10° C. and 10,000° C./min.
  • Yet another advantage offered by embodiments in accordance with the present invention is the ability to selectively heat different components of a processing chemistry present within the chamber.
  • microwave or other radiation may tend to heat one component of a processing chemistry while leaving other components relatively unaffected.
  • certain polar compounds such as water or hydrogen peroxide
  • other compounds such as tetraethoxysilicate-TEOS
  • One component of the processing chemistry could advantageously be heated through exposure to the radiation, while the temperature of another component of the processing chemistry remains relatively constant.
  • This difference in temperature between the components of the processing chemistry can advantageously impart enhanced activity and/or selectivity to a particular cleaning or stripping process.
  • An example of this effect could be present in a application utilizing ozone with a water mist, where the water is heated by the radiation but the ozone is relatively unaffected.
  • a still further advantage of embodiments in accordance with the present invention is increased flexibility.
  • the substrate is cooled by convection as a cooling airflow containing processing chemistry is flowed past the substrate.
  • the mass transfer of processing chemistry to the wafer surface is limited by the need to maintain the wafer above a certain temperature.
  • Embodiments in accordance with the present invention decouple the mass transfer of processing chemistry to the wafer surface from the cooling effects, such that the power of the radiation can be increased to compensate for cooling effects associated with an elevated flow of processing chemistry.
  • Embodiments in accordance with the present invention are generally applicable to any processing step wherein it is desired to apply thermal energy to a substrate.
  • the invention has been described above in connection with stripping developed organic photoresist material through exposure to ozone, the invention is not limited to this particular application.
  • An example of another processing step which may be performed in accordance with the present invention is etching inorganic material through exposure to an acid, for example removal of silicon dioxide through exposure to HF in a gas or dissolved in a liquid solution.
  • a nonexclusive list of acids which may be employed to etch inorganic layers in accordance with embodiments of the present invention include F 2 , Cl 2 , HF, HCl, H 2 SO 4 , H 2 CO 3 , HNO 3 , H 3 PO 4 , Aqua Regia, chromic and sulfuric acid mixtures, sulfuric and ammonium persulfate mixtures, and various combinations thereof.
  • the processing chemistry introduced into the chamber may comprise a base.
  • a non-exclusive list of bases which could be utilized by embodiments in accordance with the present invention includes but is not limited to NH 3 , NH 4 OH, NaOH, TMAH, and KOH. These materials can be in the form of a gas, liquid, or solid.
  • the processing chemistry introduced into the chamber may comprise a surfactant.
  • the processing chemistry introduced into the chamber may comprise a chelating agent such as ethylenediaminetetracetic acid (EDTA).
  • EDTA ethylenediaminetetracetic acid
  • Wafer cleaning is yet another type of processing which may be performed in accordance with the present invention.
  • unwanted residue from prior processing remaining on a wafer surface is removed in preparation for further processing.
  • Such wafer cleaning may involve exposing the wafer to a single cleaning chemistry, or may involve exposing the wafer to a series of complementary cleaning chemistries.
  • chemistries useful for wafer cleaning include acidic solutions, basic solutions, aqueous solutions containing oxidizing components, and combinations thereof.
  • One class of reactant that may be useful for substrate cleaning or other processing applications in accordance with the present invention are organic acids.
  • a list of such organic acids includes, but is not limited to, acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid.
  • One example of a particular wafer cleaning process is the RCA washing series generally known in the art.
  • This multi-step wet processing employs a series of five complementary chemical baths to remove the residual organic materials, particles and metals.
  • a first step the substrate is subjected to a heated aqueous bath of H 2 SO 4 and H 2 O 2 to form Caro's acid (H 2 SO 5 ) to remove residual organic materials, for example developed photoresist material remaining on a substrate surface.
  • H 2 SO 5 Caro's acid
  • the substrate is subjected to a dilute aqueous HF bath at room temperature to remove the oxide layer and impurities contained therein.
  • a third step the substrate is subjected to a heated aqueous bath of ammonium hydroxide (NH 4 OH) and H 2 O 2 , to remove particles and other contaminants.
  • a fourth step the substrate is subjected to a heated aqueous bath of hydrochloric acid (HCl) and H 2 O 2 , to remove metals.
  • the substrate is again subjected to a bath of dilute hydrofluoric acid (HF) to remove the oxide layer formed by oxidation in the prior step, freeing metallic contaminants embedded in the oxide layer and permitting their removal, and rendering the surface of the wafer hydrophobic.
  • radiation may be applied during one or more of the above-referenced RCA cleaning steps to enhance their effectiveness.
  • Wafer surface modification is still another type of processing which may be advantageously performed in accordance with embodiments of the present invention.
  • a processing chemistry comprising elevated concentrations of a reducing agent such as hydrogen gas may be present in a chamber to passivate or alter surface properties of a substrate, or to conduct a process wherein reaction with the processing chemistry present within the chamber leads to a reduced surface structure.
  • hydrogen gas or another reducing agent may be present to minimize formation of an oxide layer, or to replace hydrophilic surface SiO bonds with hydrophobic SiH bonds.
  • the present invention has described heating of a wafer utilizing microwave radiation, it is not required that the temperature remain constant during processing.
  • Embodiments in accordance with the present invention could utilize heating according to predetermined temperature gradients in order to achieve maximum effectiveness.
  • other processing parameters could also be varied over time. For example, the timing of introduction of various components of the processing chemistry could be specifically tailored to accomplish certain results.
  • this pressure could vary over time to effectuate processing in accordance with embodiments of the present invention.
  • embodiments in accordance with the present invention may relate to chemical processing of substrates utilized during the manufacture of semiconductor devices, for example substrates comprising silicon, SiGe, GaAs, Si, GaAs, GaInP, and GaN to name a few.
  • substrates comprising silicon, SiGe, GaAs, Si, GaAs, GaInP, and GaN to name a few.
  • the present invention is not limited to processing of semiconductor substrates, and other materials may be subjected to microwave heating during processing.
  • Examples of other candidates for chemical processing utilizing the present invention include, but are not limited to, hard disks and hard disk substrates, optical devices such as mirrors, lenses, or waveguides, and substrates utilized in the fabrication of micro-electrical mechanical systems (MEMS), liquid crystal display devices, biomedical slides, optical devices, mirrors, lenses, waveguides, substrates for DNA or genetic markers, liquid crystal displays, and other media.
  • MEMS micro-electrical mechanical systems
  • these substrates could be intentionally coated with a radiation-absorbing material in order to enhance their temperature-responsiveness under exposure to applied radiation.
  • the use of multiple layers of different types of radiation-absorbing materials to tailor temperature responsiveness is also contemplated in accordance with embodiments of the present invention.

Abstract

Embodiments in accordance with the present invention provide methods and apparatuses for heating a substrate with radiation during processing of substrates. Radiation in the radio or microwave portion of the electromagnetic spectrum is applied to a substrate housed within a processing chamber to promote desirable chemical reactions involving the substrate. Processing in accordance with embodiments of the present invention may utilize pressurization of the processing chamber in conjunction with the application of microwave, RF, IR, or UV radiation, or electromagnetic induction, to heat the substrate or a component of the processing chemistry present within the chamber. Alternative embodiments of the present invention may use combinations of these energy types for more effective processing. For example, UV radiation may be introduced into the chamber in conjunction with microwave heating in order to generate reactive species from the processing chemistry.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This nonprovisional application claims priority from provisional application No. 60/387,155, filed Jun. 6, 2002 and hereby incorporated by reference for all purposes. This nonprovisional application also claims priority as a continuation-in-part of U.S. parent application Ser. No. 10/150,748, filed May 17, 2002, also hereby incorporated by reference for all purposes.[0001]
  • BACKGROUND OF THE INVENTION
  • During fabrication of semiconductor devices, it is frequently useful to develop an organic photoresist material in a pattern that serves as a mask for processes such as etching or ion-implantation. Following ion-implantation of metals into a masked substrate, however, the developed organic photoresist mask is difficult to remove without damaging the underlying material. [0002]
  • Conventionally, such ion-implanted organometallic photoresist materials are removed in two stages. First, the substrate bearing the organo-metallic material is exposed to an oxygen asher using a microwave-induced plasma. This initial ashing step typically results in substantial amounts of particles/implanted metals remaining on the surface of the substrate. [0003]
  • Therefore, a second step of exposing the ashed substrate surface to wet processing in the piranha process with Caro's acid (a combination of sulfuric acid and hydrogen peroxide) at temperatures over 100° C. is conventionally employed. Neither of the ozone ashing nor the wet processing stages are effective alone. Moreover, the intense microwave radiation applied to generate the plasma creates long-lived reactive chemical species, typically radicals, which may damage fragile structures present on the substrate surface. [0004]
  • Accordingly, there is a need in the art for improved methods and apparatuses for treating a semiconductor wafer. [0005]
  • BRIEF SUMMARY OF THE INVENTION
  • Embodiments in accordance with the present invention provide methods and apparatuses for heating a substrate with radiation during chemical processing. Specifically, radiation in the radio or microwave portion of the electromagnetic spectrum is applied to a substrate housed within a processing chamber in order to promote desirable chemical reactions involving the substrate. Processing in accordance with embodiments of the present invention may utilize the application of microwaves, RF, IR, or UV radiation, or electromagnetic induction, to heat the substrate. Alternative embodiments of the present invention may use combinations of these energy types for more effective processing. For example, UV radiation may be introduced into the chamber in conjunction with microwave heating in order to generate reactive species from the processing chemistry. [0006]
  • Processing in accordance with embodiments of the present invention may take place at elevated pressures to enhance concentrations of reactant material, or may take place at sub-ambient pressures in order to prolong the lifetime and hence processing effectiveness of radicals or other reactive species present within the chamber. One particular promising embodiment of the present invention is the stripping of photoresists that have been subjected to ion implantation, utilizing exposure of the implanted wafers to ozone gas. [0007]
  • Processing chemistry introduced into the chamber to react with the heated substrate may be in the form of a gas, a liquid, or some combination of a gas and a liquid such as a mist. Alternatively, the processing chemistry could also be utilized in the form of a solid such as a dust. In these cases, the processing chemistry may be transported to or through the processing chamber under the influence of a pressure differential. [0008]
  • An embodiment of a method in accordance with the present invention for performing processing of a substrate, comprises, providing a processing chamber, inserting a substrate into the processing chamber, and introducing a processing chemistry into the processing chamber. The processing chamber is pressurized by at least one of introducing a component of the processing chemistry into the processing chamber and introducing a gas into the processing chamber. Radiation is applied to heat at least one of a layer of the substrate and a component of the processing chemistry, thereby promoting reaction between the substrate and the processing chemistry, wherein the pressurizing step occurs at least one of before, after, and simultaneously with radiation application step. [0009]
  • An embodiment of an apparatus in accordance with the present invention for processing a substrate, comprises, a chamber in fluid communication with a processing chemistry source, and a pressurization source in fluid communication with the chamber, the pressurization source operable to increase a pressure within the chamber during processing. A radiation source is in communication with the chamber to heat at least one of a layer of a substrate, a substrate contacting member, and a processing chemistry positioned within the chamber. [0010]
  • A further understanding of the nature and advantages of the inventions disclosed herein may be realized by reference to the remaining portions of the specification and the attached drawings.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a simplified cross-sectional view of one embodiment of an apparatus for processing a substrate in accordance with the present invention. [0012]
  • FIG. 2 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing processing in accordance with the present invention. [0013]
  • FIG. 3 shows a simplified plan view of another alternative embodiment of a processing apparatus in accordance with the present invention. [0014]
  • FIG. 4 shows a simplified cross-sectional view of another alternative embodiment in accordance with the present invention. [0015]
  • FIG. 5 shows a simplified plan view of yet another alternative embodiment of a processing apparatus in accordance with the present invention.[0016]
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a simplified cross-sectional view of one embodiment of an [0017] apparatus 10 for processing a substrate in accordance with the present invention. Substrate or wafer 2 is supported upon turntable 4 positioned within chamber 6. Substrate 2 may comprise a number of different materials, including but not limited to silicon, GaAs, and other semiconductor materials, quartz, borosilicate glass, flat panel displays, microelectro-mechanical (MEMS) devices, hard disk substrates, biomedical slides, and other media. The surface of substrate 2 may further comprise patterned layers of different materials such as dielectric, metallic, organic, or organo-metallic materials. For the purposes of this application, the term “organo-metallic” refers to any carbon-containing material which also includes one or more metals. One example of an organometallic material is organic photoresist material that has been ion-implanted with metals such as phosphorous or boron. Another example of an organometallic material are the chemical by-products of plasma etching, which may deposit on the sidewalls of device features.
  • Chamber [0018] 6 includes inlet 8 and outlet 9 for receiving and exhausting respectively, chemistries intended to react with substrate 2. Chamber 6 may be completely or partially closed, such that the processing chemistries may be maintained under elevated or reduced pressures during processing. Chemistries introduced into chamber 6 for processing may comprise any gas, liquid, or gas/liquid combination intended to react with substrate 2 or material present thereon.
  • Chamber [0019] 6 is composed of material permeable to radiation utilized in heating the substrate or a layer of material on top of the substrate, such that radiation 12 emitted by generator 14 enters chamber 6, contacts wafer 2, and results in heating of wafer 2 or a layer on wafer 2. Alternatively, chamber 6 may comprise material that is not permeable to the radiation, but may further include a window comprising a radiation-permeable material which permits entry of the radiation into the chamber.
  • [0020] Radiation generator 14 may comprise a magnetron 11 in communication with the chamber through a waveguide 13. Radiation generator 14 may comprise a generator of microwave radiation of frequency 915 or 2450 MHz. Such microwave sources typically exhibit a power of between about 300 and 1200 W. However, a microwave generator utilized by embodiments in accordance with the present invention is not limited to any particular frequency or power range, and alternatively could be of a specialized industrial design utilizing a specific fixed or changeable power, frequency, or pulse duration. For example, generators utilizing variable frequency, variable power, and/or precisely controlled power levels could also be advantageously utilized in accordance with embodiments of the present invention.
  • Waveguide [0021] 13 is configured to receive radiation from generator 14, and to convey this radiation in a single mode to chamber 6. Chamber 6 is designed to ensure that the applied radiation uniformly heats the substrate(s) located therein. In one embodiment, chamber 6 may exhibit dimensions sufficiently similar to waveguide 13 to preserve the unipolar character of the applied radiation. While not wishing to be limited to any particular approach, in one possible embodiment of the present invention utilizing unipolar radiation, interior surfaces of the chamber could be lined with radiation-absorbing materials to suppress internal reflectance of the radiation giving rise to unwanted multi-mode radiation.
  • It may also be desired that radiation applied to the chamber to heat the wafer will be multi-mode radiation. This is because many materials, including single crystal silicon substrates utilized in the fabrication of semiconductor devices, are relatively transparent to microwave radiation, with a majority of the energy of the radiation encountering the substrate will pass through without being absorbed. Accordingly, the methods and apparatuses in accordance with embodiments of the present invention may require the passage of reflected radiation in order to effect the desired rapid heating. [0022]
  • Application of multi-mode radiation to the processing chamber to accomplish uniform heating of substrates positioned therein can be accomplished in several ways. In the specific embodiment illustrated in FIG. 1, uniform heating of the wafer(s) is ensured by rotating the wafers utilizing a turntable, relative to the direction of the applied radiation. Alternatively, a mode stirrer structure such as a rotating metal fan could be positioned in the chamber such that unipolar radiation incident from the generator is reflected at random within the cavity to heat substrate(s) present therein. Further alternatively, the microwave generator could emit radiation of oscillating frequencies or differing pulse durations in order to accomplish uniform heating with multi-mode radiation in accordance with embodiments of the present invention. Still further alternatively, multiple microwave generators could be employed to simultaneously apply radiation having a plurality of modes. [0023]
  • The embodiment of FIG. 1 shows [0024] wafer 2 supported horizontally on turntable 4 in a plane parallel with the direction of radiation 12 from generator 14. However, the present invention is not limited to this particular configuration, and in an alternative embodiment the substrate could be supported perpendicular relative to the incident radiation, or in any other orientation relative to the direction of radiation emitted by the generator.
  • In operation, [0025] substrate 2 is positioned upon turntable 4 within chamber 6. A processing chemistry is flowed into chamber 6 through inlet 8. Radiation 12 from generator 14 is transmitted into chamber 6 and into contact with wafer 6, resulting in heating of wafer 2. Radiation 12 may also indirectly contact wafer 2 by reflecting off of the interior surfaces 6 a of the chamber 6.
  • As a result of interaction between the [0026] radiation 12 and wafer 2 or a layer of material present thereon, the wafer or the material overlying the wafer is heated. Chemistry present in chamber 6 then reacts with heated substrate 2 or materials present on the surface thereof. The elevated temperature of the substrate, combined with the reactive properties of the processing chemistry, effectuate a desired chemical reaction.
  • At the conclusion of processing, or during processing where a continuous flow of processing chemistry is passed through the chamber, the spent processing chemistry may be evacuated from chamber [0027] 6 through outlet 9. Radiation generator 14 ceases applying radiation to chamber 6, allowing the processed wafer 2 to cool at a much faster rate than is experienced with conventional contact heaters. The rapid cooling afforded by embodiments in accordance with the present invention allows for faster throughput and hence reduced operating costs
  • Embodiments in accordance with the present invention are not limited to performing any particular type of chemical processing on a substrate. One particularly promising application for the present invention is in the stripping (removal) of patterns of organometallic photoresist material from the surface of a semiconductor wafer utilizing ozone. In such an embodiment, the elevated temperature of the microwave-heated substrate promotes rapid reaction with the ozone to consume the organometallic material. [0028]
  • In accordance with an embodiment of the present invention, the application of microwave radiation may be decoupled from application of a reactive ozone-containing oxygen gas, or other processing chemistry. In an implanted-photoresist stripping process, the implanted wafer is heated and an independent generator creates ozone from oxygen. The ozone gas does not interact with the microwave energy and hence is not affected by the microwave energy and does not decompose until reaching the heated surface of the organo-metallic coating. The ozone produced does not include large quantities of high energy reactive ions or radicals which can damage sensitive structures present on the wafer surface. [0029]
  • Due to the high concentration of relatively low energy reactive species at the substrate surface resulting from the decomposition of ozone, substrates cleaned utilizing this process in accordance with the present invention may be substantially free of residues. In one experiment, a positive novolac photoresist resin having a thickness of 12,500 Å was formed on each of two 200 mm wafers. The photoresist on the first wafer was implanted with arsenic, and the photoresist on the second wafer was implanted with phosphorous. Both the As and P implants were performed at a dose of about 3×10[0030] 15 atoms/cm2 with an implant energy of 50 KeV at 10,000 μA.
  • The wafers bearing the implanted resist were then heated at atmospheric pressure in a 1100 W microwave oven operated at a power setting of 40%, while ozone gas generated at a concentration of greater than about 150,000 ppm was forced through the oven chamber at a flow rate of 1.5 slm. As a result of this processing, the wafers were stripped clean of the implanted photoresist in less than eight minutes. For purposes of comparison with conventional photoresist removal processes, the same implanted resist material was not stripped at all utilizing conventional high or low temperature ozone processes. [0031]
  • While the above experiment describes removal of photoresist material through exposure to gas generated with an ozone concentration of about 150,000 ppm, this is not required by the present invention and other ozone concentrations could be utilized, ranging from 1000 to 400,000 ppm and greater, as there is no known upper limit in the concentration of ozone useful in accordance with the present invention. In addition, while the above experiment involves the application of ozone as an oxidant, this is not required by the present invention and other oxidizing species or combinations of oxidizing species, such as oxygen, hydrogen peroxide, and other peroxides, could alternatively be utilized. [0032]
  • In the photoresist stripping or other applications utilizing embodiments in accordance with the present invention, the processing chemistry may be maintained under positive pressure within either a sealed or substantially sealed processing chamber to enhance the effectiveness and/or rate of the process. Discussion of processing at elevated pressures is described in detail in copending parent U.S. patent application Ser. No. 10/150748, filed May 17, 2002 and incorporated by reference herein for all purposes. [0033]
  • As described in detail in the above-incorporated application, processing under positive pressures may be accomplished by flowing processing fluids into a sealed processing vessel, or by flowing processing fluids into a processing vessel having a outlets of limited capacity such that pressure within the processing vessel increases above the pressure at the exit or exhaust from the outlet from the vessel. For gaseous or compressible processing chemistries and components, this increased pressure within the processing vessel may result in an increase in volumetric concentration. Elevated pressures within the chamber during processing would most typically lie between about 1 and 100 ATM. In accordance with certain embodiments of the present invention the processing vessel can be pre-pressurized. [0034]
  • Increased pressure and/or elevated concentration of active processing components in the gas phase may promote direct interaction between the gas phase component and the wafer surface. Alternatively or in conjunction with direct interaction between the gas phase component and the wafer surface, increased gas phase pressure may enhance the resulting concentration of these components in a liquid phase that may be present in the chamber, thereby increasing desirable processing effects such as chemical reactivity. Such pressurized processing, performed at elevated temperatures resulting from the application of radiation in accordance with embodiments of the present invention, may even further enhance the rate and effectiveness of such processing. [0035]
  • While processing in accordance with embodiments of the present invention may be characterized as being performed in a “chamber”, a discrete processing vessel is not required where as processing fluid is flowed to or through a processing region by virtue of a pressure drop. And while embodiments in accordance with the present invention just discussed may operate at greater than atmospheric pressure, other embodiments may operate at less than atmospheric pressure, for example where the processing chamber has been evacuated prior to the introduction of processing chemistry. [0036]
  • Combinations of chemistries may be introduced into the chamber in accordance with embodiments of the present invention. For example, acids may be employed in conjunction with the oxidant to enhance the process of photoresist removal. Examples of acids which may be utilized as components of processing chemistries in accordance with embodiments of the present invention include, but are not limited to, inorganic acids and organic acids such as acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid. Such acids could be introduced into the chamber in the gaseous phase, in the liquid phase in the form of droplets, or in the solid phase in the form of dust. Other examples of active components of process chemistries include but are not limited to surfactants and chelating agents. [0037]
  • While the present invention has been described above in conjunction with heating a semiconductor wafer to promote removal of an organometallic photoresist utilizing an ozone-based chemistry, the present invention is not limited to this particular application. Methods and apparatuses in accordance with the present invention could be employed in conjunction with other types of processing chemistries to perform other types of wafer processing. Examples of other types of wafer processing suited for the present invention include, but are not limited to etching inorganic layers such as silicon oxide or silicon nitride overlying a substrate, and performing a post-processing cleaning such as those analogous to the RCA cleaning series as is well-known in the art. [0038]
  • In addition, while the above description focuses upon application of microwave radiation to heat the contents of the chamber, this is not required by the present invention. Forms of radiation other than microwave could be applied to heat substrates present within the chamber, and the methods and apparatuses would fall within the scope of the present invention. For example, alternative embodiments in accordance with the present invention could employ electromagnetic induction heating (EMIH) of substrates utilizing radiation ranging in frequencies of a few MHz to tens of GHz. [0039]
  • Moreover, FIG. 1 illustrates only one embodiment of an apparatus for performing processing in accordance with the present invention, and other apparatuses and methods would also fall within the scope of the present invention. For example, FIG. 2 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing processing in accordance with the present invention. [0040] Apparatus 20 of FIG. 2 is similar to that shown in FIG. 1, but further includes a water-filled coil 22 within chamber 24. Water-within coil 22 absorbs radiation within the chamber and heats up, thereby dampening the effect of radiation reflected off of the walls of the chamber.
  • While the embodiment of FIG. 2 includes a coil filled with a circulating water stream to absorb radiation within the chamber, the present invention is not limited to this configuration. Other approaches include coating the chamber walls with a radiation-absorbing material, spraying a mist of water or other radiation-absorbing material in the chamber or onto the surface of the wafer, or simply placing a tank of water or other radiation-absorbing material within the chamber. [0041]
  • FIG. 3 shows a simplified plan view of another alternative embodiment of a processing apparatus in accordance with the present invention. [0042] Apparatus 30 of FIG. 3 is similar to that shown in FIG. 1, but turntable 32 is configured to support and rotate a plurality of wafers 34 relative to the direction of radiation 36 emitted from microwave generator 38. In addition, inlet 40 and outlet 42 of chamber 44 are configured such that a continuous supply of processing chemistry is flowed across surfaces 34 a of wafers 34. Again, while the embodiment of FIG. 3 shows substrates 34 oriented perpendicular to the direction of microwave radiation 36, this is not required by the present invention. Substrates 34 could be supported by turntable 32 in other orientations relative to the microwave generator 38. In addition, while FIG. 3 shows rotation of a turntable structure supporting the wafer, this is also not required by the present invention. In alternative embodiments, the substrates could be rotated relative to radiation within the chamber through contact between a rotating or spinning roller or other structure, and an edge of the substrate.
  • FIG. 4 shows a simplified cross-sectional view of another alternative embodiment of a processing apparatus in accordance with the present invention. [0043] Apparatus 40 of FIG. 4 is similar to that shown in FIG. 1, but additionally includes source 42 of ultraviolet (UV) radiation in communication with chamber 44 through the chamber walls or through a UV-permeable window in the chamber walls. While UV radiation source 42 is located outside chamber 44 in FIG. 3, this is not required by the present invention and in alternative embodiments the UV radiation source could be present directly within the chamber.
  • [0044] UV source 42 provides to chamber 44 radiation 46 having a substantially shorter wavelength range (10−6≦λ≦10−8 m) than the microwave radiation (10−4≦λ≦10−1 m) provided by microwave source 48. Accordingly, UV radiation 46 transmitted to the chamber 44 may allow advantageous interaction with chemistries present within the chamber.
  • For example, applied UV radiation having a wavelength of 254 nm may generate highly reactive species such as molecular oxygen or oxygen radicals from ozone within the chamber. Alternatively or in conjunction with this process, applied UV radiation having a wavelength of 222 nm could generate hydroxyl radicals from hydrogen peroxide present within the chamber. In accordance with still another alternative embodiment of the present invention, UV radiation at 172 nm may be applied from a source such as an excimer lamp to oxygen present within a processing chamber. This 172 nm UV radiation can result in formation of reactive oxygen radicals directly from molecular oxygen, without the need for ozone at all. Other potentially reactive species generated from the application of UV radiation includes but is not limited to N[0045] 2O, which upon irradiation may form the highly reactive oxygen radical.
  • In any of these approaches, the proximity of the radiation source to the surface of the substrate results in close proximity of the generated radical species to the surface with which reaction is desired. Rapid reaction with the substrate surface can thus occur before the short-lived radical species generated by interaction with the UV radiation decay into non-energized species and reduce the effectiveness of the processing. [0046]
  • Moreover, introduction of the gaseous species into an evacuated chamber may prolong the lifetime of radicals and other reactive species generated by interaction with the UV radiation. Accordingly, the embodiment of an apparatus shown in FIG. 4 includes a [0047] vacuum pump 50 in fluid communication with the chamber, allowing for evacuation of the chamber during processing. Utilization of low-pressures is not limited to UV-assisted processing in accordance with the present invention, however, and low pressures could be employed without UV radiation.
  • FIG. 5 shows a simplified plan view of yet another alternative embodiment of a processing apparatus in accordance with the present invention. [0048] Apparatus 50 of FIG. 5 is similar to that shown in FIG. 4, but microwave source 52 and UV source 54 are positioned on opposite sides of wafer 56, with microwave source 52 proximate to wafer backside 56 a and UV source 54 proximate to wafer front side 56 b. The embodiment shown in FIG. 5 allows a flow of inlet gas to be provided across both the wafer front side and back side, with exhaust port 58 utilized both to maintain a continuous flow of processing chemistry across the surface of the substrate, and to remove spent processing chemistry.
  • In certain applications, the embodiment shown of FIG. 5 could exploit the presence of wafer [0049] 56 or materials in intimate contact therewith or present thereon, to absorb the incident microwave or rf radiation and become hot, while at the same time the wafer package may block and/or reflect the microwave or radio frequency radiation and prevent it from reaching and interacting with processing chemistries overlying the front side of the wafer. The configuration shown in FIG. 5 allows UV radiation to be applied simultaneous with microwave wafer heating to achieve the processing desired. While the embodiment of FIG. 5 shows the UV source in direct communication with the chamber, this is not required by the present invention and the UV radiation could be directed to the chamber and wafer through a reflecting/focusing network comprising lenses or mirrors.
  • Embodiments of methods and apparatuses in accordance with the present invention offer a number of advantages over conventional processing techniques. One advantage is enhanced precision of heating and a corresponding increase in processing effectiveness. For example, it may be desirable to employ ozone in the chamber to accomplish processing such as stripping of photoresist material. However, the stability of ozone declines with increased temperature. Conventional processing approaches utilizing contact heating of wafers or heating of wafers through exposure to hot gases may result in heating of the entire chamber rather than just the wafer itself. In such conventional contact heating approaches, ozone or other reactive processing chemistry may decompose prior to reaching the surface of the wafer. This decomposition reduces the effectiveness and rate of processing. [0050]
  • By contrast, embodiments in accordance with the present invention apply microwaves to the chamber to accomplish specific, precise heating of the wafer without resulting in generalized heating of the entire chamber. Ozone or other reactive processing chemistries introduced into the chamber will thus remain intact until they reach the hot surface of the wafer, whereupon the desired processing reaction can efficiently take place. [0051]
  • Another advantage offered by embodiments in accordance with the present invention is increased throughput. Specifically, the transfer of thermal energy to and from the wafer during heating and cooling consumes time, and can reduce the effective throughput of an apparatus. Conventional approaches for heating a wafer may employ contact heating, requiring both the contacting member and the wafer to be heated to an elevated temperature. Moreover, such conventional approaches may typically employ cooling of both the heated wafer and the heating member, through mechanisms such as convection utilizing a flow of a cooling gas or a cooled structure within the chamber. However, this approach wastes much of the energy utilized in heating, which must be removed from the processing chamber during each run. [0052]
  • By contrast, many embodiments in accordance with the present invention avoid the use of a separate contacting member, such that there is no need to heat and then cool the contacting member in addition to the wafer. The application of microwave radiation to heat the wafer, and the cessation of application of microwave radiation to allow cooling of the wafer, occur without any delay time associated with heating or cooling of a proximate contact member. The increased speed and efficiency of heating and cooling increases throughput of the processing chamber. [0053]
  • Still another advantage offered by embodiments in accordance with the present invention is enhanced exposure of surfaces of the substrate to processing chemistries. For example, conventional contact heating techniques typically employ a heated member in direct physical contact with, or in close physical proximity to, at least one surface of the substrate, typically the wafer backside. The presence of this contacting member can physically interfere with the flow of processing chemistries to the wafer backside surface, thereby reducing processing effectiveness and flexibility, particularly as wafer backside cleanliness emerges as an important issue in semiconductor fabrication. [0054]
  • Heating of the wafer in accordance with embodiments of the present invention, however, avoids this drawback. The substrate can be supported in the chamber by its sides or edges, with application of microwave or other radiation serving to heat both the wafer front side and the wafer backside. Processing chemistries can then be applied simultaneously and flow unimpeded to the heated front side and backside of the wafer to accomplish the desired chemical reaction. [0055]
  • A further advantage of embodiments in accordance with the present invention is the ability to conduct rapid thermal processing. In conventional apparatuses and methods utilizing contact heating of the wafer, the application of thermal energy to the wafer is prolonged by the time required to heat up and cool down the contacting member. This extended time of exposure to high temperatures must be accounted for in the thermal budget allowed for a particular process in order to avoid unwanted effects such as migration of implanted dopants within a substrate. [0056]
  • In accordance with embodiments of the present invention however, heating and cooling of the wafer is extremely rapid due to the absence of an intervening contacting member. The ability to rapidly and precisely apply thermal energy to the substrate increases the precision of the processing in a manner analogous to rapid thermal processing (RTP) techniques known in the art, and may prevent unwanted phenomena such as thermally-induced dopant migration. Embodiments in accordance with the present invention would be expected to heat an exposed substrate or process chemistry at a rate of between about 10° C. and 10,000° C./min. Similarly, by the selected application of cooling techniques to the processed wafer, a heated substrate or process chemistry could be cooled at a rate of between about 10° C. and 10,000° C./min. [0057]
  • Yet another advantage offered by embodiments in accordance with the present invention is the ability to selectively heat different components of a processing chemistry present within the chamber. For example, microwave or other radiation may tend to heat one component of a processing chemistry while leaving other components relatively unaffected. For example, certain polar compounds (such as water or hydrogen peroxide) may be relatively lossy or easily absorb the applied radiation and heat up quickly, while other compounds (such as tetraethoxysilicate-TEOS) are relatively transparent or inert in response to exposure to the applied radiation. [0058]
  • Therefore, in accordance with embodiments of the present invention, it may be possible to tailor the processing to accomplish a particular goal. One component of the processing chemistry could advantageously be heated through exposure to the radiation, while the temperature of another component of the processing chemistry remains relatively constant. This difference in temperature between the components of the processing chemistry can advantageously impart enhanced activity and/or selectivity to a particular cleaning or stripping process. An example of this effect could be present in a application utilizing ozone with a water mist, where the water is heated by the radiation but the ozone is relatively unaffected. [0059]
  • A still further advantage of embodiments in accordance with the present invention is increased flexibility. In conventional contact heating systems, the substrate is cooled by convection as a cooling airflow containing processing chemistry is flowed past the substrate. In such conventional approaches, the mass transfer of processing chemistry to the wafer surface is limited by the need to maintain the wafer above a certain temperature. Embodiments in accordance with the present invention, however, decouple the mass transfer of processing chemistry to the wafer surface from the cooling effects, such that the power of the radiation can be increased to compensate for cooling effects associated with an elevated flow of processing chemistry. [0060]
  • Embodiments in accordance with the present invention are generally applicable to any processing step wherein it is desired to apply thermal energy to a substrate. Thus while the invention has been described above in connection with stripping developed organic photoresist material through exposure to ozone, the invention is not limited to this particular application. An example of another processing step which may be performed in accordance with the present invention is etching inorganic material through exposure to an acid, for example removal of silicon dioxide through exposure to HF in a gas or dissolved in a liquid solution. A nonexclusive list of acids which may be employed to etch inorganic layers in accordance with embodiments of the present invention include F[0061] 2, Cl2, HF, HCl, H2SO4, H2CO3, HNO3, H3PO4, Aqua Regia, chromic and sulfuric acid mixtures, sulfuric and ammonium persulfate mixtures, and various combinations thereof.
  • In still other applications for embodiments of the present invention, the processing chemistry introduced into the chamber may comprise a base. A non-exclusive list of bases which could be utilized by embodiments in accordance with the present invention includes but is not limited to NH[0062] 3, NH4OH, NaOH, TMAH, and KOH. These materials can be in the form of a gas, liquid, or solid.
  • In still further applications for embodiments of the present invention, the processing chemistry introduced into the chamber may comprise a surfactant. In accordance with still other applications for embodiments of the present invention, the processing chemistry introduced into the chamber may comprise a chelating agent such as ethylenediaminetetracetic acid (EDTA). [0063]
  • Wafer cleaning is yet another type of processing which may be performed in accordance with the present invention. In wafer cleaning applications, unwanted residue from prior processing remaining on a wafer surface is removed in preparation for further processing. Such wafer cleaning may involve exposing the wafer to a single cleaning chemistry, or may involve exposing the wafer to a series of complementary cleaning chemistries. [0064]
  • General classes of chemistries useful for wafer cleaning include acidic solutions, basic solutions, aqueous solutions containing oxidizing components, and combinations thereof. One class of reactant that may be useful for substrate cleaning or other processing applications in accordance with the present invention are organic acids. A list of such organic acids includes, but is not limited to, acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid. [0065]
  • One example of a particular wafer cleaning process is the RCA washing series generally known in the art. This multi-step wet processing employs a series of five complementary chemical baths to remove the residual organic materials, particles and metals. In a first step, the substrate is subjected to a heated aqueous bath of H[0066] 2SO4 and H2O2 to form Caro's acid (H2SO5) to remove residual organic materials, for example developed photoresist material remaining on a substrate surface. In a second step, the substrate is subjected to a dilute aqueous HF bath at room temperature to remove the oxide layer and impurities contained therein. In a third step, the substrate is subjected to a heated aqueous bath of ammonium hydroxide (NH4OH) and H2O2, to remove particles and other contaminants. In a fourth step, the substrate is subjected to a heated aqueous bath of hydrochloric acid (HCl) and H2O2, to remove metals. Finally, in the fifth step, the substrate is again subjected to a bath of dilute hydrofluoric acid (HF) to remove the oxide layer formed by oxidation in the prior step, freeing metallic contaminants embedded in the oxide layer and permitting their removal, and rendering the surface of the wafer hydrophobic. In accordance with embodiments of the present invention, radiation may be applied during one or more of the above-referenced RCA cleaning steps to enhance their effectiveness.
  • Wafer surface modification is still another type of processing which may be advantageously performed in accordance with embodiments of the present invention. For example, a processing chemistry comprising elevated concentrations of a reducing agent such as hydrogen gas may be present in a chamber to passivate or alter surface properties of a substrate, or to conduct a process wherein reaction with the processing chemistry present within the chamber leads to a reduced surface structure. Thus during processing of a silicon wafer, hydrogen gas or another reducing agent may be present to minimize formation of an oxide layer, or to replace hydrophilic surface SiO bonds with hydrophobic SiH bonds. [0067]
  • While the present invention has described heating of a wafer utilizing microwave radiation, it is not required that the temperature remain constant during processing. Embodiments in accordance with the present invention could utilize heating according to predetermined temperature gradients in order to achieve maximum effectiveness. In addition to temperature, other processing parameters could also be varied over time. For example, the timing of introduction of various components of the processing chemistry could be specifically tailored to accomplish certain results. Moreover, where the processing chemistry is present under pressure, this pressure could vary over time to effectuate processing in accordance with embodiments of the present invention. [0068]
  • While embodiments in accordance with the present invention may relate to chemical processing of substrates utilized during the manufacture of semiconductor devices, for example substrates comprising silicon, SiGe, GaAs, Si, GaAs, GaInP, and GaN to name a few. However, the present invention is not limited to processing of semiconductor substrates, and other materials may be subjected to microwave heating during processing. Examples of other candidates for chemical processing utilizing the present invention include, but are not limited to, hard disks and hard disk substrates, optical devices such as mirrors, lenses, or waveguides, and substrates utilized in the fabrication of micro-electrical mechanical systems (MEMS), liquid crystal display devices, biomedical slides, optical devices, mirrors, lenses, waveguides, substrates for DNA or genetic markers, liquid crystal displays, and other media. In particular embodiments, these substrates could be intentionally coated with a radiation-absorbing material in order to enhance their temperature-responsiveness under exposure to applied radiation. The use of multiple layers of different types of radiation-absorbing materials to tailor temperature responsiveness is also contemplated in accordance with embodiments of the present invention. [0069]
  • Although the invention has been described in terms of preferred methods and structures, it will be understood to those skilled in the art that many modifications and alterations may be made to the disclosed embodiments without departing from the invention. Hence, these modifications and alterations are intended to be considered as within the spirit and scope of the invention as defined by the appended claims. For example, while some examples of specific embodiments previously described may suggest a particular sequence of steps, these particular sequences are not required by the present invention. [0070]

Claims (61)

What is claimed is:
1. A method for performing processing of a substrate comprising:
providing a processing chamber;
inserting a substrate into the processing chamber;
introducing a processing chemistry into the processing chamber;
pressurizing the processing chamber by at least one of introducing a component of the processing chemistry into the processing chamber and introducing a gas into the processing chamber; and
applying radiation to heat at least one of a layer of the substrate and a component of the processing chemistry, thereby promoting reaction between the substrate and the processing chemistry, wherein the pressurizing step occurs at least one of before, after, and simultaneously with radiation application step.
2. The method of claim 1 wherein the applied radiation comprises at least one of microwave, UV, IR, RF and electromagnetic induction.
3. The method of claim 1 further comprising applying ultraviolet radiation into the chamber to generate a reactive species from the processing chemistry.
4. The method of claim 3 further comprising evacuating the processing chamber prior to pressurizing the processing chamber to a level greater than an evacuation pressure in order to prolong the lifetime of the reactive species generated from the processing chemistry.
5. The method of claim 3 wherein:
a wavelength of the ultra-violet radiation comprises one of 254 nm, 222 nm, 172 nm; and
the processing chemistry comprises one of ozone, hydrogen peroxide, oxygen and N2O.
6. The method of claim 1 wherein microwave radiation is applied to the chamber to heat at least one of one layer of the substrate, the substrate-contacting member, and a component of the processing chemistry.
7. The method of claim 6 wherein the microwave radiation is applied to the chamber in a single mode configuration.
8. The method of claim 6 wherein the microwave radiation is applied to the chamber in a multi-mode configuration.
9. The method of claim 6 wherein at least part of the chamber walls are coated with a microwave absorbing material to reduce reflections within the chamber.
10. The method of claim 1 wherein at least one layer of the substrate is heated by electromagnetic inductive heating.
11. The method of claim 1 wherein the radiation source emits radiation varying in at least one of frequency, power, wave form, and pulse duration.
12. The method of claim 1 wherein a temperature in the processing chamber changes during processing.
13. The method of claim 1 wherein at least one component of the chemistry changes concentration during processing.
14. The method of claim 1 wherein the processing chemistry comprises at least one of a gas, a liquid, a droplet, a mist, a vapor, and a solid.
15. The method of claim 1 wherein at least part of the substrate surface is contacted with the processing chemistry.
16. The method of claim 1 wherein the substrate comprises at least one layer.
17. The method of claim 1 wherein the substrate moves relative to at least one of the chamber and the processing chemistry during at least part of the processing.
18. The method of claim 1 wherein the radiation is directed towards the substrate at least one of parallel, perpendicular and at an angle between parallel and perpendicular.
19. The method of claim 1 wherein the processing chemistry comprises at least one of an acid, a base, an oxidant, a reducing agent, deionized (DI) water, and an organic solvent.
20. The method of claim 19 wherein the acid comprises an inorganic acid.
21. The method of claim 19 wherein the acid comprises an organic acid.
22. The method of claim 21 wherein the organic acid is selected from the group consisting of acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid.
23. The method of claim 19 wherein the oxidant is selected from the group consisting of ozone, oxygen, a peroxide, and oxide of nitrogen.
24. The method of claim 19 wherein the base is selected from the group consisting of NH3, NH4OH, NaOH, TMAH, and KOH.
25. The method of claim 19 wherein the organic solvent is selected from the group consisting of NMP, photresist stripper, semi-aqueous stripper, and methylene chloride.
26. The method of 19 wherein the reducing agent comprises hydrogen.
27. The method of claim 1 wherein the processing chemistry comprises ozone in a concentration range of between about 100 and 400,000 ppm.
28. The method of claim 1 wherein the processing chemistry contacts both sides of the substrate simultaneously.
29. The method of claim 1 wherein at least one component of the processing chemistry is selectively heated by the radiation.
30. The method of claim 1 wherein the processing chemistry comprises at least one of the list of the standard RCA chemistries including H2SO4, H2O2, H2SO5, HF, NH4OH, and HCl.
31. The method of claim 1 wherein the processing chemistry comprises one of a surfactant and a chelating agent.
32. The method of claim 1 wherein a first processing chemistry contacts one side of the substrate and then a second processing chemistry contacts another side of the substrate.
33. The method of claim 1 wherein the radiation is directed towards a back side of the substrate.
34. The method of claim 1 wherein the radiation is directed toward a front side of the substrate.
35. The method of claim 1 wherein multiple processing chemistries are used.
36. The method of claim 1 wherein the processing of a substrate comprises multiple processing steps performed in at least one of the same and different processing chambers.
37. The method of claim 1 wherein the substrate is selected from the group consisting of silicon, GaAs, SiGe, Si, GaAs, GaInP, and GaN quartz, borosilicate glass, a flat panel display, a substrate bearing microelectro-mechanical (MEMS) devices, a hard disk substrate, a biomedical slide, a substrate for DNA and genetic markers, an optical device, a mirror, a lens, a waveguide, and a liquid crystal display (LCD).
38. The method of claim 1 wherein the substrate comprises a patterned layer of a dielectric, metallic, organic, or organo-metallic material.
39. The method of claim 1 wherein the processing comprises at least one of removing material from a substrate, adding material to a substrate, and modifying a substrate.
40. The method of claim 1 wherein the radiation is directed to the chamber and wafer through a reflecting/focusing network comprising lenses and mirrors.
41. The method of claim 1 wherein the processing chemistry comprises at least one of F2, Cl2, HF, HCl, H2SO4, H2CO3, HNO3, H3PO4, Aqua Regia, chromic and sulfuric acid mixtures, sulfuric and ammonium persulfate mixtures, and various combinations thereof.
42. The method of claim 1 wherein the substrate comprises at least one layer of radiation absorbing material.
43. The method of claim 1 wherein during application of radiation the substrate is in contact with a member comprising a radiation-absorbing material.
44. The method of claim 1 wherein the substrate comprises at least one silicon wafer.
45. The method of claim 1 wherein the substrate heats up at a rate of between 10 and 10,000° C./min.
46. The method of claim 1 further comprising cooling the heated substrate at a rate of between 10 and 10,000° C./min.
47. The method of claim 1 wherein pressurizing the process chamber results in a pressure greater than atmospheric pressure.
48. The method of claim 47 wherein pressurizing results in a pressure of between about one and 100 ATM during the processing.
49. The method of claim 48 wherein pressurizing results in a pressure of between about one and 10 ATM during the processing.
50. The method of claim 1 wherein the pressurizing the process chamber results in a pressure of less than or equal to atmospheric pressure.
51. The method of claim 1 further comprising evacuating the processing chamber prior to pressurizing the processing chamber to a level greater than an evacuation pressure.
52. An apparatus for processing a substrate, the apparatus comprising:
a chamber in fluid communication with a processing chemistry source;
a pressurization source in fluid communication with the chamber, the pressurization source operable to increase a pressure within the chamber during processing; and
a radiation source in communication with the chamber to heat at least one of a layer of a substrate, a substrate contacting member, and a processing chemistry positioned within the chamber.
53. The apparatus of claim 52 wherein the radiation source comprises a source of at least one of microwave, UV, IR, RF, and electromagnetic induction radiation.
54. The apparatus of claim 52 further comprising a substrate support positioned within the chamber and configured to support a substrate such that an orientation of the substrate changes relative to the radiation source during processing.
55. The apparatus of claim 52 further comprising a substrate support positioned within the chamber, the substrate support comprising at least one layer of radiation absorbing material.
56. The apparatus of claim 52 further comprising a vacuum pump in fluid communication with a processing chamber to allow evacuation at least one of prior to and after the pressurization.
57. The apparatus of claim 52 further comprising a mode stirrer positioned in the chamber and configured to deflect radiation from the radiation source during processing.
58. The apparatus of claim 52 wherein the radiation source is configured to emit radiation varying in at least one of frequency and power.
59. The apparatus of claim 52 wherein the radiation source is in communication with the chamber through a radiation permeable window.
60. The apparatus of claim 52 wherein the radiation source is in communication with the chamber through a network comprising at least one of lenses and mirrors.
61. The apparatus of claim 52 further comprising a second radiation source.
US10/456,995 2002-05-17 2003-06-06 Method and apparatus for removing organic layers Abandoned US20040159335A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/456,995 US20040159335A1 (en) 2002-05-17 2003-06-06 Method and apparatus for removing organic layers
TW093112420A TW200508419A (en) 2003-06-06 2004-05-03 Method and apparatus for removing organic layers
DE200410025959 DE102004025959A1 (en) 2003-06-06 2004-05-27 Processing a substrate by pressurizing processing chamber before, after, and/or simultaneous with application of radiation to heat substrate and/or processing chemistry contained in processing chamber
KR1020040040948A KR20040105567A (en) 2003-06-06 2004-06-04 Method and apparatus for removing organic layers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/150,748 US20040154641A1 (en) 2002-05-17 2002-05-17 Substrate processing apparatus and method
US38715502P 2002-06-06 2002-06-06
US10/456,995 US20040159335A1 (en) 2002-05-17 2003-06-06 Method and apparatus for removing organic layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/150,748 Continuation-In-Part US20040154641A1 (en) 2002-05-17 2002-05-17 Substrate processing apparatus and method

Publications (1)

Publication Number Publication Date
US20040159335A1 true US20040159335A1 (en) 2004-08-19

Family

ID=33490277

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/456,995 Abandoned US20040159335A1 (en) 2002-05-17 2003-06-06 Method and apparatus for removing organic layers

Country Status (4)

Country Link
US (1) US20040159335A1 (en)
KR (1) KR20040105567A (en)
DE (1) DE102004025959A1 (en)
TW (1) TW200508419A (en)

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US20050133061A1 (en) * 2003-12-23 2005-06-23 Lam Research Corporation Apparatuses and methods for cleaning a substrate
US20050158671A1 (en) * 2003-11-25 2005-07-21 Yuji Shimizu Method for manufacturing a semiconductor device and a cleaning device for stripping resist
US20060048798A1 (en) * 2004-09-09 2006-03-09 Honeywell International Inc. Methods of cleaning optical substrates
US20060073673A1 (en) * 2004-10-04 2006-04-06 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US20060128590A1 (en) * 2003-06-27 2006-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
EP1734567A1 (en) * 2005-06-15 2006-12-20 Lam Research Corporation Method and apparatus for cleaning a substrate using non-newtonian fluids
US20060285930A1 (en) * 2005-06-15 2006-12-21 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US20070084485A1 (en) * 2003-06-27 2007-04-19 Freer Erik M Method and apparatus for cleaning a semiconductor substrate
US20070084483A1 (en) * 2003-06-27 2007-04-19 Freer Erik M Method and apparatus for cleaning a semiconductor substrate
US20070087950A1 (en) * 2003-06-27 2007-04-19 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
WO2007059027A2 (en) * 2005-11-11 2007-05-24 Dsg Technologies Thermal processing system, components, and methods
US20070155640A1 (en) * 2005-12-30 2007-07-05 Lam Research Corporation Substrate preparation using stabilized fluid solutions and methods for making stable fluid solutions
US20070227556A1 (en) * 2006-04-04 2007-10-04 Bergman Eric J Methods for removing photoresist
US20080020549A1 (en) * 2006-07-20 2008-01-24 Qc Solutions, Inc. Method and apparatus for forming an oxide layer on semiconductors
US20080060682A1 (en) * 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature spm treatment for photoresist stripping
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
US20080156261A1 (en) * 2003-01-14 2008-07-03 Hitachi, Ltd. Method for producing nanoparticle layer having uniform easy axis of magnetization, magnetic recording medium having such layer, its production method, and its production apparatus
US20080178910A1 (en) * 2007-01-31 2008-07-31 Tokyo Electron Limited Substrate cleaning apparatus, substrate cleaning method, and storage medium
US20080274584A1 (en) * 2007-05-04 2008-11-06 National Chiao Tung University Method of microwave annealing for enhancing organic electronic devices
EP2031646A1 (en) * 2006-06-22 2009-03-04 River Bell Co. Treating apparatus, method of treating and plasma source
US20090114249A1 (en) * 2007-02-08 2009-05-07 Lam Research Corporation System and method for contained chemical surface treatment
US20090196998A1 (en) * 2008-01-31 2009-08-06 Fujifilm Corporation Method for producing functional film
US20090281016A1 (en) * 2008-05-01 2009-11-12 Advanced Technology Materials, Inc. LOW pH MIXTURES FOR THE REMOVAL OF HIGH DENSITY IMPLANTED RESIST
EP2130946A1 (en) * 2008-06-06 2009-12-09 Turbine Overhaul Services Private Limited Microwave assisted chemical stripping method of metallic coatings
US20090308410A1 (en) * 2005-12-30 2009-12-17 Lam Research Corporation Method and material for cleaning a substrate
US20090308413A1 (en) * 2005-12-30 2009-12-17 Lam Research Corporation Apparatus and system for cleaning a substrate
US7648584B2 (en) 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US20100044306A1 (en) * 2006-08-11 2010-02-25 The University Of British Columbia Method and apparatus using hydrogen peroxide and microwave system for slurries treatment
US20100242998A1 (en) * 2009-03-27 2010-09-30 Eastman Chemical Company Compositions and methods for removing organic substances
US20100242999A1 (en) * 2009-03-27 2010-09-30 Eastman Chemical Company Compositions and methods for removing organic substances
US20110033636A1 (en) * 2006-01-31 2011-02-10 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
CN102500578A (en) * 2011-11-15 2012-06-20 昆山明创电子科技有限公司 Electromagnetic steel residue adsorber
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US20140037508A1 (en) * 2011-03-31 2014-02-06 Yoshihisa Shinoda Vehicular air cleaner
US8758522B2 (en) 2007-12-14 2014-06-24 Lam Research Corporation Method and apparatus for removing contaminants from substrate
CN103949431A (en) * 2014-04-28 2014-07-30 江苏双仪光学器材有限公司 Golden lens cleaning process
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
CN105234131A (en) * 2015-11-02 2016-01-13 中国工程物理研究院激光聚变研究中心 Baking device used for removing trace of organic pollutants on surface of light machine element
US20160133455A1 (en) * 2009-07-10 2016-05-12 Apet Wafer cleaning apparatus and wafer cleaning method using the same
US9418846B1 (en) * 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
US20170154791A1 (en) * 2014-06-13 2017-06-01 Ushio Denki Kabushiki Kaisha Desmear treatment device and desmear treatment method
CN111198482A (en) * 2020-01-06 2020-05-26 长江存储科技有限责任公司 Photoresist removing device and method
US20200286757A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. Apparatus for annealing semiconductor integrated circuit wafers
CN112343511A (en) * 2020-11-25 2021-02-09 东北大学 Cold and hot impact rock breaking device and method based on microwave heating and liquid nitrogen cooling
US20210296118A1 (en) * 2020-03-19 2021-09-23 International Business Machines Corporation Embedded Metal Contamination Removal from BEOL Wafers

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101943868B (en) * 2010-08-03 2012-12-19 无锡科硅电子技术有限公司 Method for removing photoresist and device thereof
US10627719B2 (en) * 2016-08-12 2020-04-21 Inpria Corporation Methods of reducing metal residue in edge bead region from metal-containing resists

Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4065369A (en) * 1975-07-18 1977-12-27 Tokyo Shibaura Electric Co., Ltd. Activated gas reaction apparatus & method
US4243744A (en) * 1978-12-22 1981-01-06 Exxon Research & Engineering Co. Microwave curing of photoresist films
US4273950A (en) * 1979-05-29 1981-06-16 Photowatt International, Inc. Solar cell and fabrication thereof using microwaves
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4565669A (en) * 1983-04-21 1986-01-21 Cem Corporation Microwave ashing apparatus
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4718974A (en) * 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
US5051338A (en) * 1983-01-19 1991-09-24 Tokyo Shibaura Denki Kabushiki Kaisha Method and apparatus for forming resist pattern
US5176782A (en) * 1990-12-27 1993-01-05 Orc Manufacturing Company, Ltd. Apparatus for photochemically ashing a photoresist
US5374413A (en) * 1992-10-16 1994-12-20 Korea Research Institute Of Chemical Technology Heating of fluidized bed reactor by microwaves
US5382446A (en) * 1993-05-19 1995-01-17 Hughes Aircraft Company Surface treatment process for liquid crystal cell substrates
US5418397A (en) * 1990-07-04 1995-05-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interconnection pattern
US5503964A (en) * 1991-05-30 1996-04-02 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Resist removing method
US5538025A (en) * 1991-11-05 1996-07-23 Serec Partners Solvent cleaning system
US5558800A (en) * 1995-06-19 1996-09-24 Northrop Grumman Microwave power radiator for microwave heating applications
US5677113A (en) * 1991-10-18 1997-10-14 Ushiodenki Kabushiki Kaisha Method for ashing a photoresist resin film on a semiconductor wafer and an asher
US5683564A (en) * 1996-10-15 1997-11-04 Reynolds Tech Fabricators Inc. Plating cell and plating method with fluid wiper
US5776296A (en) * 1993-07-16 1998-07-07 Legacy Systems, Inc. Apparatus for the treatment of semiconductor wafers in a fluid
US5796079A (en) * 1996-07-16 1998-08-18 Korea Institute Of Science And Technology Rapid thermal processing method for ferroelectric, high dielectric, electrostrictive, semiconductive, or conductive ceramic thin film using microwaves
US5865894A (en) * 1997-06-11 1999-02-02 Reynolds Tech Fabricators, Inc. Megasonic plating system
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5911941A (en) * 1997-04-10 1999-06-15 Nucon Systems Process for the preparation of thick-walled ceramic products
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US5963823A (en) * 1995-05-19 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film semiconductor device
US6007869A (en) * 1997-08-14 1999-12-28 Wacker-Chemie Gmbh Process for preparing highly pure silicon granules
US6106690A (en) * 1998-12-07 2000-08-22 Reynolds Tech Fabricators, Inc. Electroplaner
US6133076A (en) * 1999-08-24 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor
US6171662B1 (en) * 1996-04-01 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Method of surface processing
US6217735B1 (en) * 1999-05-19 2001-04-17 Reynolds Tech Babricators, Inc. Electroplating bath with megasonic transducer
US6221437B1 (en) * 1999-04-12 2001-04-24 Reynolds Tech Fabricators, Inc. Heated workpiece holder for wet plating bath
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US20010009155A1 (en) * 1999-12-24 2001-07-26 m . FSI LTD. Substrate treatment process and apparatus
US6268596B1 (en) * 1999-08-24 2001-07-31 Ut-Battelle, Llc Apparatus and method for microwave processing of liquids
US20010010229A1 (en) * 2000-01-31 2001-08-02 R. Subramanian Ozone cleaning of wafers
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6319386B1 (en) * 2000-02-03 2001-11-20 Reynolds Tech Fabricators, Inc. Submerged array megasonic plating
US20020001957A1 (en) * 2000-06-28 2002-01-03 Gi-Hyeon Kim Method for forming fine patterns by thinning developed photoresist patterns using oxygen radicals
US20020017364A1 (en) * 1998-07-13 2002-02-14 Laizhong Luo Systems and methods for two-sided etch of a semiconductor substrate
US20020045008A1 (en) * 2000-10-04 2002-04-18 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20020061810A1 (en) * 1997-05-16 2002-05-23 Sumitomo Electric Industries, Ltd. Silica glass article and manufacturing process therefor
US20020067918A1 (en) * 2000-12-04 2002-06-06 Camm David Malcolm Heat-treating methods and systems
US20020095816A1 (en) * 2000-01-12 2002-07-25 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US20020119327A1 (en) * 1997-12-02 2002-08-29 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
US20020139773A1 (en) * 2001-03-28 2002-10-03 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US6468599B1 (en) * 1998-12-25 2002-10-22 International Business Machines Corporation Method for removing organic compound by ultraviolet radiation
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US20020173166A1 (en) * 2001-04-11 2002-11-21 Kurt Christenson Method and apparatus to quickly increase the concentration of gas in a process chamber to a very high level
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030066549A1 (en) * 2000-09-28 2003-04-10 Seiji Noda Substrate processing method, and apparatus therefor
US6582525B2 (en) * 1997-05-09 2003-06-24 Eric J. Bergman Methods for processing a workpiece using steam and ozone
US6605814B1 (en) * 1999-05-20 2003-08-12 Ushiodenki Kabushiki Kaisha Apparatus for curing resist
US6627846B1 (en) * 1999-12-16 2003-09-30 Oramir Semiconductor Equipment Ltd. Laser-driven cleaning using reactive gases
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US6847097B2 (en) * 1993-10-12 2005-01-25 Semiconductor Energy Laboratory Co., Ltd. Glass substrate assembly, semiconductor device and method of heat-treating glass substrate
US7141504B1 (en) * 1998-07-23 2006-11-28 Surface Technology Systems Plc Method and apparatus for anisotropic etching

Patent Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4065369A (en) * 1975-07-18 1977-12-27 Tokyo Shibaura Electric Co., Ltd. Activated gas reaction apparatus & method
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4243744A (en) * 1978-12-22 1981-01-06 Exxon Research & Engineering Co. Microwave curing of photoresist films
US4273950A (en) * 1979-05-29 1981-06-16 Photowatt International, Inc. Solar cell and fabrication thereof using microwaves
US5051338A (en) * 1983-01-19 1991-09-24 Tokyo Shibaura Denki Kabushiki Kaisha Method and apparatus for forming resist pattern
US4565669A (en) * 1983-04-21 1986-01-21 Cem Corporation Microwave ashing apparatus
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US4718974A (en) * 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
US5418397A (en) * 1990-07-04 1995-05-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interconnection pattern
US5176782A (en) * 1990-12-27 1993-01-05 Orc Manufacturing Company, Ltd. Apparatus for photochemically ashing a photoresist
US5503964A (en) * 1991-05-30 1996-04-02 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Resist removing method
US5677113A (en) * 1991-10-18 1997-10-14 Ushiodenki Kabushiki Kaisha Method for ashing a photoresist resin film on a semiconductor wafer and an asher
US5538025A (en) * 1991-11-05 1996-07-23 Serec Partners Solvent cleaning system
US5374413A (en) * 1992-10-16 1994-12-20 Korea Research Institute Of Chemical Technology Heating of fluidized bed reactor by microwaves
US5382446A (en) * 1993-05-19 1995-01-17 Hughes Aircraft Company Surface treatment process for liquid crystal cell substrates
US5776296A (en) * 1993-07-16 1998-07-07 Legacy Systems, Inc. Apparatus for the treatment of semiconductor wafers in a fluid
US6847097B2 (en) * 1993-10-12 2005-01-25 Semiconductor Energy Laboratory Co., Ltd. Glass substrate assembly, semiconductor device and method of heat-treating glass substrate
US5963823A (en) * 1995-05-19 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film semiconductor device
US5558800A (en) * 1995-06-19 1996-09-24 Northrop Grumman Microwave power radiator for microwave heating applications
US6171662B1 (en) * 1996-04-01 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Method of surface processing
US5796079A (en) * 1996-07-16 1998-08-18 Korea Institute Of Science And Technology Rapid thermal processing method for ferroelectric, high dielectric, electrostrictive, semiconductive, or conductive ceramic thin film using microwaves
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5683564A (en) * 1996-10-15 1997-11-04 Reynolds Tech Fabricators Inc. Plating cell and plating method with fluid wiper
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US5911941A (en) * 1997-04-10 1999-06-15 Nucon Systems Process for the preparation of thick-walled ceramic products
US6582525B2 (en) * 1997-05-09 2003-06-24 Eric J. Bergman Methods for processing a workpiece using steam and ozone
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US20020061810A1 (en) * 1997-05-16 2002-05-23 Sumitomo Electric Industries, Ltd. Silica glass article and manufacturing process therefor
US5865894A (en) * 1997-06-11 1999-02-02 Reynolds Tech Fabricators, Inc. Megasonic plating system
US6007869A (en) * 1997-08-14 1999-12-28 Wacker-Chemie Gmbh Process for preparing highly pure silicon granules
US20020119327A1 (en) * 1997-12-02 2002-08-29 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
US20020017364A1 (en) * 1998-07-13 2002-02-14 Laizhong Luo Systems and methods for two-sided etch of a semiconductor substrate
US7141504B1 (en) * 1998-07-23 2006-11-28 Surface Technology Systems Plc Method and apparatus for anisotropic etching
US6106690A (en) * 1998-12-07 2000-08-22 Reynolds Tech Fabricators, Inc. Electroplaner
US6468599B1 (en) * 1998-12-25 2002-10-22 International Business Machines Corporation Method for removing organic compound by ultraviolet radiation
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6221437B1 (en) * 1999-04-12 2001-04-24 Reynolds Tech Fabricators, Inc. Heated workpiece holder for wet plating bath
US6217735B1 (en) * 1999-05-19 2001-04-17 Reynolds Tech Babricators, Inc. Electroplating bath with megasonic transducer
US6605814B1 (en) * 1999-05-20 2003-08-12 Ushiodenki Kabushiki Kaisha Apparatus for curing resist
US6133076A (en) * 1999-08-24 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor
US6268596B1 (en) * 1999-08-24 2001-07-31 Ut-Battelle, Llc Apparatus and method for microwave processing of liquids
US6627846B1 (en) * 1999-12-16 2003-09-30 Oramir Semiconductor Equipment Ltd. Laser-driven cleaning using reactive gases
US20010009155A1 (en) * 1999-12-24 2001-07-26 m . FSI LTD. Substrate treatment process and apparatus
US20020095816A1 (en) * 2000-01-12 2002-07-25 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US20010010229A1 (en) * 2000-01-31 2001-08-02 R. Subramanian Ozone cleaning of wafers
US6319386B1 (en) * 2000-02-03 2001-11-20 Reynolds Tech Fabricators, Inc. Submerged array megasonic plating
US20020001957A1 (en) * 2000-06-28 2002-01-03 Gi-Hyeon Kim Method for forming fine patterns by thinning developed photoresist patterns using oxygen radicals
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US20030066549A1 (en) * 2000-09-28 2003-04-10 Seiji Noda Substrate processing method, and apparatus therefor
US20020045008A1 (en) * 2000-10-04 2002-04-18 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20020067918A1 (en) * 2000-12-04 2002-06-06 Camm David Malcolm Heat-treating methods and systems
US20020139773A1 (en) * 2001-03-28 2002-10-03 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US20020173166A1 (en) * 2001-04-11 2002-11-21 Kurt Christenson Method and apparatus to quickly increase the concentration of gas in a process chamber to a very high level
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer

Cited By (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080156261A1 (en) * 2003-01-14 2008-07-03 Hitachi, Ltd. Method for producing nanoparticle layer having uniform easy axis of magnetization, magnetic recording medium having such layer, its production method, and its production apparatus
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US20070084483A1 (en) * 2003-06-27 2007-04-19 Freer Erik M Method and apparatus for cleaning a semiconductor substrate
US8316866B2 (en) 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7648584B2 (en) 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US20060128590A1 (en) * 2003-06-27 2006-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US8522801B2 (en) 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7799141B2 (en) 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7737097B2 (en) 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US20070084485A1 (en) * 2003-06-27 2007-04-19 Freer Erik M Method and apparatus for cleaning a semiconductor substrate
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US20070087950A1 (en) * 2003-06-27 2007-04-19 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US20050158671A1 (en) * 2003-11-25 2005-07-21 Yuji Shimizu Method for manufacturing a semiconductor device and a cleaning device for stripping resist
US20050133061A1 (en) * 2003-12-23 2005-06-23 Lam Research Corporation Apparatuses and methods for cleaning a substrate
US20060048798A1 (en) * 2004-09-09 2006-03-09 Honeywell International Inc. Methods of cleaning optical substrates
US20060073673A1 (en) * 2004-10-04 2006-04-06 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US20080032906A1 (en) * 2004-10-04 2008-02-07 Steven Verhaverbeke Ammonium hydroxide treatments for semiconductor substrates
US20070183956A1 (en) * 2004-10-04 2007-08-09 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US7314854B2 (en) * 2004-10-04 2008-01-01 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
CN102688867A (en) * 2005-06-15 2012-09-26 兰姆研究有限公司 Method for cleaning a substrate
US20060283486A1 (en) * 2005-06-15 2006-12-21 Lam Research Corporation Method and apparatus for cleaning a substrate using non-newtonian fluids
EP1734567A1 (en) * 2005-06-15 2006-12-20 Lam Research Corporation Method and apparatus for cleaning a substrate using non-newtonian fluids
US20060285930A1 (en) * 2005-06-15 2006-12-21 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8671959B2 (en) 2005-06-15 2014-03-18 Lam Research Corporation Method and apparatus for cleaning a substrate using non-newtonian fluids
KR101239509B1 (en) * 2005-06-15 2013-03-05 램 리써치 코포레이션 Method and apparatus for cleaning a substrate using non-newtonian fluids
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
WO2007059027A3 (en) * 2005-11-11 2007-07-19 Dsg Technologies Thermal processing system, components, and methods
WO2007059027A2 (en) * 2005-11-11 2007-05-24 Dsg Technologies Thermal processing system, components, and methods
JP2009516375A (en) * 2005-11-11 2009-04-16 ディーエスジー テクノロジーズ Thermal processing system, components, and methods
US20070167029A1 (en) * 2005-11-11 2007-07-19 Kowalski Jeffrey M Thermal processing system, components, and methods
US8475599B2 (en) * 2005-12-30 2013-07-02 Lam Research Corporation Substrate preparation using stabilized fluid solutions and methods for making stable fluid solutions
US20090308410A1 (en) * 2005-12-30 2009-12-17 Lam Research Corporation Method and material for cleaning a substrate
US20090308413A1 (en) * 2005-12-30 2009-12-17 Lam Research Corporation Apparatus and system for cleaning a substrate
US20070155640A1 (en) * 2005-12-30 2007-07-05 Lam Research Corporation Substrate preparation using stabilized fluid solutions and methods for making stable fluid solutions
US7862662B2 (en) 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US8522799B2 (en) 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US20110033636A1 (en) * 2006-01-31 2011-02-10 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
US20070227556A1 (en) * 2006-04-04 2007-10-04 Bergman Eric J Methods for removing photoresist
EP2031646A4 (en) * 2006-06-22 2012-05-30 River Bell Co Treating apparatus, method of treating and plasma source
EP2031646A1 (en) * 2006-06-22 2009-03-04 River Bell Co. Treating apparatus, method of treating and plasma source
US20080020549A1 (en) * 2006-07-20 2008-01-24 Qc Solutions, Inc. Method and apparatus for forming an oxide layer on semiconductors
US8444861B2 (en) * 2006-08-11 2013-05-21 The University Of British Columbia Method and apparatus using hydrogen peroxide and microwave system for slurries treatment
US20100044306A1 (en) * 2006-08-11 2010-02-25 The University Of British Columbia Method and apparatus using hydrogen peroxide and microwave system for slurries treatment
US20080060682A1 (en) * 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature spm treatment for photoresist stripping
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
US20080178910A1 (en) * 2007-01-31 2008-07-31 Tokyo Electron Limited Substrate cleaning apparatus, substrate cleaning method, and storage medium
EP1952899A1 (en) * 2007-01-31 2008-08-06 Tokyo Electron Ltd. Substrate cleaning apparatus, substrate cleaning method, and storage medium
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US20090114249A1 (en) * 2007-02-08 2009-05-07 Lam Research Corporation System and method for contained chemical surface treatment
US20080274584A1 (en) * 2007-05-04 2008-11-06 National Chiao Tung University Method of microwave annealing for enhancing organic electronic devices
US8758522B2 (en) 2007-12-14 2014-06-24 Lam Research Corporation Method and apparatus for removing contaminants from substrate
US20090196998A1 (en) * 2008-01-31 2009-08-06 Fujifilm Corporation Method for producing functional film
US8133533B2 (en) * 2008-01-31 2012-03-13 Fujifilm Corporation Method for producing functional film
EP2288965A2 (en) * 2008-05-01 2011-03-02 Advanced Technology Materials, Inc. Low ph mixtures for the removal of high density implanted resist
US20090281016A1 (en) * 2008-05-01 2009-11-12 Advanced Technology Materials, Inc. LOW pH MIXTURES FOR THE REMOVAL OF HIGH DENSITY IMPLANTED RESIST
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
EP2288965A4 (en) * 2008-05-01 2011-08-10 Advanced Tech Materials Low ph mixtures for the removal of high density implanted resist
EP2130946A1 (en) * 2008-06-06 2009-12-09 Turbine Overhaul Services Private Limited Microwave assisted chemical stripping method of metallic coatings
US20090301515A1 (en) * 2008-06-06 2009-12-10 United Technologies Corporation Microwave assisted chemical stripping of coatings
US20100242999A1 (en) * 2009-03-27 2010-09-30 Eastman Chemical Company Compositions and methods for removing organic substances
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
WO2010110847A3 (en) * 2009-03-27 2010-12-29 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US20100242998A1 (en) * 2009-03-27 2010-09-30 Eastman Chemical Company Compositions and methods for removing organic substances
US8916338B2 (en) 2009-03-27 2014-12-23 Eastman Chemical Company Processes and compositions for removing substances from substrates
US8389455B2 (en) 2009-03-27 2013-03-05 Eastman Chemical Company Compositions and methods for removing organic substances
US20160133455A1 (en) * 2009-07-10 2016-05-12 Apet Wafer cleaning apparatus and wafer cleaning method using the same
US10037881B2 (en) * 2009-07-10 2018-07-31 Apet Wafer cleaning apparatus and wafer cleaning method using the same
US20140037508A1 (en) * 2011-03-31 2014-02-06 Yoshihisa Shinoda Vehicular air cleaner
US10342885B2 (en) * 2011-03-31 2019-07-09 Toyota Jidosha Kabushiki Kaisha Vehicular air cleaner
CN102500578A (en) * 2011-11-15 2012-06-20 昆山明创电子科技有限公司 Electromagnetic steel residue adsorber
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
CN103949431A (en) * 2014-04-28 2014-07-30 江苏双仪光学器材有限公司 Golden lens cleaning process
US20170154791A1 (en) * 2014-06-13 2017-06-01 Ushio Denki Kabushiki Kaisha Desmear treatment device and desmear treatment method
US9859131B2 (en) * 2014-06-13 2018-01-02 Ushio Denki Kabushiki Kaisha Desmear treatment device and desmear treatment method
US9418846B1 (en) * 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
US9679775B2 (en) 2015-02-27 2017-06-13 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
CN105234131A (en) * 2015-11-02 2016-01-13 中国工程物理研究院激光聚变研究中心 Baking device used for removing trace of organic pollutants on surface of light machine element
US20200286757A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. Apparatus for annealing semiconductor integrated circuit wafers
CN111198482A (en) * 2020-01-06 2020-05-26 长江存储科技有限责任公司 Photoresist removing device and method
US20210296118A1 (en) * 2020-03-19 2021-09-23 International Business Machines Corporation Embedded Metal Contamination Removal from BEOL Wafers
CN112343511A (en) * 2020-11-25 2021-02-09 东北大学 Cold and hot impact rock breaking device and method based on microwave heating and liquid nitrogen cooling

Also Published As

Publication number Publication date
KR20040105567A (en) 2004-12-16
TW200508419A (en) 2005-03-01
DE102004025959A1 (en) 2004-12-30

Similar Documents

Publication Publication Date Title
US20040159335A1 (en) Method and apparatus for removing organic layers
US4699689A (en) Method and apparatus for dry processing of substrates
KR100789007B1 (en) Substrate processing device, substrate processing method and storage medium
US8066819B2 (en) Method of removing organic materials from substrates
EP0234387A2 (en) Method of removing photoresist on a semiconductor wafer
JPH05121386A (en) Plasma washing method of substrate surface, photo-resist-plasma washing method of wafer and washing device for substrate surface
WO2008150443A2 (en) Method and apparatus for laser oxidation and reduction reactions
EP0859684A1 (en) Laser stripping improvement by modified gas composition
US20060180573A1 (en) Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
KR20220031649A (en) Bake Strategies to Improve Lithographic Performance of Metal-Containing Resists
US5789141A (en) Photolithography of chemically amplified resist utilizing 200°C minimum heat treatment of uncoated substrate
TW200307323A (en) Method of removing resist using functional water and device thereof
EP1123166B1 (en) Method of removing organic materials from substrates
JP2001308078A (en) Organic matter removing method, method of manufacturing semiconductor device and organic matter remover and system
JPH05275326A (en) Method for ashing resist
US20060180174A1 (en) Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
EP1032026B1 (en) Method of photoresist ash residue removal
US7270724B2 (en) Scanning plasma reactor
JP4299638B2 (en) Substrate processing apparatus and substrate processing method
KR100780290B1 (en) Photoresist Strip Process Facilities
JP2003188137A (en) Substrate processing apparatus
JP3218348B2 (en) Plasma ashing method
EP0879113A1 (en) Damage-free laser surface treatment method
JP2000012526A (en) Plasma processing apparatus and method
WO2006088561A2 (en) Method for treating a substrate with a high pressure fluid using a peroxide-based process chemistry

Legal Events

Date Code Title Description
AS Assignment

Owner name: P.C.T. SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MONTIERTH, GARRY L.;REEL/FRAME:013995/0297

Effective date: 20030812

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION