US20040126213A1 - Device for accommodating disk-shaped objects and apparatus for handling objects - Google Patents

Device for accommodating disk-shaped objects and apparatus for handling objects Download PDF

Info

Publication number
US20040126213A1
US20040126213A1 US10/478,285 US47828503A US2004126213A1 US 20040126213 A1 US20040126213 A1 US 20040126213A1 US 47828503 A US47828503 A US 47828503A US 2004126213 A1 US2004126213 A1 US 2004126213A1
Authority
US
United States
Prior art keywords
carrier
objects
support
recesses
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/478,285
Inventor
Arthur Pelzmann
Martin Drechsler
Jurgen Niess
Michael Grandy
Hin Chung
Paul Mantz
Ottmar Graf
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MATTSON THERMAL PRODUCTS
Original Assignee
Mattson Thermal Products
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Thermal Products filed Critical Mattson Thermal Products
Priority claimed from PCT/EP2002/004790 external-priority patent/WO2002095795A2/en
Assigned to MATTSON THERMAL PRODUCTS reassignment MATTSON THERMAL PRODUCTS ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PELZMANN, ARTHUR, GRAF, OTTMAR, GRANDY, MICHAEL, MANTZ, PAUL, CHUNG, HIN YIU, DRECHSLER, MARTIN, NIESS, JURGEN
Publication of US20040126213A1 publication Critical patent/US20040126213A1/en
Priority to US11/333,727 priority Critical patent/US20060245906A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68313Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting

Definitions

  • the present invention relates to a device for accommodating disk-shaped objects, preferably semiconductor wafers, for the thermal treatment thereof.
  • the invention also relates to a handling apparatus for objects.
  • RTP units Rapid Thermal Processing
  • An RTP unit essentially comprises a transparent process chamber in which a wafer that is to be processed can be disposed upon suitable support devices. Furthermore, in addition to the wafer, diverse auxiliary elements, such as, for example, a light-absorbing plate, a compensation ring that spans the wafer, or a rotation or tilting device for the wafer can be disposed in the process chamber.
  • the process chamber can be provided with suitable gas inlets and outlets in order to be able to produce a prescribed atmosphere within the process chamber in which the wafer is to be processed.
  • the wafer is heated by a thermal radiation that issues from a heating device that can be disposed either above the wafer or below the wafer or on both sides, and is composed of a plurality of lamps, rod or point-type lamps, or a combination thereof.
  • a heating device that can be disposed either above the wafer or below the wafer or on both sides, and is composed of a plurality of lamps, rod or point-type lamps, or a combination thereof.
  • the overall arrangement can be surrounded by an external chamber, the inner walls of which are entirely or at least partially reflective.
  • the wafer is placed upon a heating plate or susceptor, and is heated by a thermal contact with this susceptor.
  • III-V or II-IV semiconductors such as, for example, GaN, InP, GaAs or tertiary compounds such as, for example, InGaAs or quaternary compounds such as InGaAsP
  • III-V or II-IV semiconductors such as, for example, GaN, InP, GaAs or tertiary compounds such as, for example, InGaAs or quaternary compounds such as InGaAsP
  • the described graphite receptacle is predominantly used for processing wafers of a combination semiconductor having diameters of 200 mm and 300 mm. However, very common are also wafers of combination semiconductors having small diameters of 50 mm, 100 mm, or 150 mm.
  • this object is realized by a carrier having at least two recesses for respectively receiving a wafer. With such carriers, a plurality of wafers can be processed simultaneously. In contrast to the known treatment methods, this means a considerable increase of the throughput of an RTP unit, and represents a significant economical advantage.
  • the inventive device has at least one cover for covering at least one recess in order to provide an essentially closed-off space about the objects.
  • a single large cover is possible that covers all of the recesses of the carrier with the wafers contained therein.
  • each recess could also be covered by individual covers.
  • one of the covers simultaneously covers any desired number of recesses, although more than one and not all of them, or any desired number of the recesses can be individually covered and the remainder of the recesses can remain uncovered.
  • Such a cover can be combined in any desired manner with other similar covers as well as with individual covers for a respective recess and with non-covered recesses.
  • the carrier that is provided with the recesses is preferably made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal.
  • at least one of the covers can be made of graphite or sapphire or quartz or boron nitride or aluminum nitride or silicon or silicon carbide or silicon nitride or ceramic or metal.
  • not only the carrier but also at least one or all of the covers can also be made of the aforementioned materials.
  • carriers are used having at least one cover that has a low specific thermal capacity, preferably 0.2 to 0.8 J/gK, of the carrier and/or of at least one cover. For this reason, the carrier should have as low a thickness as possible.
  • carriers having at least one cover are advantageous where the carrier and/or at least one of the covers has a high thermal conductivity, preferably 10 to 100 W/mK.
  • At least parts of the carrier, or parts of one of the covers, or parts of the carrier and parts of one of the covers, are preferably coated.
  • local optical characteristics of carrier and covers can be achieved by suitable area wise coatings of the outer surfaces.
  • the carrier it can be advantageous to make at least parts of the carrier, or parts of at least one of the covers or parts of the carrier and parts of at least one of the covers, transparent for the thermal radiation by making them, for example, of quartz or sapphire.
  • the covers, as well as parts of the carrier that correspond to the base surfaces of the recesses, are advantageously nontransparent for the thermal radiation, while the other parts of the carrier are transparent.
  • a different atmosphere can exist in each covered recess. For example, if in at least one first recess a InP wafer is processed, a phosphorous-containing atmosphere exists in the recess. In at least one second recess in which a GaAs wafer is to be processed, an arsenic-containing atmosphere exists. Finally, in at least one third, optionally not covered recess a wafer can be processed that comprises silicon, in other words not a combination semiconductor.
  • At least some of the wafers accommodated by the carrier can be at least partially coated.
  • the volume material of at least one of the wafers can also vary in zones in that the wafer is provided, for example, with an implanted layer.
  • the inventive carrier for a plurality of wafers which are subjected to a thermal treatment in common in a process chamber, makes it possible during the same process stage to achieve different process results with the same course of the thermal radiation for each wafer.
  • each wafer experiences an individual process temperature, although the course of the thermal radiation is the same for all wafers.
  • the recesses in the carrier preferably have the same depth, so that after loading of the carrier the wafers are all disposed parallel and in the same plane.
  • the wafers are always disposed parallel to one another, they are offset with respect to height and are disposed at different planes.
  • the wafers rest flat on the base of the recess.
  • a support of the wafers within at least one recess is advantageously selected, whereby a contact between wafer and the base of the recess is avoided. This is advantageously achieved by pin-shaped support elements that are disposed in the recess and which accommodate the wafer. With the same depth of the recesses but different lengths of the support elements, the wafers can then be disposed at planes of different heights.
  • Another preferred possibility of arranging the wafers such that a contact with the base of the recess is avoided is to support the rim portion of the wafer. This is achieved by making at least one recess so that it tapers conically inwardly. In this way, an inwardly beveled edge of the recess is obtained that leads to a rim support of a wafer.
  • at least one recess has a concave configuration that again leads to supporting the rim of the wafer on the edge of the recess.
  • the wafer can be placed at different heights.
  • the wafers are advantageously sequentially placed via a gripper directly into the recesses or onto support pins.
  • a gripper Suitable for this purpose are grippers having suction devices that draw the wafers against them. This can be effected via a suction device that operates according to the Bernoulli principle.
  • Support pins are advantageously provided for the loading of the carrier and preferably extend through the carrier. These support pins advantageously have different heights for different recesses in order not to obstruct a loading of the recesses that are remote from the gripper by the support pins that are provided for loading the recesses that face the gripper.
  • the covers can be placed upon support pins that either extend through the carrier or are disposed entirely externally of the carrier.
  • the support pins for the covers are advantageously longer than the support pins for the wafers.
  • the support pins and the carrier are preferably vertically movable relative to one another.
  • the support pins move downwardly through the carrier, as a result of which the wafers are raised from the support pins and are deposited in the recesses associated with them.
  • the carrier could also be moved upwardly.
  • Another preferred method for loading the carrier sequentially rotates the carrier about a vertical axis in order to respectively rotate the recess that is to be loaded to the gripper.
  • the corresponding covers can either be place directly upon the carrier or upon support pins by the gripper if they were not already placed upon appropriate support pins prior to the wafers.
  • a loading of the carrier is preferably effected within the process chamber. However, it can also be loaded externally of the process chamber and can subsequently be introduced into the process chamber for the thermal treatment.
  • a plurality of such carriers with covers can, for example, advantageously be stacked one above or next to each other within a process chamber for a thermal treatment.
  • the loading and unloading of the carrier with the substrates and/or covers is preferably effected with an automatic loading and unloading unit which can be appropriately controlled in correspondence to the loading and unloading processes.
  • the inventive device is preferably, although not exclusively, particularly suitable for wafers of combination semiconductors having predominantly small diameters.
  • the thermal treatment of the semiconductor wafers is preferably effected in RTP units in which prescribed environmental conditions and temperature profiles can be set. In this connection, during the treatment the carrier is extensively stable at the environmental conditions and the temperatures.
  • Semiconductor wafers are relatively thin and have thicknesses of 50 to 500 ⁇ m, and customarily 200 ⁇ m. These wafers are therefore very susceptible to breakage during the handling, so that with the conventional handling by hand or with handling apparatus, such as robots and the like, breakage of the wafers frequently occurs, thus considerably reducing the yield during the manufacture of the semiconductors.
  • semiconductor wafers that are used for expensive components such as, for example, laser diodes, this is particularly evident, since a two-inch wafer for this purpose has a value in the range of 25,000.
  • the wafers are treated in receptacles that are made, for example, of graphite and are introduced into a process chamber for the treatment of the wafers.
  • graphite boxes have a weight of 200 to 2,000 g, depending upon the number and the size of the wafers that are to be accommodated in the boxes.
  • the stated object is realized with a handling apparatus having at least one transport arm, which in turn has at least one support device for supporting, via vacuum, at least one object that is to be handled, by a vacuum control device for altering the vacuum as a function of the weight of the object.
  • the inventive handling apparatus thus enables, for example, not only the loading and unloading of receptacles into or out of the process chamber, but also the loading and unloading of the thin, breakable wafers into and out of the receptacle.
  • this takes place with a single handling apparatus, so that equipment costs can thereby be kept low.
  • the production yield is significantly increased since breakage of wafers, as frequently occurs during manual loading and unloading of the receptacle and of the process chamber, is avoided or at least significantly reduced.
  • a treatment unit having the inventive handling apparatus is therefore amortized considerably earlier than are conventional treatment units due to the low rejection rate and the rapid and reliable handling, especially if the unit is used for manufacturing very expensive components.
  • the vacuum control device includes only one vacuum source and vacuum change-over devices, for example line change-over switches, for switching between a line with and without a vacuum regulator.
  • vacuum change-over devices for example line change-over switches, for switching between a line with and without a vacuum regulator.
  • the vacuum regulator is preferably an adjustable valve.
  • at least two separately controllable vacuum systems are provided.
  • the pressure ratio for the objects that are to be handled and that have different weights is in a range of from 10 to 10,000.
  • This vacuum ratio is essentially a function of the weight ratio of the objects that are to be handled and also of the design of the support devices.
  • an object having a low weight is a silicon semiconductor wafer, and an object having a greater weight is a receptacle in which the wafers are disposed during at least one treatment step.
  • Receptacles of this type have been described previously by way of example.
  • the support devices for objects having different weights can be embodied in the same manner, it is, however, advantageous pursuant to a further embodiment of the invention to also embody the support devices differently for the different objects, especially for objects having different weights.
  • the support devices are preferably so-called pads or support cushions that are connected via a line with a vacuum source or a vacuum system.
  • the individual support devices or pads can be supplied with the same vacuum, or they can also be supplied with respectively different vacuums, which in this case, however, requires appropriate control elements, such as, for example, valves or separate vacuum systems.
  • the support devices are preferably adapted to the objects having different weights, for example also to the shape and surface structure of the objects.
  • the support devices or pads for supporting the receptacle generally larger support surfaces are required than for supporting the light wafers.
  • the shape of the pads is to be selected in conformity with the prescribed requirements, and it can be round or rectangular or have some other configuration.
  • the pads are preferably round, since here the ratio surface/rim is the greatest, and in so doing even at a low suction power of the vacuum source a reliable holding of the object, for example the wafer, is ensured.
  • the contact pressure produced by the pads, and via which the wafer is pressed against the support must be great enough that the frictional force resulting from the contact pressure is greater than the forces produced by acceleration of the transport arm or the acceleration due to gravity, which act upon the object, for example the wafer.
  • this is achieved, for example, via a vacuum of approximately 0.005 bar (this corresponds to an absolute pressure of 0.995 bar), if the (horizontal) acceleration forces acting upon the wafer are less than 1 g.
  • a vacuum approximately 0.005 bar (this corresponds to an absolute pressure of 0.995 bar)
  • the vacuum is greater, i.e. the absolute pressure smaller, the wafer will still always be reliably held, in other words, the acceleration force can exceed 1 g, although there then exists the danger of wafer breakage.
  • the pad pressure that is to be selected is to be adapted to the maximum acceleration that occurs, as a result of which it is advantageous if the pressure is preferably controllable or regulatable. A vacuum that is too great is to be avoided.
  • the adaptation of pressure can be effected not only prior to the start of the movement sequence but also during the movement itself.
  • the maximum permissible acceleration of the wafer is a function of the thickness of the wafer and its diameter, the material and the type of wafer surface in the support region, in other words, also whether or not a structured or unstructured support region is provided.
  • an arrangement of the pads at approximately ⁇ fraction (2/3) ⁇ of the wafer radius-relative to the center of the wafer- is preferably selected. In this way, the wafer is supported in a manner that is as free of stress as possible.
  • the pads With structured support regions, the pads preferably support the rim region of the wafer.
  • the inventive handling apparatus is preferably provided with a three-point support device for the object having greater weight and/or for the object with lesser weight.
  • the support devices for the different objects preferably have different configurations.
  • the support devices for the objects that in particular differ with regard to their weight can both be disposed on one side of the transport arm.
  • support devices are provided on both sides of the transport arm. This makes it possible to hold the objects that are to be handled during the handling process on the upper side or on the underside of the transport arm depending upon the given conditions.
  • the one side for example the upper side, has a first support or pad structure or support surface structure, for example for supporting receptacles, while on the underside of the transport arm there is provided a second support or pad structure, for example for supporting the wafer.
  • a first support or pad structure or support surface structure for example for supporting receptacles
  • a second support or pad structure for example for supporting the wafer.
  • the wafer is held from below and the receptacle from above, or vise versa.
  • the transport arm is rotatable by 180° relative to its longitudinal axis.
  • the side with the support device adapted to a corresponding object can be rotated upwardly or downwardly.
  • At least two transport arms are provided, of which at least one is provided for supporting a heavier object and at least one further one is provided for supporting a lighter weight object.
  • the support devices are respectively provided on their own transport arm separately from one another for the respective different objects.
  • the vacuum control device can be controlled as a function of a prescribed program sequence.
  • a sensor for example a wire strain gauge, is provided for measuring the weight of the object that is to be handled.
  • the result of this weight measurement in other words the output signal of the sensor, is subsequently utilized for controlling the vacuum control device.
  • the sensor can be provided directly on the transport arm or it is, however, also possible to first slightly raise the object, the weight of which is to be determined, whereby the support pressure for supporting the object is determined as a measure for the weight of the object. By determining its individual weight, the object is reliably held during the movement.
  • the object is then moved.
  • edge grippers that grasp the rim of the object, for example a wafer or a box, and fix the object at the rim in order to achieve a localized fixing of the object in position relative to the handling apparatus.
  • Such a firm holding can be effected, for example, mechanically, wherein the term “holding pressure” is also to be understood to mean a mechanical contact pressure of mechanical parts of the handling apparatus against the object.
  • FIG. 1 is a schematic cross-sectional illustration through a rapid heating unit
  • FIGS. 2 a ) and 2 b ) show a carrier for accommodating up to seven wafers, in plan and in cross-section along the section line indicated in FIG. 2 a );
  • FIG. 3 a ) to 3 f ) show various embodiments of the cover of recesses in the carrier
  • FIG. 4 shows two illustrations of alternative combinations of recess with wafer and cover
  • FIG. 5 shows various embodiments for recesses
  • FIG. 6 shows a mechanism for the loading and unloading of the carrier
  • FIG. 7 shows a schematic illustration of a transport arm of an inventive handling apparatus in plan
  • FIG. 8 shows a side view of the transport arm illustrated in FIG. 7;
  • FIG. 9 shows the schematic illustration of an embodiment of a vacuum control device
  • FIGS. 10 a ) and 10 b ) show schematic illustrations of a transport arm, which is rotatable about it longitudinal axis, in plan from above and below.
  • FIG. 1 schematically shows a typical unit 1 for the rapid thermal treatment of objects, preferably disk-shaped semiconductor wafers 2 .
  • the wafer 2 is placed upon a holding or support device 3 which can, for example, be pin-shaped support elements or a device upon which the wafer is peripherally disposed, or some other type of wafer support.
  • the wafers 2 including the support device 3 , are disposed in the interior of a process chamber 4 .
  • the process chamber 4 is a transparent chamber that is preferably manufactured at least in part of transparent quarts. Not indicated are inlets and outlets for process gases by means of which a gas atmosphere that is suitable for the process can be produced.
  • lamps 5 and 6 Mounted above and/or below and/or to the side—the latter not being indicated here—of the process chamber 4 .
  • These are preferably a plurality rod-shaped tungsten-halogen lamps that are disposed parallel to one another; However, other lamps could also be utilized.
  • Alternative embodiments of the chamber eliminate either the upper bank of lamps 5 or the lower bank of lamps 6 and/or the laterally disposed lamps.
  • the object 2 By means of the electromagnetic radiation emitted from the lamps, the object 2 , for example a wafer, is heated.
  • the entire arrangement can be surrounded by an external furnace chamber 7 , the inside of the walls of which can be at least partially provided with a reflective surface, and they can preferably be made of a metal such as steel or aluminum.
  • a measurement device which preferably comprises two non-contact measurement devices 8 and 9 .
  • the measurement devices 8 and 9 are preferably two pyrometers; however, CCD monitors or sensors, or other devices for registering radiation, can also be used.
  • FIG. 2 a illustrates in plan a preferred round disk-shaped carrier 10 .
  • FIG. 2 b shows a cross-section through the carrier 10 along the dot-dash line in FIG. 2 a ).
  • the carrier 10 has a plurality of circular recesses 11 to 17 of the same diameter in an upper disk surface 18 for respectively receiving a wafer.
  • different diameters for the recesses are also possible.
  • one recess 12 is centrally disposed in the carrier 10 , while the remaining six recesses 11 , 13 , 14 , 15 , 16 and 17 surround the central recess 12 along a circle that is concentric to the central recess 12 and to the edge of the carrier.
  • the diameter of the carrier 10 is preferably 200 mm, and the diameter of the same size recesses is preferably 52 mm.
  • the carrier 10 is preferably made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal.
  • the upper side 18 , as well as the underside 19 of the carrier, are advantageously finely blasted with glass beads in order to ensure an optical homogeneity on the upper side 18 and on the underside 19 .
  • the latter are provided with at least one cover, which can also be finely blasted with glass beads.
  • the recesses 11 to 17 are covered by means of a large cover 20 .
  • the recesses 11 to 17 are individually provided with covers 21 to 27 .
  • the recesses 14 and 13 are covered by the cover 28
  • the recesses 11 and 17 are covered by the cover 29
  • the recesses 15 , 12 and 16 are covered by the cover 30 .
  • FIG. 3 b shows an alternative form of the cover, where one of the covers can simultaneously cover an arbitrary member of recesses, however more than one and not all of them.
  • the recesses 15 , 12 , 16 , 11 and 17 are covered by the cover 31
  • the recesses 14 and 13 are covered by the cover 28 .
  • FIG. 3 e a cover for several recesses is combined with individual covers, with the recesses 15 , 12 and 16 being covered by the cover 30 , while the recesses 14 , 13 , 11 and 17 are covered by the corresponding covers 24 , 23 , 21 and 27 .
  • FIG. 3 f finally shows a combination of individual covers, covers for a plurality of recesses, and non-covered recesses.
  • the recesses 15 , 12 and 16 are covered by one cover 30
  • the recesses 14 and 13 are covered by the corresponding individual covers 24 and 25
  • the recesses 11 and 17 remain uncovered.
  • covers for any number of recesses can be combined in any desired manner with individual covers as well as with non-covered recesses.
  • the covers are not limited to an upper surface 18 of the carrier 10 , and can project laterally beyond the cover 10 .
  • At least one of the covers shown in the FIG. 3 can be made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal.
  • the carrier 10 but also at least one of the covers can also be made of the aforementioned materials.
  • the thermal capacity is preferably between 0.8 J/gK and 0.2 J/gK.
  • the carrier 10 should have as small a thickness as possible that does not exceed 5 mm. A carrier thickness of up to 3 mm is preferred.
  • carriers 10 having at least one cover are advantageous where the carrier 10 and/or at least one of the covers has a high thermal conductivity.
  • the thermal conductivity is preferably between 10 W/mK and 180 W/mK.
  • the covers can, as the cover 33 shown in FIG. 4 a ), be placed upon the carrier 10 and cover the recess 32 with the wafer 2 disposed therein.
  • the cover 33 is preferably provided with knob-shaped formations 34 or similar corresponding devices that fit precisely in corresponding depressions 35 on the upper surface 18 of the carrier 10 and fix the cover 33 in place to prevent it from slipping. However, such devices can also be dispensed with.
  • the recess 32 is provided with an indentation 36 that surrounds it in the manner of a ring and in which the cover 33 is accommodated.
  • the depth of the indentation 36 is advantageously the same as the thickness of the cover 33 in order to provide a flushness with the upper surface 18 and to ensure a planar upper surface of the carrier 10 .
  • At least portions of the carrier 10 , or portions of one of the covers 20 to 31 , or portions of the carrier 10 and portions of at least one of the covers 20 to 31 are advantageously coated.
  • local optical characteristics of the carrier 10 and the covers 20 to 31 can be achieved by suitable coating of regions of the outer surfaces.
  • the carrier 10 can be advantageous if at least portions of the carrier 10 , or portions of one of the covers 20 to 31 , or portions of the carrier 10 and portions of one of the covers 20 to 31 , are transparent for the heat radiation by making them, for example, of quartz or sapphire.
  • all of the recesses 20 to 31 have the same depth. In this way the loaded wafers 2 have a parallel orientation and are all in one plane and at the same height.
  • the depths of the recesses 20 to 31 can sometimes also be advantageous for the depths of the recesses 20 to 31 to differ.
  • the wafers 2 are always still parallel, they are offset from one another in height and are disposed at various planes.
  • a support of the wafers 2 is advantageously selected within at least one of the recesses 11 to 17 to avoid a contact between the wafer and the base of the recess. As shown in FIG. 5 a ), this is advantageously achieved by pin-shaped support elements 37 that are disposed within a recess 32 and by which the wafer 2 is accommodated. With recesses having the same depth but with different lengths of support elements 37 , the wafers 2 can then be disposed at different planes in each recess.
  • FIG. 5 b shows another preferred possibility for disposing the wafer 2 in such a way that a contact with a base of the recess 32 is avoided.
  • the wafer 2 is supported in its rim region in that the recess 32 tapers conically inwardly. In this way there is achieved an inwardly beveled edge of the recess 32 that enables a rim support of the wafer.
  • a recess 32 is concavely configured, which again leads to a supporting of the rim of the wafer 2 upon the edge of the recess 32 .
  • a recess 32 is concavely configured, which again leads to a supporting of the rim of the wafer 2 upon the edge of the recess 32 .
  • a gripper is utilized that operates, for example, via a suction device, for example according to the Bernoulli principle. This gripper successively receives the wafers 2 and places them into the recesses 11 to 17 .
  • the wafers 2 are placed upon support pins 38 , as shown in FIG. 6 a ).
  • the support pins 38 are guided through bores 39 that are provided in the base of each recess 32 .
  • the covers 33 can be disposed on support pins 40 .
  • the support pins 40 are either guided through the bores 41 , as illustrated in FIG. 6 a ) and that extend through the carrier 10 beyond the recesses 32 , or the support pins 42 extend entirely externally of the carrier 10 .
  • the support pins 38 advantageously have different heights for different recesses in order not to hinder a loading of the recesses that are remote from the gripper by the support pins that are provided for loading the recesses that face the gripper.
  • the support pins 40 for the covers 33 can have different lengths.
  • the support pins 40 are preferably all higher than are the support pins 38 .
  • the carrier 10 is rotated about a vertical axis for the loading. In this way, the recess 32 that is to be loaded at any given time can always face the gripper.
  • the loading of the wafer 10 can be effected not only within the process chamber 4 , but also externally of the process chamber 4 .
  • the transport arm 41 which is illustrated in FIGS. 7 and 8, of the inventive handling apparatus, as is used, for example, in conjunction with the handling of wafers and receptacles during thermal treatment processes, typically has a width b of approximately 35 mm, which is less than the diameter of an object, for example a wafer 42 or a receptacle, that is illustrated in dashed lines.
  • the thickness d (see FIG. 8) of the transport arm 41 is in the range of 1 to 5 mm, and is typically 2 mm.
  • the thickness is such that the transport arm 41 fits between two adjacent wafers that are disposed in the cassettes, and can hence remove a wafer 42 from the cassette.
  • the length of the transport arm 41 is selected in conformity with the requirements, and the same is true with the cross-sectional and thickness profile.
  • the typical length of a transport arm 41 in the aforementioned embodiment is between 20 and 70 cm.
  • the wafer is supported by three support devices 43 - 1 , 43 - 2 , 43 - 3 , which are also known as pads, and which in the illustrated embodiment are also provided for the support of a (not-illustrated) receptacle.
  • the wafer is supported by three support devices 43 - 1 , 43 - 2 , 43 - 3 , which are also known as pads, and which in the illustrated embodiment are also provided for the support of a (not-illustrated) receptacle.
  • vacuum or underpressure lines 44 that connect the pads 43 - 1 , 43 - 2 , 43 - 3 with a vacuum or underpressure source 45 via a connecting line 46 .
  • a vacuum control element 47 Provided in one vacuum line 44 to one of the pads 43 - 2 is a vacuum control element 47 , for example a controllable valve.
  • the transport arm 41 is connected via a securement element 48 with non-illustrated components and movement elements of the handling device. Similarly extending in the securement element 48 are vacuum lines or channels 49 , those ends of which face away from the transport arm being connected to the connecting line 46 .
  • the pads 43 - 1 , 43 - 2 , and 43 - 3 can have shapes, masses and designs that are adapted in conformity to the conditions in order to reliably support the wafer as well as the receptacle that is to be handled.
  • the vacuum control element 47 is adapted to apply a vacuum to one of the pads that differs from that applied to the remaining pads, if this is necessary.
  • a vacuum control device 51 can be provided in the connecting line 46 , for example between the transport arm 41 and the underpressure or vacuum source 45 .
  • a vacuum control device 51 can be provided in the connecting line 46 , for example between the transport arm 41 and the underpressure or vacuum source 45 .
  • FIG. 9 One embodiment for this is schematically illustrated in FIG. 9.
  • the first vacuum line 52 serves for conveying the vacuum made available from the vacuum source 45 without change to the vacuum lines 44 of the transport arm 41 .
  • a vacuum regulator 56 that alters the vacuum in the second connecting line 53 .
  • the switching of the change-over switches 54 and 55 is effected via a computer that is controlled by instruction software and is schematically provided with the reference numeral 57 and makes available to an interface 58 of the vacuum control device 51 the appropriate program instructions, which then pass in the form of control signals to the change-over switches 54 and 55 via electrical lines 59 and 60 .
  • a relatively high vacuum i.e. a relatively small absolute pressure
  • the first vacuum line 52 which does not have a vacuum regulator, is connected with the vacuum source 45 via the switch position of the change-over switches 54 and 55 illustrated in FIG. 9.
  • this object is a receptacle in which at least one wafer is contained, and which, for example, is made of graphite, silicon carbide or aluminum nitride.
  • Such a receptacle of graphite can, pursuant to further embodiments, also be coated with the materials silicon carbide or aluminum nitride. Due to the relatively high vacuum, the receptacle is securely and reliably pressed against and held on the support device via the pads 43 - 1 , 43 - 2 , 43 - 3 during the handling and transport process.
  • the change-over switches 54 and 55 are switched over into the position in which the pads 43 - 1 , 43 - 2 , and 43 - 3 communicate with the pressure source 45 via the second connecting line 53 .
  • the vacuum is reduced by the vacuum regulator 56 , in other words the absolute pressure is increased, so that the application pressure is less for the wafer than for the receptacle. This vacuum is thus adapted to the wafer and is so low that the danger of breakage due to too great of a vacuum at the pads is prevented.
  • FIGS. 10 a and 10 b an embodiment is illustrated for a transport arm 41 that has a respective support apparatus on both sides that can differ from one another, for example, with regard to the number of pads 61 - 1 , 61 - 2 , 61 - 3 , 62 , the structure thereof, the form thereof and/or the dimensions thereof.
  • a pad structure is illustrated that essentially corresponds to the embodiment of FIG.
  • the other side of the transport arm 41 has a pad structure that, for example, has only one relatively large surfaced, round pad that is connected to only one vacuum line and is provided, for example, for an object having a high weight, for example for a wafer receptacle or a graphite box.
  • the transport arm 41 can be rotated about its axis 64 by 180°, so that depending upon whether the object with great weight or the object with lesser weight is to be supported and handled, one of the two side of the transport arm 41 can be selectively used.
  • the material thereof, and in particular the material of the transport arm 41 should be suitable for this application, and preferably comprises sapphire, ceramic and/or quartz, of a combination of these materials. These materials furthermore have the advantage that the loading and unloading of a process chamber can be effected at temperatures of up to 700° C. Due to the high modulus of elasticity, sapphire and ceramic also have the further advantage of a high rigidity, i.e. the transport arm 41 , even if a receptacle having a weight of 200 g is placed thereupon, bends or bows only slightly, if at all.
  • the surface of the transport arm 41 should be as smooth as possible. This, and as unitary a design of the transport arm 41 as possible, facilitates the cleaning and reduces a possible transport of particles into the process chamber.
  • the carrier 10 can have an angled shape.
  • the recesses can have an angular shape.
  • the number of recesses is not limited to seven.
  • the diameter of the recesses can differ from 52 mm in order to also be able to accommodate wafers of 100 mm or 150 mm.
  • a carrier can, for example, also have recesses having different dimensions.
  • individual features of the above described embodiments can be combined or exchanged with one another in any compatible manner.
  • the inventive handling apparatus is also not limited to the features and design of the described embodiments.
  • acceleration forces in the horizontal direction must be provided via additional auxiliary means, which, for example, can be edge boundaries via which the objects can be fixed in position relative to the transport arm 41 .

Abstract

A device for receiving plate-shaped objects, preferably semiconductor wafers, for the thermal treatment thereof, enabling the processing of wafers made of connecting semiconductors in a particularly simple manner. The inventive device offers high productivity and low risk of damage as a carrier has at least two recesses for respectively receiving an object. The recesses on the carrier can preferably be provided with covers. Preferably, support pins are provided for loading and unloading purposes. The carrier and the support pins can move in a vertical direction in relation to each other. A handling device for objects is also disclosed.

Description

  • The present invention relates to a device for accommodating disk-shaped objects, preferably semiconductor wafers, for the thermal treatment thereof. The invention also relates to a handling apparatus for objects. [0001]
  • For the industrial manufacture of electronic components, semiconductor materials having a disk-shaped configuration, so called wafers, are subjected to thermal treatments. Especially the thermal processing of objects, such as wafers, by means of rapid heating units, also known as RTP units (Rapid Thermal Processing) is continuously being emphasized. The main advantage of RTP units is their high throughput, which is based upon the possibility of being able to very rapidly heat up the wafers. Heating rates of up to 300° C./s can be achieved in RTP units. [0002]
  • An RTP unit essentially comprises a transparent process chamber in which a wafer that is to be processed can be disposed upon suitable support devices. Furthermore, in addition to the wafer, diverse auxiliary elements, such as, for example, a light-absorbing plate, a compensation ring that spans the wafer, or a rotation or tilting device for the wafer can be disposed in the process chamber. The process chamber can be provided with suitable gas inlets and outlets in order to be able to produce a prescribed atmosphere within the process chamber in which the wafer is to be processed. The wafer is heated by a thermal radiation that issues from a heating device that can be disposed either above the wafer or below the wafer or on both sides, and is composed of a plurality of lamps, rod or point-type lamps, or a combination thereof. The overall arrangement can be surrounded by an external chamber, the inner walls of which are entirely or at least partially reflective. [0003]
  • In alternative RTP units, the wafer is placed upon a heating plate or susceptor, and is heated by a thermal contact with this susceptor. [0004]
  • With connecting or combination semiconductors, such as III-V or II-IV semiconductors, such as, for example, GaN, InP, GaAs or tertiary compounds such as, for example, InGaAs or quaternary compounds such as InGaAsP, there is, however, the problem that generally one component of the semiconductor is volatile and upon heating of the wafer evaporates out of the wafer. There results predominantly in the edge region of such wafers a heating zone with a reduced concentration of the evaporated-out component. The result is an alteration of the physical characteristics, such as, for example, the electrical conductivity, of the wafer in this region, which can make the wafer unusable for the production of electrical components. [0005]
  • From the two publications U.S. Pat. No. 5,872,889 A and U.S. Pat. No. 5,837,555 A, which originate with the applicant, it is known to dispose wafers of combination semiconductors in a closed receptacle of graphite for the thermal treatment. Due to its stability at high temperatures, graphite is particularly suitable for such receptacles. The wafer is placed upon a support that has a recess for accommodating the wafer. Placed over the recess is a lid-like cover, so that a closed space results in which the wafer is disposed. This graphite receptacle in which the wafer is contained is subjected to a thermal treatment in the process chamber of an RTP unit. In this way, a diffusing-out of a component of the combination semiconductor is suppressed, and the wafer can be safely processed. [0006]
  • The described graphite receptacle is predominantly used for processing wafers of a combination semiconductor having diameters of 200 mm and 300 mm. However, very common are also wafers of combination semiconductors having small diameters of 50 mm, 100 mm, or 150 mm. [0007]
  • It is an object of the present invention to provide a device with which wafers of combination semiconductors can be safely processed in a simple manner and at high productivity. [0008]
  • Pursuant to the invention, this object is realized by a carrier having at least two recesses for respectively receiving a wafer. With such carriers, a plurality of wafers can be processed simultaneously. In contrast to the known treatment methods, this means a considerable increase of the throughput of an RTP unit, and represents a significant economical advantage. [0009]
  • Pursuant to one particularly advantageous embodiment, the inventive device has at least one cover for covering at least one recess in order to provide an essentially closed-off space about the objects. [0010]
  • For example, a single large cover is possible that covers all of the recesses of the carrier with the wafers contained therein. However, alternatively each recess could also be covered by individual covers. It is also possible that one of the covers simultaneously covers any desired number of recesses, although more than one and not all of them, or any desired number of the recesses can be individually covered and the remainder of the recesses can remain uncovered. Such a cover can be combined in any desired manner with other similar covers as well as with individual covers for a respective recess and with non-covered recesses. [0011]
  • The carrier that is provided with the recesses is preferably made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal. Similarly, at least one of the covers can be made of graphite or sapphire or quartz or boron nitride or aluminum nitride or silicon or silicon carbide or silicon nitride or ceramic or metal. However, not only the carrier but also at least one or all of the covers can also be made of the aforementioned materials. [0012]
  • For RTP processes, advantageously carriers are used having at least one cover that has a low specific thermal capacity, preferably 0.2 to 0.8 J/gK, of the carrier and/or of at least one cover. For this reason, the carrier should have as low a thickness as possible. [0013]
  • Similarly, carriers having at least one cover are advantageous where the carrier and/or at least one of the covers has a high thermal conductivity, preferably 10 to 100 W/mK. [0014]
  • At least parts of the carrier, or parts of one of the covers, or parts of the carrier and parts of one of the covers, are preferably coated. For example, it can be advantageous to at least partially provide an inner surface of one or of all of the recesses, as well as a surface that covers the recess of one or more of the covers, with a coating that is inert to chemical processes that take place within the covered recesses during the processing of the wafer, whereas external surfaces of the carrier remain uncoated in order to have desired absorption characteristics relative to the thermal radiation. In other cases, for example local optical characteristics of carrier and covers can be achieved by suitable area wise coatings of the outer surfaces. [0015]
  • Similarly, it can be advantageous to make at least parts of the carrier, or parts of at least one of the covers or parts of the carrier and parts of at least one of the covers, transparent for the thermal radiation by making them, for example, of quartz or sapphire. The covers, as well as parts of the carrier that correspond to the base surfaces of the recesses, are advantageously nontransparent for the thermal radiation, while the other parts of the carrier are transparent. [0016]
  • It is furthermore possible to produce predetermined atmospheres within covered recesses. Depending upon the type of wafer that is to be processed, a different atmosphere can exist in each covered recess. For example, if in at least one first recess a InP wafer is processed, a phosphorous-containing atmosphere exists in the recess. In at least one second recess in which a GaAs wafer is to be processed, an arsenic-containing atmosphere exists. Finally, in at least one third, optionally not covered recess a wafer can be processed that comprises silicon, in other words not a combination semiconductor. [0017]
  • At least some of the wafers accommodated by the carrier can be at least partially coated. However, the volume material of at least one of the wafers can also vary in zones in that the wafer is provided, for example, with an implanted layer. [0018]
  • The inventive carrier for a plurality of wafers, which are subjected to a thermal treatment in common in a process chamber, makes it possible during the same process stage to achieve different process results with the same course of the thermal radiation for each wafer. Depending upon the coating or transparency of local regions of the carrier and/or of the corresponding cover, locally different optical conditions can be achieved that lead to different temperatures in the interior of the covered recesses. Thus, each wafer experiences an individual process temperature, although the course of the thermal radiation is the same for all wafers. Thus, with one processing stage it is possible not only to simultaneously treat a plurality of wafers, but in so doing the wafers can even be subjected to different processes. This means that wafers of different materials can be treated simultaneously. [0019]
  • The recesses in the carrier preferably have the same depth, so that after loading of the carrier the wafers are all disposed parallel and in the same plane. [0020]
  • However, it can also be advantageous to vary the depths of the recesses. In this case, although the wafers are always disposed parallel to one another, they are offset with respect to height and are disposed at different planes. [0021]
  • For cylindrical recesses having flat horizontal bases, the wafers rest flat on the base of the recess. [0022]
  • A support of the wafers within at least one recess is advantageously selected, whereby a contact between wafer and the base of the recess is avoided. This is advantageously achieved by pin-shaped support elements that are disposed in the recess and which accommodate the wafer. With the same depth of the recesses but different lengths of the support elements, the wafers can then be disposed at planes of different heights. [0023]
  • Another preferred possibility of arranging the wafers such that a contact with the base of the recess is avoided is to support the rim portion of the wafer. This is achieved by making at least one recess so that it tapers conically inwardly. In this way, an inwardly beveled edge of the recess is obtained that leads to a rim support of a wafer. Pursuant to another embodiment, at least one recess has a concave configuration that again leads to supporting the rim of the wafer on the edge of the recess. Depending upon the design of the conical and of the concave recesses, the wafer can be placed at different heights. [0024]
  • To load the carrier, the wafers are advantageously sequentially placed via a gripper directly into the recesses or onto support pins. Suitable for this purpose are grippers having suction devices that draw the wafers against them. This can be effected via a suction device that operates according to the Bernoulli principle. [0025]
  • Support pins are advantageously provided for the loading of the carrier and preferably extend through the carrier. These support pins advantageously have different heights for different recesses in order not to obstruct a loading of the recesses that are remote from the gripper by the support pins that are provided for loading the recesses that face the gripper. [0026]
  • Similarly, the covers can be placed upon support pins that either extend through the carrier or are disposed entirely externally of the carrier. The support pins for the covers are advantageously longer than the support pins for the wafers. [0027]
  • The support pins and the carrier are preferably vertically movable relative to one another. [0028]
  • As soon as the wafers are placed upon the support pins, the support pins move downwardly through the carrier, as a result of which the wafers are raised from the support pins and are deposited in the recesses associated with them. Alternatively, the carrier could also be moved upwardly. [0029]
  • Another preferred method for loading the carrier sequentially rotates the carrier about a vertical axis in order to respectively rotate the recess that is to be loaded to the gripper. [0030]
  • As soon as the carrier is loaded with the wafers, the corresponding covers can either be place directly upon the carrier or upon support pins by the gripper if they were not already placed upon appropriate support pins prior to the wafers. [0031]
  • A loading of the carrier is preferably effected within the process chamber. However, it can also be loaded externally of the process chamber and can subsequently be introduced into the process chamber for the thermal treatment. [0032]
  • A plurality of such carriers with covers can, for example, advantageously be stacked one above or next to each other within a process chamber for a thermal treatment. [0033]
  • The loading and unloading of the carrier with the substrates and/or covers is preferably effected with an automatic loading and unloading unit which can be appropriately controlled in correspondence to the loading and unloading processes. [0034]
  • The inventive device is preferably, although not exclusively, particularly suitable for wafers of combination semiconductors having predominantly small diameters. The thermal treatment of the semiconductor wafers is preferably effected in RTP units in which prescribed environmental conditions and temperature profiles can be set. In this connection, during the treatment the carrier is extensively stable at the environmental conditions and the temperatures. [0035]
  • Semiconductor wafers, especially combination semiconductor wafers, as they were previously described, are relatively thin and have thicknesses of 50 to 500 μm, and customarily 200 μm. These wafers are therefore very susceptible to breakage during the handling, so that with the conventional handling by hand or with handling apparatus, such as robots and the like, breakage of the wafers frequently occurs, thus considerably reducing the yield during the manufacture of the semiconductors. Especially with semiconductor wafers that are used for expensive components, such as, for example, laser diodes, this is particularly evident, since a two-inch wafer for this purpose has a value in the range of [0036]
    Figure US20040126213A1-20040701-P00900
    25,000.
  • As already indicated previously, the wafers are treated in receptacles that are made, for example, of graphite and are introduced into a process chamber for the treatment of the wafers. These so-called graphite boxes have a weight of 200 to 2,000 g, depending upon the number and the size of the wafers that are to be accommodated in the boxes. [0037]
  • Not only the wafers but also the receptacles themselves are manually handled with such units, since with conventional handling apparatus it is not possible on the one hand to handle the very thin semiconductor wafers that have a weight in the range of 0.1 to 20 g, and on the other hand to handle the receptacles that in contrast are heavy, without having a high reject rate due to breakage of wafers. [0038]
  • It is therefore furthermore an object of the present invention to provide a handling apparatus with which objects having different weights can be securely and reliably handled. [0039]
  • Pursuant to the invention, the stated object is realized with a handling apparatus having at least one transport arm, which in turn has at least one support device for supporting, via vacuum, at least one object that is to be handled, by a vacuum control device for altering the vacuum as a function of the weight of the object. [0040]
  • Due to the inventive feature of providing a vacuum control device via which the vacuum of support devices on the transport arms can be set, controlled or regulated as a function of the weight of the object, it is now possible to transport and handle, with one and the same handling apparatus, objects having very different weights. For example, with the inventive handling apparatus it is possible to undertake the handling and the transport of wafers and wafer receptacles while avoiding manual handling, and in particular in such a way that on the one hand, for example, relatively heavy receptacles can be handled with the same handling apparatus as are the very thin, breakable wafers having a low weight while avoiding breakage of the wafers. The inventive handling apparatus thus enables, for example, not only the loading and unloading of receptacles into or out of the process chamber, but also the loading and unloading of the thin, breakable wafers into and out of the receptacle. Aside from the fact that in so doing the possibility of a complete automation of the processing of semiconductor wafers, especially also in conjunction with thermal treatments, is provided, this takes place with a single handling apparatus, so that equipment costs can thereby be kept low. With the process automation that has become possible with the inventive handling apparatus, the production yield is significantly increased since breakage of wafers, as frequently occurs during manual loading and unloading of the receptacle and of the process chamber, is avoided or at least significantly reduced. A treatment unit having the inventive handling apparatus is therefore amortized considerably earlier than are conventional treatment units due to the low rejection rate and the rapid and reliable handling, especially if the unit is used for manufacturing very expensive components. [0041]
  • Pursuant to one preferred embodiment of the invention, the vacuum control device includes only one vacuum source and vacuum change-over devices, for example line change-over switches, for switching between a line with and without a vacuum regulator. In this way, only one vacuum source is required, whereby the vacuum regulator is preferably an adjustable valve. Pursuant to an alternative embodiment, at least two separately controllable vacuum systems are provided. [0042]
  • Pursuant to one advantageous embodiment of the invention, the pressure ratio for the objects that are to be handled and that have different weights is in a range of from 10 to 10,000. This vacuum ratio is essentially a function of the weight ratio of the objects that are to be handled and also of the design of the support devices. [0043]
  • Pursuant to a very advantageous embodiment of the invention, an object having a low weight is a silicon semiconductor wafer, and an object having a greater weight is a receptacle in which the wafers are disposed during at least one treatment step. Receptacles of this type have been described previously by way of example. [0044]
  • Although the support devices for objects having different weights can be embodied in the same manner, it is, however, advantageous pursuant to a further embodiment of the invention to also embody the support devices differently for the different objects, especially for objects having different weights. The support devices are preferably so-called pads or support cushions that are connected via a line with a vacuum source or a vacuum system. The individual support devices or pads can be supplied with the same vacuum, or they can also be supplied with respectively different vacuums, which in this case, however, requires appropriate control elements, such as, for example, valves or separate vacuum systems. [0045]
  • In this connection, the support devices are preferably adapted to the objects having different weights, for example also to the shape and surface structure of the objects. For example, for supporting the receptacle generally larger support surfaces are required than for supporting the light wafers. For example, it is advantageous for wafers to select the diameter of the support devices or pads to be approximately 3 mm, or the surface upon which the vacuum acts per pad to be approximately 0.1 cm[0046] 2. The shape of the pads is to be selected in conformity with the prescribed requirements, and it can be round or rectangular or have some other configuration. However, the pads are preferably round, since here the ratio surface/rim is the greatest, and in so doing even at a low suction power of the vacuum source a reliable holding of the object, for example the wafer, is ensured.
  • So that a wafer having a weight of, for example, 0.1 g to 0.5 g can be reliably held, the contact pressure produced by the pads, and via which the wafer is pressed against the support, must be great enough that the frictional force resulting from the contact pressure is greater than the forces produced by acceleration of the transport arm or the acceleration due to gravity, which act upon the object, for example the wafer. With wafers this is achieved, for example, via a vacuum of approximately 0.005 bar (this corresponds to an absolute pressure of 0.995 bar), if the (horizontal) acceleration forces acting upon the wafer are less than 1 g. In this connection, one must take into account the frictional coefficient between wafer and support, which can again be a function of wafer temperatures. [0047]
  • If the vacuum is greater, i.e. the absolute pressure smaller, the wafer will still always be reliably held, in other words, the acceleration force can exceed 1 g, although there then exists the danger of wafer breakage. [0048]
  • In general, the pad pressure that is to be selected is to be adapted to the maximum acceleration that occurs, as a result of which it is advantageous if the pressure is preferably controllable or regulatable. A vacuum that is too great is to be avoided. The adaptation of pressure can be effected not only prior to the start of the movement sequence but also during the movement itself. The maximum permissible acceleration of the wafer is a function of the thickness of the wafer and its diameter, the material and the type of wafer surface in the support region, in other words, also whether or not a structured or unstructured support region is provided. [0049]
  • If wafers having unstructured support regions are handled, an arrangement of the pads at approximately {fraction (2/3)} of the wafer radius-relative to the center of the wafer-is preferably selected. In this way, the wafer is supported in a manner that is as free of stress as possible. With structured support regions, the pads preferably support the rim region of the wafer. [0050]
  • The inventive handling apparatus is preferably provided with a three-point support device for the object having greater weight and/or for the object with lesser weight. [0051]
  • As already indicated, in this connection the support devices for the different objects, and in particular those having different weights, preferably have different configurations. [0052]
  • The support devices for the objects that in particular differ with regard to their weight can both be disposed on one side of the transport arm. Pursuant to a particularly advantageous embodiment of the invention, however, support devices are provided on both sides of the transport arm. This makes it possible to hold the objects that are to be handled during the handling process on the upper side or on the underside of the transport arm depending upon the given conditions. Pursuant to a further embodiment of the invention, it is particularly advantageous if there is provided on one side of the transport arm support devices for the heavier object and on the other side support devices for the lighter object. The one side, for example the upper side, has a first support or pad structure or support surface structure, for example for supporting receptacles, while on the underside of the transport arm there is provided a second support or pad structure, for example for supporting the wafer. For example, the wafer is held from below and the receptacle from above, or vise versa. With such an embodiment of the inventive handling apparatus, it is also possible to eliminate a vacuum control and to operate both support devices with the same vacuum, since the holding forces are determined or codetermined by the differing pad structures, especially the differing surface conditions. In addition, the frictional coefficients of the support surfaces can differ from above and below. [0053]
  • Pursuant to a further very advantageous embodiment of the invention, the transport arm is rotatable by 180° relative to its longitudinal axis. [0054]
  • As a result, the side with the support device adapted to a corresponding object can be rotated upwardly or downwardly. [0055]
  • Pursuant to a further embodiment of the invention, at least two transport arms are provided, of which at least one is provided for supporting a heavier object and at least one further one is provided for supporting a lighter weight object. In this way, the support devices are respectively provided on their own transport arm separately from one another for the respective different objects. [0056]
  • Pursuant to a further advantageous embodiment of the invention, the vacuum control device can be controlled as a function of a prescribed program sequence. Alternatively or in addition to this possibility, it is particularly advantageous if a sensor, for example a wire strain gauge, is provided for measuring the weight of the object that is to be handled. The result of this weight measurement, in other words the output signal of the sensor, is subsequently utilized for controlling the vacuum control device. In this connection, the sensor can be provided directly on the transport arm or it is, however, also possible to first slightly raise the object, the weight of which is to be determined, whereby the support pressure for supporting the object is determined as a measure for the weight of the object. By determining its individual weight, the object is reliably held during the movement. With this individual support pressure, the object is then moved. In addition to the actual support pressure, it is also possible to select or set the maximum acceleration, a selection of a previously fixed trajectory of the object, the speed or some other movement parameter. In this way, it is also possible to control so-called edge grippers that grasp the rim of the object, for example a wafer or a box, and fix the object at the rim in order to achieve a localized fixing of the object in position relative to the handling apparatus. Such a firm holding can be effected, for example, mechanically, wherein the term “holding pressure” is also to be understood to mean a mechanical contact pressure of mechanical parts of the handling apparatus against the object.[0057]
  • The present invention will be explained in greater detail subsequently with the aid of preferred embodiments of the invention in conjunction with the drawings, in which: [0058]
  • FIG. 1 is a schematic cross-sectional illustration through a rapid heating unit; [0059]
  • FIGS. 2[0060] a) and 2 b) show a carrier for accommodating up to seven wafers, in plan and in cross-section along the section line indicated in FIG. 2a);
  • FIG. 3[0061] a) to 3 f) show various embodiments of the cover of recesses in the carrier;
  • FIG. 4 shows two illustrations of alternative combinations of recess with wafer and cover; [0062]
  • FIG. 5 shows various embodiments for recesses; [0063]
  • FIG. 6 shows a mechanism for the loading and unloading of the carrier; [0064]
  • FIG. 7 shows a schematic illustration of a transport arm of an inventive handling apparatus in plan; [0065]
  • FIG. 8 shows a side view of the transport arm illustrated in FIG. 7; [0066]
  • FIG. 9 shows the schematic illustration of an embodiment of a vacuum control device; [0067]
  • FIGS. 10[0068] a) and 10 b) show schematic illustrations of a transport arm, which is rotatable about it longitudinal axis, in plan from above and below.
  • FIG. 1 schematically shows a [0069] typical unit 1 for the rapid thermal treatment of objects, preferably disk-shaped semiconductor wafers 2. The wafer 2 is placed upon a holding or support device 3 which can, for example, be pin-shaped support elements or a device upon which the wafer is peripherally disposed, or some other type of wafer support. The wafers 2, including the support device 3, are disposed in the interior of a process chamber 4. The process chamber 4 is a transparent chamber that is preferably manufactured at least in part of transparent quarts. Not indicated are inlets and outlets for process gases by means of which a gas atmosphere that is suitable for the process can be produced. Mounted above and/or below and/or to the side—the latter not being indicated here—of the process chamber 4 are banks of lamps 5 and 6. These are preferably a plurality rod-shaped tungsten-halogen lamps that are disposed parallel to one another; However, other lamps could also be utilized. Alternative embodiments of the chamber eliminate either the upper bank of lamps 5 or the lower bank of lamps 6 and/or the laterally disposed lamps. By means of the electromagnetic radiation emitted from the lamps, the object 2, for example a wafer, is heated. The entire arrangement can be surrounded by an external furnace chamber 7, the inside of the walls of which can be at least partially provided with a reflective surface, and they can preferably be made of a metal such as steel or aluminum. Finally also present is a measurement device, which preferably comprises two non-contact measurement devices 8 and 9. The measurement devices 8 and 9 are preferably two pyrometers; however, CCD monitors or sensors, or other devices for registering radiation, can also be used.
  • In order to be able to successfully thermally treat connecting ofrcombination semiconductors in such a unit, the semiconductors must be enclosed in a container in order to counteract a decomposition of the semiconductor material. FIG. 2[0070] a) illustrates in plan a preferred round disk-shaped carrier 10. FIG. 2b) shows a cross-section through the carrier 10 along the dot-dash line in FIG. 2a).
  • The [0071] carrier 10 has a plurality of circular recesses 11 to 17 of the same diameter in an upper disk surface 18 for respectively receiving a wafer. However, different diameters for the recesses are also possible. In this connection, one recess 12 is centrally disposed in the carrier 10, while the remaining six recesses 11, 13, 14, 15, 16 and 17 surround the central recess 12 along a circle that is concentric to the central recess 12 and to the edge of the carrier. The diameter of the carrier 10 is preferably 200 mm, and the diameter of the same size recesses is preferably 52 mm.
  • The [0072] carrier 10 is preferably made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal. The upper side 18, as well as the underside 19 of the carrier, are advantageously finely blasted with glass beads in order to ensure an optical homogeneity on the upper side 18 and on the underside 19.
  • To obtain closed containers or receptacles for the wafers [0073] 3 deposited in the recesses 11 to 17, the latter are provided with at least one cover, which can also be finely blasted with glass beads. In FIG. 3a), all of the recesses 11 to 17, with the wafers contained therein, are covered by means of a large cover 20. In another preferred form of the cover shown in FIG. 3b), the recesses 11 to 17 are individually provided with covers 21 to 27. In FIG. 3c) the recesses 14 and 13 are covered by the cover 28, the recesses 11 and 17 are covered by the cover 29, and the recesses 15, 12 and 16 are covered by the cover 30. FIG. 3b) shows an alternative form of the cover, where one of the covers can simultaneously cover an arbitrary member of recesses, however more than one and not all of them. Here the recesses 15, 12, 16, 11 and 17 are covered by the cover 31, and the recesses 14 and 13 are covered by the cover 28. In FIG. 3e), a cover for several recesses is combined with individual covers, with the recesses 15, 12 and 16 being covered by the cover 30, while the recesses 14, 13, 11 and 17 are covered by the corresponding covers 24, 23, 21 and 27. FIG. 3f) finally shows a combination of individual covers, covers for a plurality of recesses, and non-covered recesses. Thus, as in FIG. 3e), the recesses 15, 12 and 16 are covered by one cover 30, the recesses 14 and 13 are covered by the corresponding individual covers 24 and 25, while the recesses 11 and 17 remain uncovered. In general, covers for any number of recesses can be combined in any desired manner with individual covers as well as with non-covered recesses.
  • The covers are not limited to an [0074] upper surface 18 of the carrier 10, and can project laterally beyond the cover 10.
  • As with the [0075] cover 10, at least one of the covers shown in the FIG. 3 can be made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic or metal. However, not only the carrier 10 but also at least one of the covers can also be made of the aforementioned materials.
  • For RTP processes, one advantageously selects [0076] carriers 10 having at least one cover that has a low specific thermal capacity of the carrier and/or of at least one cover. The thermal capacity is preferably between 0.8 J/gK and 0.2 J/gK. For this reason, the carrier 10 should have as small a thickness as possible that does not exceed 5 mm. A carrier thickness of up to 3 mm is preferred.
  • Similarly, [0077] carriers 10 having at least one cover are advantageous where the carrier 10 and/or at least one of the covers has a high thermal conductivity. The thermal conductivity is preferably between 10 W/mK and 180 W/mK.
  • The covers can, as the [0078] cover 33 shown in FIG. 4a), be placed upon the carrier 10 and cover the recess 32 with the wafer 2 disposed therein. The cover 33 is preferably provided with knob-shaped formations 34 or similar corresponding devices that fit precisely in corresponding depressions 35 on the upper surface 18 of the carrier 10 and fix the cover 33 in place to prevent it from slipping. However, such devices can also be dispensed with.
  • Preferred is an embodiment where the [0079] recess 32, as shown in FIG. 4b), is provided with an indentation 36 that surrounds it in the manner of a ring and in which the cover 33 is accommodated. The depth of the indentation 36 is advantageously the same as the thickness of the cover 33 in order to provide a flushness with the upper surface 18 and to ensure a planar upper surface of the carrier 10. At least portions of the carrier 10, or portions of one of the covers 20 to 31, or portions of the carrier 10 and portions of at least one of the covers 20 to 31, are advantageously coated. Thus, for example, it can be advantageous to provide an inner surface of one or of all of the recesses 11 to 16, as well as a surface of one or more covers 20 to 31 that cover the recess, at least partially with a specific layer that is inert to chemical processes that occur while processing the wafer 3 within the covered recess 11 to 16, while external surfaces of the carrier 10 remain uncoated in order to exhibit desired absorption characteristics relative to the heat radiation. In other cases, for example local optical characteristics of the carrier 10 and the covers 20 to 31 can be achieved by suitable coating of regions of the outer surfaces.
  • Similarly, it can be advantageous if at least portions of the [0080] carrier 10, or portions of one of the covers 20 to 31, or portions of the carrier 10 and portions of one of the covers 20 to 31, are transparent for the heat radiation by making them, for example, of quartz or sapphire. The covers 20 to 31 as well as parts of the carrier 10 that correspond to the base surfaces of the recesses, are advantageously non-transparent for the heat radiation, while the other parts of the carrier 10 are transparent.
  • In a preferred embodiment of the [0081] carrier 10, all of the recesses 20 to 31 have the same depth. In this way the loaded wafers 2 have a parallel orientation and are all in one plane and at the same height.
  • However, it can sometimes also be advantageous for the depths of the [0082] recesses 20 to 31 to differ. In this case, although the wafers 2 are always still parallel, they are offset from one another in height and are disposed at various planes.
  • A support of the [0083] wafers 2 is advantageously selected within at least one of the recesses 11 to 17 to avoid a contact between the wafer and the base of the recess. As shown in FIG. 5a), this is advantageously achieved by pin-shaped support elements 37 that are disposed within a recess 32 and by which the wafer 2 is accommodated. With recesses having the same depth but with different lengths of support elements 37, the wafers 2 can then be disposed at different planes in each recess.
  • FIG. 5[0084] b) shows another preferred possibility for disposing the wafer 2 in such a way that a contact with a base of the recess 32 is avoided. Here the wafer 2 is supported in its rim region in that the recess 32 tapers conically inwardly. In this way there is achieved an inwardly beveled edge of the recess 32 that enables a rim support of the wafer.
  • With another embodiment shown in FIG. 5[0085] c), a recess 32 is concavely configured, which again leads to a supporting of the rim of the wafer 2 upon the edge of the recess 32. Depending upon the design of the conical and of the concave recesses 32, one can place the wafers at different heights.
  • To load the [0086] carrier 10, a gripper is utilized that operates, for example, via a suction device, for example according to the Bernoulli principle. This gripper successively receives the wafers 2 and places them into the recesses 11 to 17.
  • Pursuant to another embodiment, the [0087] wafers 2 are placed upon support pins 38, as shown in FIG. 6a). The support pins 38 are guided through bores 39 that are provided in the base of each recess 32. Similarly, the covers 33 can be disposed on support pins 40. The support pins 40 are either guided through the bores 41, as illustrated in FIG. 6a) and that extend through the carrier 10 beyond the recesses 32, or the support pins 42 extend entirely externally of the carrier 10. The support pins 38 advantageously have different heights for different recesses in order not to hinder a loading of the recesses that are remote from the gripper by the support pins that are provided for loading the recesses that face the gripper. For the same reasons, the support pins 40 for the covers 33 can have different lengths. The support pins 40 are preferably all higher than are the support pins 38.
  • Pursuant to another embodiment, the [0088] carrier 10 is rotated about a vertical axis for the loading. In this way, the recess 32 that is to be loaded at any given time can always face the gripper.
  • As soon as the [0089] wafers 2 are placed upon the support pins 38, and the covers 33 are placed upon the support pins 40, these pins are moved downwardly through the carrier 10, as a result of which the wafers 10 are raised from the support pins 38, and the covers 33 are raised from the support pins 40. The wafers 2 are thereby placed into the recesses associated with them. Alternatively, the carrier 10 can also be moved upwardly.
  • The loading of the [0090] wafer 10 can be effected not only within the process chamber 4, but also externally of the process chamber 4.
  • The [0091] transport arm 41, which is illustrated in FIGS. 7 and 8, of the inventive handling apparatus, as is used, for example, in conjunction with the handling of wafers and receptacles during thermal treatment processes, typically has a width b of approximately 35 mm, which is less than the diameter of an object, for example a wafer 42 or a receptacle, that is illustrated in dashed lines. In this way, the wafer, which is stacked and accommodated in cassettes such that it is spaced from adjacent wafers, can be removed from the cassettes and after the processing can again be placed therein. The thickness d (see FIG. 8) of the transport arm 41 is in the range of 1 to 5 mm, and is typically 2 mm. The thickness is such that the transport arm 41 fits between two adjacent wafers that are disposed in the cassettes, and can hence remove a wafer 42 from the cassette. The length of the transport arm 41 is selected in conformity with the requirements, and the same is true with the cross-sectional and thickness profile. The typical length of a transport arm 41 in the aforementioned embodiment is between 20 and 70 cm.
  • Pursuant to the embodiment illustrated in FIGS. 7 and 8, the wafer is supported by three support devices [0092] 43-1, 43-2, 43-3, which are also known as pads, and which in the illustrated embodiment are also provided for the support of a (not-illustrated) receptacle. Alternatively, it is also possible to provide different support devices or pads for the wafers on the one hand and the receptacle on the other hand.
  • Provided in the [0093] transport arm 41 are vacuum or underpressure lines 44 that connect the pads 43-1, 43-2, 43-3 with a vacuum or underpressure source 45 via a connecting line 46. Provided in one vacuum line 44 to one of the pads 43-2 is a vacuum control element 47, for example a controllable valve.
  • The [0094] transport arm 41 is connected via a securement element 48 with non-illustrated components and movement elements of the handling device. Similarly extending in the securement element 48 are vacuum lines or channels 49, those ends of which face away from the transport arm being connected to the connecting line 46.
  • As already described previously in detail, the pads [0095] 43-1, 43-2, and 43-3 can have shapes, masses and designs that are adapted in conformity to the conditions in order to reliably support the wafer as well as the receptacle that is to be handled.
  • Pursuant to a further embodiment of the invention, the [0096] vacuum control element 47 is adapted to apply a vacuum to one of the pads that differs from that applied to the remaining pads, if this is necessary.
  • In addition, individual vacuum control elements can be respectively provided for each of the pads. A [0097] vacuum control device 51 can be provided in the connecting line 46, for example between the transport arm 41 and the underpressure or vacuum source 45. One embodiment for this is schematically illustrated in FIG. 9. In the connecting line 46, between the vacuum source 45 and the vacuum lines 44 of the transport arm 41, two parallel vacuum lines 52 and 53 are provided in the vacuum control device 51 and can be selectively switched into the vacuum line 46 via a first and a second change- over switch 54, 55. The first vacuum line 52 serves for conveying the vacuum made available from the vacuum source 45 without change to the vacuum lines 44 of the transport arm 41. In contrast, provided in the second vacuum line 53 of the vacuum control device 51 is a vacuum regulator 56 that alters the vacuum in the second connecting line 53.
  • In the illustrated embodiment the switching of the change-over [0098] switches 54 and 55 is effected via a computer that is controlled by instruction software and is schematically provided with the reference numeral 57 and makes available to an interface 58 of the vacuum control device 51 the appropriate program instructions, which then pass in the form of control signals to the change-over switches 54 and 55 via electrical lines 59 and 60.
  • Instead of controlling the change-over [0099] switches 54 and 55 by means of a program, it is also possible to control the switching of the output signal of the weight sensor that detects the weight of the object that is to be handled.
  • With an [0100] object 42 that is to be handled that has a relatively high weight, a relatively high vacuum, i.e. a relatively small absolute pressure, is applied to the support devices 43-1, 43-2, 43-3 in that the first vacuum line 52, which does not have a vacuum regulator, is connected with the vacuum source 45 via the switch position of the change-over switches 54 and 55 illustrated in FIG. 9. In the case of the temperature treatment of wafers, this object—as previously described in detail—is a receptacle in which at least one wafer is contained, and which, for example, is made of graphite, silicon carbide or aluminum nitride.
  • Such a receptacle of graphite can, pursuant to further embodiments, also be coated with the materials silicon carbide or aluminum nitride. Due to the relatively high vacuum, the receptacle is securely and reliably pressed against and held on the support device via the pads [0101] 43-1, 43-2, 43-3 during the handling and transport process.
  • If, however, with the same handling apparatus an object having a lesser weight, for example a semiconductor wafer having a weight of 0.1 to 20 g, is to be transported or handled, the change-over [0102] switches 54 and 55 are switched over into the position in which the pads 43-1, 43-2, and 43-3 communicate with the pressure source 45 via the second connecting line 53. In this second connecting line 53, the vacuum is reduced by the vacuum regulator 56, in other words the absolute pressure is increased, so that the application pressure is less for the wafer than for the receptacle. This vacuum is thus adapted to the wafer and is so low that the danger of breakage due to too great of a vacuum at the pads is prevented.
  • In FIGS. 10[0103] a and 10 b an embodiment is illustrated for a transport arm 41 that has a respective support apparatus on both sides that can differ from one another, for example, with regard to the number of pads 61-1, 61-2, 61-3, 62, the structure thereof, the form thereof and/or the dimensions thereof. Whereas in FIG. 10a a pad structure is illustrated that essentially corresponds to the embodiment of FIG. 7, and is provided for supporting objects having little weight, for example wafers, the other side of the transport arm 41 has a pad structure that, for example, has only one relatively large surfaced, round pad that is connected to only one vacuum line and is provided, for example, for an object having a high weight, for example for a wafer receptacle or a graphite box.
  • As indicated by the arrow of [0104] rotation 63, with this embodiment the transport arm 41 can be rotated about its axis 64 by 180°, so that depending upon whether the object with great weight or the object with lesser weight is to be supported and handled, one of the two side of the transport arm 41 can be selectively used.
  • If the handling apparatus is used in the semiconductor industry, the material thereof, and in particular the material of the [0105] transport arm 41, should be suitable for this application, and preferably comprises sapphire, ceramic and/or quartz, of a combination of these materials. These materials furthermore have the advantage that the loading and unloading of a process chamber can be effected at temperatures of up to 700° C. Due to the high modulus of elasticity, sapphire and ceramic also have the further advantage of a high rigidity, i.e. the transport arm 41, even if a receptacle having a weight of 200 g is placed thereupon, bends or bows only slightly, if at all. The surface of the transport arm 41 should be as smooth as possible. This, and as unitary a design of the transport arm 41 as possible, facilitates the cleaning and reduces a possible transport of particles into the process chamber.
  • Although the invention was described with the aid of preferred embodiments, it is not limited to the concrete embodiments. For example, the [0106] carrier 10 can have an angled shape. Similarly, the recesses can have an angular shape. In addition, the number of recesses is not limited to seven. Also with carriers having round recesses the diameter of the recesses can differ from 52 mm in order to also be able to accommodate wafers of 100 mm or 150 mm. A carrier can, for example, also have recesses having different dimensions. Furthermore, individual features of the above described embodiments can be combined or exchanged with one another in any compatible manner.
  • The inventive handling apparatus is also not limited to the features and design of the described embodiments. For example it is also possible to support the objects, for example, the wafers or receptacles, on the support devices in such a way that the suction is effected via the Bernoulli effect, in other words, in that vacuum is supplied to the holding devices or pads, so that a Bernoulli effect results. In this case, acceleration forces in the horizontal direction must be provided via additional auxiliary means, which, for example, can be edge boundaries via which the objects can be fixed in position relative to the [0107] transport arm 41.

Claims (51)

1. Device for accommodating disk-shaped objects, preferably semiconductor wafers, for the thermal treatment thereof, characterized by a carrier having at least two recesses respectively receiving an object.
2. Device according to claim 1, characterized by at least one cover for covering at least one recess.
3. Device according to claim 1 or 2, characterized in that the carrier and/or at least one of the covers is made of graphite, sapphire, quartz, boron nitride, aluminum nitride, silicon, silicon carbide, silicon nitride, ceramic and/or metal.
4. Device according to one of the preceding claims, characterized in that the carriers and/or the covers have a thermal capacity between 0.2 J/gK and 0.8 J/gK.
5. Device according to one of the preceding claims, characterized in that the carrier and/or the covers have a thermal capacity between 10 W/mK and 180 W/mK.
6. Device according to one of the preceding claims, characterized in that at least parts of the carrier and/or of the covers are coated.
7. Device according to one of the preceding claims, characterized in that at least portions of the carrier and/or of the covers are transparent.
8. Device according to one of the preceding claims, characterized in that gas atmospheres that differ from one another are provided in the individual recesses.
9. Device according to one of the preceding claims, characterized in that the objects are disposed in one plane.
10. Device according to one of the preceding claims, characterized in that the objects are disposed in at least two planes that are parallel to one another and are spaced from one another.
11. Device according to claim 10, characterized in that at least two recesses have different depths.
12. Device according to one of the preceding claims, characterized in that at least one object rests flat upon a base surface of the recess.
13. Device according to one of the claims 1 to 10, characterized in that at least one object is spaced from the base surface of the recess.
14. Device according to claim 13, characterized in that at least one object rests upon support elements.
15. Device according to claim 13, characterized in that at least one object rests in its edge region.
16. Device according to one of the preceding claims, characterized in that at least one recess has a conical configuration in at least its outer region.
17. Device according to one of the preceding claims, characterized in that at least one recess has a concave configuration.
18. Device according to one of the preceding claims, characterized in that at least two recesses have different dimensions.
19. Device according to one of the preceding claims, characterized in that at least two of the objects have different dimensions.
20. Device according to one of the preceding claims, characterized in that the objects are combination semiconductors.
21. Device according to one of the preceding claims, characterized in that at least two of the objects have different materials.
22. Device according to one of the preceding claims, characterized in that the objects are at least partially coated.
23. Device according to one of the preceding claims, characterized in that the object material is non-homogeneous.
24. Device according to one of the preceding claims, characterized by support pins for the loading of the carrier with objects and/or covers.
25. Device according to claim 24, characterized in that the support pins pass through the carrier.
26. Device according to claim 24 or 25, characterized in that the pins have different heights.
27. Device according to one of the claims 24 to 26, characterized in that the support pins for the covers are higher than for the objects.
28. Device according to one of the claims 24 to 27, characterized in that at least one support pin for the covers is provided externally of the carrier.
29. Device according to one of the claims 24 to 28, characterized in that the carrier and the support pins are movable relative to one another in the vertical direction.
30. Device according to claim 29, characterized in that the support pins are movable vertically downwardly for the placement of the objects into the recesses and/or for the placement of the covers upon the carrier.
31. Device according to claim 29, characterized in that the support pins are movable vertically upwardly for raising the objects out of the recesses and/or for raising the covers from the carrier.
32. Device according to claim 29, characterized in that the carrier is movable vertically.
33. Device according to one of the preceding claims, characterized by a gripper having suction devices for the deposit of the objects into the recesses and/or upon the support pins, and/or for the removal of the objects from the recesses and/or from the support pins.
34. Device according to one of the preceding claims, characterized by a rotary device for the rotation of the carrier about a vertical axis.
35. Device according to one of the preceding claims, characterized in that the carrier can be loaded within a process chamber.
36. Device according to one of the preceding claims, characterized in that the carrier can be loaded externally of the process chamber.
37. Device according to one of the preceding claims, characterized by an automatic loading and unloading device.
38. Handling apparatus having at least one transport arm that is provided with at least one support device for the support, via vacuum, of at least one object that is to be handled, characterized by a vacuum control device for the alteration of the vacuum as a function of the weight of the object.
39. Handling apparatus according to claim 38, characterized in that the vacuum control device includes a vacuum source and vacuum change-over devices.
40. Handling apparatus according to claim 38 or 39, characterized in that the vacuum change-over device is provided with switches for the change-over between lines with and without vacuum regulators.
41. Handling apparatus according to one of the claims 38 to 40, characterized in that the vacuum control device has at least two separate vacuum systems.
42. Handling apparatus according to one of the preceding claims, characterized in that the vacuum ratio for the objects that are to be handled and that have different weights is in a range of from 10 to 10,000.
43. Handling apparatus according to one of the claims 38 to 42, characterized in that an object with lesser weight is a semiconductor wafer, and an object with greater weight is a semiconductor wafer receptacle.
44. Handling apparatus according to one of the claims 38 to 43, characterized in that the support device is differently embodied for the different objects.
45. Handling apparatus according to one of the claims 38 to 44, characterized in that a three-point support device is provided.
46. Handling apparatus according to one of the claims 38 to 45, characterized in that support devices are provided on both sides of the transport arm.
47. Handling apparatus according to claim 38 to 46, characterized in that one side of the transport arm has support devices for the object with greater weight, and its other side has support devices for the object of lesser weight.
48. Handling apparatus according to claim 46 or 47, characterized in that the transport arm is rotatable about 180° relative to its longitudinal axis.
49. Handling apparatus according to one of the claims 38 to 48, characterized in that at least two transport arms are provided, of which at least one is provided for the support of objects having greater weight and at least one further one is provided for the support of objects of lesser weight.
50. Handling apparatus according to one of the claims 38 to 49, characterized in that the vacuum control device is controllable as a function of a prescribed program sequence.
51. Handling apparatus according to one of the claims 38 to 50, characterized by a sensor that measures the weight of the object that is to be handled, and with the output signal of which the vacuum control device can be controlled.
US10/478,285 2001-05-18 2002-05-02 Device for accommodating disk-shaped objects and apparatus for handling objects Abandoned US20040126213A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/333,727 US20060245906A1 (en) 2001-05-18 2006-01-17 Device for accommodating disk-shaped objects and apparatus for handling objects

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE10124647.1 2001-05-18
DE10124647 2001-05-18
PCT/EP2002/004790 WO2002095795A2 (en) 2001-05-18 2002-05-02 Device for receiving plate-shaped objects

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/333,727 Continuation US20060245906A1 (en) 2001-05-18 2006-01-17 Device for accommodating disk-shaped objects and apparatus for handling objects

Publications (1)

Publication Number Publication Date
US20040126213A1 true US20040126213A1 (en) 2004-07-01

Family

ID=7685532

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/478,285 Abandoned US20040126213A1 (en) 2001-05-18 2002-05-02 Device for accommodating disk-shaped objects and apparatus for handling objects

Country Status (3)

Country Link
US (1) US20040126213A1 (en)
KR (1) KR100885343B1 (en)
DE (1) DE10156441A1 (en)

Cited By (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
US20050121739A1 (en) * 2003-11-21 2005-06-09 Seiko Epson Corporation Method of manufacturing electro-optical device and annealing device for transparent substrate
US20050220045A1 (en) * 2004-04-05 2005-10-06 Lin Daniel J Peer-to-peer mobile instant messaging method and device
US20050220041A1 (en) * 2004-04-05 2005-10-06 Lin Daniel J Peer-to-peer mobile data transfer method and device
DE102007023970A1 (en) * 2007-05-23 2008-12-04 Aixtron Ag Apparatus for coating a plurality of densely packed substrates on a susceptor
US8406116B2 (en) 2004-04-05 2013-03-26 Pendragon Wireless Llc Mobile conferencing method and system
US10164127B2 (en) 2013-01-11 2018-12-25 Tesla, Inc. Module fabrication of solar cells with low resistivity electrodes
US10181536B2 (en) 2015-10-22 2019-01-15 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11395373B2 (en) * 2012-03-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003292147A1 (en) 2002-12-23 2004-07-22 Mattson Thermal Products Gmbh Method for determining the temperature of a semiconductor wafer in a rapid thermal processing system
DE10329107B4 (en) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Method for determining at least one state variable from a model of an RTP system
US7338836B2 (en) * 2003-11-05 2008-03-04 California Institute Of Technology Method for integrating pre-fabricated chip structures into functional electronic systems
EP1719166A1 (en) * 2004-02-19 2006-11-08 Koninklijke Philips Electronics N.V. Method of making a small substrate compatible for processing
EP2764536A1 (en) * 2011-10-06 2014-08-13 Roth & Rau AG Substrate turning device

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) * 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
US3641974A (en) * 1969-08-29 1972-02-15 Hitachi Ltd Apparatus for forming films
US4961399A (en) * 1988-03-22 1990-10-09 U.S. Philips Corporation Epitaxial growth reactor provided with a planetary support
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5201653A (en) * 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
US5683518A (en) * 1993-01-21 1997-11-04 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5788777A (en) * 1997-03-06 1998-08-04 Burk, Jr.; Albert A. Susceptor for an epitaxial growth factor
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5925411A (en) * 1990-07-16 1999-07-20 Siliconix Incorporated Gas-based substrate deposition protection
US6072162A (en) * 1998-07-13 2000-06-06 Kabushiki Kaisha Toshiba Device and method for heating substrate, and method for treating substrate
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6652662B1 (en) * 1998-04-03 2003-11-25 Tokyo Electron Limited Substrate surface processing apparatus and method
US6761771B2 (en) * 2000-10-19 2004-07-13 Asm Japan K.K. Semiconductor substrate-supporting apparatus
US20040228719A1 (en) * 1996-07-15 2004-11-18 Woodruff Daniel J. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5203547A (en) * 1990-11-29 1993-04-20 Canon Kabushiki Kaisha Vacuum attraction type substrate holding device

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) * 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
US3641974A (en) * 1969-08-29 1972-02-15 Hitachi Ltd Apparatus for forming films
US4961399A (en) * 1988-03-22 1990-10-09 U.S. Philips Corporation Epitaxial growth reactor provided with a planetary support
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5925411A (en) * 1990-07-16 1999-07-20 Siliconix Incorporated Gas-based substrate deposition protection
US5201653A (en) * 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
US5683518A (en) * 1993-01-21 1997-11-04 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US5872889A (en) * 1996-04-12 1999-02-16 Steag Ast Apparatus and method for rapid thermal processing
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US20040228719A1 (en) * 1996-07-15 2004-11-18 Woodruff Daniel J. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5788777A (en) * 1997-03-06 1998-08-04 Burk, Jr.; Albert A. Susceptor for an epitaxial growth factor
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6652662B1 (en) * 1998-04-03 2003-11-25 Tokyo Electron Limited Substrate surface processing apparatus and method
US6072162A (en) * 1998-07-13 2000-06-06 Kabushiki Kaisha Toshiba Device and method for heating substrate, and method for treating substrate
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6761771B2 (en) * 2000-10-19 2004-07-13 Asm Japan K.K. Semiconductor substrate-supporting apparatus
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance

Cited By (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
US20050121739A1 (en) * 2003-11-21 2005-06-09 Seiko Epson Corporation Method of manufacturing electro-optical device and annealing device for transparent substrate
US20050220045A1 (en) * 2004-04-05 2005-10-06 Lin Daniel J Peer-to-peer mobile instant messaging method and device
US20050220041A1 (en) * 2004-04-05 2005-10-06 Lin Daniel J Peer-to-peer mobile data transfer method and device
US8406116B2 (en) 2004-04-05 2013-03-26 Pendragon Wireless Llc Mobile conferencing method and system
DE102007023970A1 (en) * 2007-05-23 2008-12-04 Aixtron Ag Apparatus for coating a plurality of densely packed substrates on a susceptor
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11395373B2 (en) * 2012-03-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10164127B2 (en) 2013-01-11 2018-12-25 Tesla, Inc. Module fabrication of solar cells with low resistivity electrodes
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10181536B2 (en) 2015-10-22 2019-01-15 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
DE10156441A1 (en) 2002-11-21
KR20030093358A (en) 2003-12-06
KR100885343B1 (en) 2009-02-26

Similar Documents

Publication Publication Date Title
US20040126213A1 (en) Device for accommodating disk-shaped objects and apparatus for handling objects
US20060245906A1 (en) Device for accommodating disk-shaped objects and apparatus for handling objects
JP4358108B2 (en) A set of instruments for loading, supporting and removing in a coating apparatus
US6780251B2 (en) Substrate processing apparatus and method for fabricating semiconductor device
KR100427916B1 (en) Wafer holder
CN110491756A (en) Real-time monitoring with closed loop grasping force control
US4620738A (en) Vacuum pick for semiconductor wafers
US7077912B2 (en) Semiconductor manufacturing system
KR102120521B1 (en) Wafer boat support table and heat treatment apparatus using the same
JP2002536201A (en) Apparatus and method for handling substrates by a self-leveling vacuum system in an epitaxial induction reactor with a flat disk-shaped susceptor
US8672602B2 (en) Vertical thermal processing apparatus
JPWO2007018139A1 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR100989721B1 (en) High temperature anti-droop end effector for substrate transfer
US10157768B2 (en) Substrate processing apparatus, transfer method, and susceptor
JP4637475B2 (en) Semiconductor substrate transfer system using removable susceptor, and semiconductor substrate transfer method
KR20220052239A (en) Susceptor for semiconductor substrate processing
JP2020526040A (en) Apparatus for transporting a substrate, processing apparatus having a receiving plate adapted to a substrate carrier of such apparatus, and method for processing a substrate using the apparatus for transporting the substrate, and processing system
JPWO2004003995A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
KR101341270B1 (en) Transporting Apparatus for Disc-Shaped Workpieces
JPS6317521A (en) Carrying method of wafer boat
KR20230071954A (en) Substrate processing apparatus
KR100317462B1 (en) Substrate processing apparatus
KR20210100719A (en) meteorological growth device
US6991420B2 (en) Tool for handling wafers and epitaxial growth station
KR100959929B1 (en) Jig for wafer transfer with high efficiency

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATTSON THERMAL PRODUCTS, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PELZMANN, ARTHUR;DRECHSLER, MARTIN;NIESS, JURGEN;AND OTHERS;REEL/FRAME:015823/0426;SIGNING DATES FROM 20031112 TO 20031129

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION