US20040104491A1 - Wafer back side coating to balance stress from passivation layer on front of wafer and be used as a die attach adhesive - Google Patents

Wafer back side coating to balance stress from passivation layer on front of wafer and be used as a die attach adhesive Download PDF

Info

Publication number
US20040104491A1
US20040104491A1 US10/706,212 US70621203A US2004104491A1 US 20040104491 A1 US20040104491 A1 US 20040104491A1 US 70621203 A US70621203 A US 70621203A US 2004104491 A1 US2004104491 A1 US 2004104491A1
Authority
US
United States
Prior art keywords
stress
layer
semiconductor die
wafer
balancing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/706,212
Inventor
Michael Connell
Tongbi Jiang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/706,212 priority Critical patent/US20040104491A1/en
Publication of US20040104491A1 publication Critical patent/US20040104491A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices

Definitions

  • the present invention relates to methods for making semiconductor dice. More specifically, the invention relates to methods and apparatus for balancing stress in a semiconductor die resulting from stress within a top side protective layer.
  • An individual integrated circuit semiconductor die is usually formed from a larger structure known as a semiconductor wafer.
  • Wafers are usually formed by slicing a large cylindrically shaped crystal of silicon, although other materials such as gallium arsenide and indium phosphide are also used.
  • the front side or surface of the wafer is first ground and polished to a smooth surface, for fabrication of multiple integrated circuits thereon.
  • Each semiconductor wafer has a plurality of integrated circuits arranged in rows and columns with the periphery of each integrated circuit typically being substantially rectangular. In the electronics industry, there is a need for apparatus of smaller size, higher performance and higher memory storage.
  • Fabrication of the integrated circuits is performed on the active surface of the undivided wafer, and consists of various processes including known steps of layering, patterning, doping, etching and heat treatment, for example.
  • Various layers applied to the active surface of the wafer typically include insulators, semiconductors and metallic conductors.
  • the final layering step generally comprises the application of a passivation material to cover the integrated circuit with a smooth electrically insulative protective layer.
  • the purpose of the passivation layer is to protect the electronic components on the active surface, i.e., front side during subsequent wafer thinning, die testing, die singulation from the wafer, die packaging, and use.
  • Exemplary passivation materials include silicon dioxide and silicon nitride (doped or undoped with boron or phosphorus, for example), as well as other materials including polymer-based compositions as known in the art.
  • Further protection from damage during thinning of the wafer back side may be provided by temporarily attaching an adhesive-backed polymer (e.g. vinyl) sheet to the front side of the wafer.
  • an adhesive-backed polymer e.g. vinyl
  • a UV sensitive tape is attached to the active surface of a wafer and made removable by radiation following back side lapping.
  • a protective member is removably attached to an active surface of a wafer by a pressure sensitive adhesive.
  • Wafer thinning is performed in order to (a) reduce the package size, (b) reduce the consumption of saw blades in subsequent die singulation from the wafer, and (c) remove any electrical junctions which have formed on the wafer back side during fabrication.
  • the processes typically used for wafer thinning include mechanical grinding and chemical-mechanical polishing (CMP). Alternatively, etching may be used but is not generally preferred. Each of these processes requires protection of the front side or active surface of the wafer containing the electronic components of the semiconductor die and/or wafer.
  • the wafer grinding/polishing step typically results in a somewhat rough back side which is not conducive to other semiconductor die manufacturing processes, such as direct laser marking of a semiconductor die.
  • wafer thinning produces semiconductor dice of much reduced size, it also tends to result in a higher incidence of semiconductor die breakage.
  • stresses induced by any grinding and polishing processes must be carefully controlled to prevent wafer and semiconductor die warping or bowing. Wafer warp interferes with precise semiconductor die separation (singulation), and semiconductor die warping results in die-attach problems in subsequent packaging. In addition, warping may cause breakage of wire bonds, etc.
  • Stresses produced in a semiconductor die by application of a layer thereto may be classified as either “intrinsic” or result from “thermal mismatch” between the material of the semiconductor die and the material of the applied layer.
  • the applied layer may be in tensile or compressive stress as applied or cured.
  • a polymeric layer may shrink during a curing step to produce intrinsic compressive stress in the active surface of a semiconductor die to which it is attached. Stress resulting from thermal mismatch is particularly evident where the layer deposition is not done at room temperature.
  • different coefficients of expansion (CTE) result in differential contraction or expansion between the semiconductor die substrate, the wafer, and the applied layer.
  • CTE coefficients of expansion
  • a passivation layer to the front side of a wafer typically results in stresses in the wafer and the semiconductor die singulated therefrom. Stresses introduced by the passivation layer may be sufficient to produce undesirable warping in the semiconductor dice, particularly where the wafer has been thinned to a high degree.
  • a rigid unwarped substrate is particularly critical in forming known-good-die (KGD) with wire bonds.
  • the wafer back side is attached to a flexible plastic film to hold the individual semiconductor die in place during cutting.
  • the film is subsequently separated and removed from the singulated semiconductor die, and has no effect upon die warping.
  • the film is stretched to release the semiconductor dice, which are then simply picked off the film. The semiconductor dice may then be processed to form differing types of semiconductor packages.
  • an adhesive material e.g., epoxy
  • the adhesive material may be insulative or be electrically conductive and heat conductive.
  • Layer formation on a wafer back side has been done for another purpose. Such layers have been applied to provide a smooth surface for marking a semiconductor die or wafer with indicia identifying the manufacturer, serial number, lot number, and/or bar code, for example.
  • Conventional laser marking techniques utilize a very high intensity beam of light to alter the surface of a semiconductor die directly by melting, burning, or ablating the device surface directly, or by discoloration or decoloration of a laser reactive coating applied to a surface of the bare semiconductor die or packaged semiconductor die.
  • the beam of light may be scanned over the surface of the bare or packaged semiconductor die in the requisite pattern, or can be directed through a mask which projects the desired inscriptions onto the desired surface of the bare or packaged semiconductor die.
  • the surface or coating of the semiconductor die thus modified, the laser marking creates a reflectivity difference from the rest of the surface of the semiconductor die.
  • One method of laser marking involves applications where a laser beam is directed to contact the surface of a semiconductor device directly, as shown in U.S. Pat. No. 5,357,077 to Tsuruta, U.S. Pat. No. 5,329,090 to Woelki et al., U.S. Pat. No. 4,945,204 to Nakamura et al., U.S. Pat. No. 4,638,144 to Latta, Jr., U.S. Pat. No. 4,585,931 to Duncan et al., and U.S. Pat. No. 4,375,025 to Carlson.
  • Another method of laser marking makes use of various surface coating, e.g., carbon black and zinc borate, of a different color than the underlying device material.
  • various surface coating e.g., carbon black and zinc borate
  • Two examples of this type of marking are described in U.S. Pat. No. 5,985,377 to Corbett and U.S. Pat. No. 4,707,722 to Folk et al.
  • molding compounds which form products which may be laser marked.
  • the molding compound contains a pigment as well as glass fibers for reinforcing the molded object.
  • the present invention provides a method and apparatus for balancing stresses resulting from application of a passivation layer or other layer on the front side of a semiconductor wafer.
  • passivation layer will be used herein to denote any front side layer (compressive or tensile) which creates stress in the singulated die tending to form warp.
  • the method and apparatus have particular application to wafers or semiconductor die which have been subjected to a thinning process, including backgrinding in particular.
  • the present method comprises application of a stress-balancing layer (SBL) on the opposite side from a stress-creating layer such as a photoresist passivation layer, for example.
  • SBL stress-balancing layer
  • a passivation layer covering the circuitry of each semiconductor die of a wafer will typically introduce compressive stresses into the dice; a stress-balancing layer (SBL) which is applied after wafer thinning but before singulation will result in individual semiconductor die in which the front side stresses and back side stresses are balanced, preventing dice warp.
  • SBL stress-balancing layer
  • the stress-balancing layer may be formed of a rigid material which resists deformation.
  • the stress-balancing layer may be formed to have mechanical properties similar to the passivation layer and be applied under similar conditions so that the stress it creates on the die back side will approximately equal the stress on the die front side.
  • the composition and thickness of the SBL may be varied to provide the desired balancing stress forces.
  • the stress-balancing layer may be applied by various methods.
  • an SBL may be applied by a chemical vapor deposition (CVD), epitaxy or other process over portions or all of the wafer back side.
  • CVD chemical vapor deposition
  • various CVD processes permit a uniform layer of material to be accurately deposited.
  • Other methods which may be used to form the SBL on the back side include various evaporation methods and molecular beam epitaxy (MBE), for example.
  • the stress-balancing layer may comprise a composite of two or more materials.
  • semiconductor die having large aspect ratios may have formed thereon a rigid composite SBL having different reinforcing properties in the X and Y directions.
  • two or more materials may be sequentially formed on the thinned wafer back side as a multilayer composite.
  • the stress-balancing layer comprises a single layer of a matrix material containing distributed particles of a reinforcing component which provide rigidity in the X-Y plane, and more preferably, in all directions. Careful selection of materials enables the SBL to be a very thin layer which contributes little to the overall Y-dimension of a die.
  • the stress balancing layer of this invention is generally intended to be a permanent part of a semiconductor die.
  • An exception to this rule is when a stress-causing layer requiring an SBL is subsequently removed or its stress causing effect otherwise ameliorated, in which case the SBL may optionally be removed.
  • the SBL may serve other purposes.
  • the SBL or adhesive applied thereto may comprise a laser markable material for applying indicia to each individual semiconductor die, as discussed infra.
  • the SBL may itself comprise an adhesive material useful for bonding the die back side to a substrate such as a circuit board.
  • an adhesive layer is applied to the highly planar stress-balancing layer for back side semiconductor die attachment to a package substrate, etc.
  • Application of the invention results in improved ease in the manufacture of semiconductor dice, with improved semiconductor die quality (planarity and wire bonds), and concomitant reduction in defective semiconductor die.
  • FIG. 1 is a schematic perspective view of a multidie semiconductor wafer that has been thinned and with visible die-separating streets;
  • FIG. 2A is a cross-sectional view of a semiconductor die with compressive stress applied thereto by a passivation layer on its front side to create die warp;
  • FIG. 2B is a cross-sectional view of a semiconductor die with tensile stress applied thereto by a passivation layer on its front side to create die warp;
  • FIGS. 3 through 10 are cross-sectional edge views of a portion of a semiconductor wafer during manufacturing processes to form semiconductor dice in accordance with the invention, wherein:
  • FIG. 3 is a cross-sectional view of a raw sliced wafer
  • FIG. 4 is a cross-sectional view of a wafer following front side grinding and polishing
  • FIG. 5 is a cross-sectional view of a wafer showing a plurality of representative electronic devices fabricated on the front side thereof;
  • FIG. 6 is a cross-sectional view of a wafer following deposition of a passivation layer over the electronic devices fabricated on the front side thereof;
  • FIG. 7 is a cross-sectional view of a wafer following back side thinning
  • FIG. 8 is a cross-sectional view of a wafer following application of a stress-balancing layer on the thinned back side thereof;
  • FIG. 9 is a cross-sectional view of a wafer following curing of a stress-balancing layer on the thinned back side thereof;
  • FIG. 10 is a cross-sectional view of a semiconductor die following singulation of a wafer
  • FIG. 11 is a simplified side view of a conventional backgrinding apparatus and semiconductor wafer mounted thereon;
  • FIG. 12 is a cross-sectional view of a wafer of the invention wherein an applied stress-balancing layer comprises a single component or a homogeneous mixture of more than one component;
  • FIG. 13 is a cross-sectional view of a wafer of the invention wherein an applied stress-balancing layer comprises a heterogeneous mixture of a reinforcing material in a matrix base material;
  • FIG. 14 is a cross-sectional view of a wafer of the invention wherein an applied stress-balancing layer comprises two separately applied sub-layers having reinforcement properties in different directions;
  • FIG. 15 is a cross-sectional view of a wafer following applying an adhesive layer to a stress-balancing layer on the thinned back side;
  • FIG. 16 is a cross-sectional view of wafer following attaching a carrier member to the stress-balancing layer in accordance with the invention.
  • FIG. 17A is a side view of an exemplary semiconductor die formed in accordance with the invention, illustrating a balancing of compressive stress
  • FIG. 17B is a side view of another exemplary semiconductor die formed in accordance with the invention, illustrating a balancing of tensile stress.
  • FIG. 18 is a view of the back side of exemplary portions of a semiconductor wafer to which three forms of a stress-balancing layer are applied in accordance with the invention.
  • the present invention relates to semiconductor dice 20 formed in a multidie wafer 10 as shown in FIG. 1.
  • wafer 10 is typically circular in shape with a circumferential edge 28 .
  • the circumferential edge 28 is shown with an optional upper beveled surface 38 for ease of handling without breakage.
  • the wafer 10 may optionally be of another shape.
  • Semiconductor dice 20 are configured with opposing major surfaces, front side or active surface 11 and back side 12 .
  • Electronic components not shown in this figure, are fabricated in the wafer 10 front side, i.e., active surface 11 and will be identified herein as integrated circuits.
  • Each semiconductor die 20 of the wafer 10 is bounded by “streets” or saw lines 26 in both the X and Y directions and has an integrated circuit with bond pads thereon.
  • the back side 12 of wafer 10 typically is free from circuitry.
  • a layer 40 A (FIG. 2A) of protective passivating material is typically applied to cover the circuitry and front side surfaces.
  • FIG. 2A a side cross-sectional view of a prior art semiconductor die 20 A having a thickness 92 is shown with a passivation layer 40 A having a thickness 44 joined to the front side 11 .
  • the passivation layer 40 A is shown as having laterally “shrunk” relative to the semiconductor die 20 A at the extant temperature, resulting in compressive lateral stress forces 16 acting at the die-layer interface 22 .
  • Reactive stress forces 18 in the semiconductor die 20 A oppose the applied stress forces forces 16 , and the stress forces 16 , 18 result in what is denoted herein as compressive warp.
  • die 20 B of the prior art is shown with passivation layer 40 B joined to the front side 11 .
  • the passivation layer 40 B has laterally “expanded” relative to the semiconductor die 20 B, creating tensile lateral stress forces 16 acting at the die-layer interface 22 .
  • Reactive stress forces 18 in semiconductor die 20 B oppose the applied stress forces 16 , and the result is what is denoted herein as tensile warp.
  • the degree of warp depends upon the aspect ratio of the semiconductor die 20 and the active stress forces 16 , 18 . Minimization or elimination of die warp is important to the manufacture of a high quality dice with a minimum of defects.
  • FIGS. 3 through 10 illustrate one embodiment of the method useful for balancing stresses in semiconductor dice 20 .
  • a portion of a semiconductor wafer 10 is depicted in FIG. 3 as comprising a wafer substrate 32 .
  • the wafer 10 has a front side 11 , an opposing back side 12 , and a circumferential edge 28 .
  • the wafer is sliced from a crystalline cylinder of a semiconductive material such as silicon, germanium, gallium arsenide, etc. which comprises the substrate 32 .
  • the front side 11 of the wafer 10 is ground and polished to a smooth surface which becomes the active surface of each resulting semiconductor die 20 .
  • the portion 15 of the wafer substrate material removed from the wafer 10 reduces the wafer thickness 42 .
  • This planarization step is typically conducted by chemical-mechanical polishing (CMP).
  • the integrated microcircuits 30 are then fabricated in accordance with a pattern on the front side (active surface) 11 of the wafer's substrate 32 . As shown in FIG. 5, each microcircuit 30 is associated with a semiconductor die 20 which will be singulated along streets 26 in a later step.
  • the microcircuit 30 typically comprises various electronic components such as transistors, diodes, resistors, capacitors, insulators, conductors, and the like, as known in the art.
  • a series of conductive “bond pads” on the active surface permit electrical connection of the microcircuit 30 to a circuit board, a testing machine, or to the microcircuit of another die or dice.
  • Each microcircuit 30 is configured to provide a desired electronic result in a very small space.
  • an electrically insulative passivation layer 40 is typically applied to cover the microcircuit 30 following circuit fabrication.
  • the passivation layer 40 protects the microcircuit 30 from damage during subsequent manufacturing steps as well as in ultimate use. Unprotected, the microcircuit 30 may be degraded by contamination, chemical action, corrosion, and/or handling.
  • Passivation layer 40 may comprise any of a variety of materials, including silicon dioxide and silicon nitride as examples. Such materials are typically applied by a chemical vapor deposition (CVP) process at elevated temperature.
  • CVP chemical vapor deposition
  • CTE coefficient of thermal expansion
  • silicon has a CTE which is several times the CTE of silicon dioxide and will contract much more than a silicon dioxide passivation layer upon cooling from an elevated temperature, creating stress which is concentrated at the interface 22 between the wafer 10 and the passivation layer 40 .
  • the back side 12 of the wafer 10 is then ground to reduce the thickness 42 of the wafer substrate 32 .
  • a grinding wheel 52 with grinding surface 53 grinds away a portion 25 (see FIG. 7) of semiconductor substrate material 32 from the back side surface 12 .
  • Such grinding to fully thin the wafer thickness 42 typically leaves a somewhat nonsmooth ground surface 24 with grooves and/or swirls present.
  • the unevenness makes the ground surface 24 conducive to later adhesive attachment of a stress-balancing layer 50 (FIG. 8) thereto.
  • grinding wheel 52 of back-grinding apparatus 60 typically rotates in one direction 54 while a platen 56 providing physical support for semiconductor wafer 10 rotates in another direction 58 .
  • a submount 17 formed of tape, wax, molding compound, etc., typically provides protection for the active surface 11 of the unsingulated semiconductor die 20 formed on semiconductor wafer 10 as well as structural support during the thinning process.
  • a stress-balancing layer (SBL) 50 is applied to the ground surface 24 to balance the stress created by the passivation layer 40 in the wafer substrate 32 .
  • the SBL 50 is configured to adhere tightly to the ground surface 24 and to oppose warping stresses in the wafer 10 as well as in the subsequently singulated semiconductor die 20 .
  • the stress-balancing layer 50 may be formed in a variety of ways.
  • the SBL 50 may comprise a single rigid layer or a multifilm layer with each layer formed to resist deformation in a different direction, or with different deformation properties.
  • homogeneously distributed inorganic particles may be bound in a matrix for uniform stress resistance in an X-Y plane, or optionally, omnidirectional.
  • the stress-balancing layer 50 may be formed of any material or materials which when applied in a thin layer to the back side semiconductor die guard surface 24 , will provide a rigid structure resistant to warping of the semiconductor die.
  • the stress-balancing layer 50 requires a curing step to fully harden the layer, it is conducted, typically by exposure to heat or radiation (see FIG. 9)
  • an exemplary SBL 50 is shown as a single-component highly rigid layer such as, for example, a metal, alloy, metallorganic material, a photo-resist material or other material with the proper loadbearing characteristics.
  • SBL 50 is shown as a heterogeneous composite structure comprising uniformly distributed strong particles in a matrix material 74 .
  • a matrix material 74 For example, very small particles of reinforcing material 72 such as metal, glass, etc. may be distributed in a matrix material of silicon oxide, silicon nitride or a polymeric material.
  • two or more layers of composite materials are sequentially deposited as a stress-balancing layer 50 .
  • Each layer is shown with a reinforcing material 74 A or 74 B configured to resist stresses (i.e., have highest rigidity) in a particular direction, so that the layer combination provides the desired warping resistance.
  • the SBL 50 may be formed by an epitaxy process such as vapor phase epitaxy (VPE), molecular beam epitaxy (MBE), or complementary field effect transistor epitaxy (CMOSE).
  • VPE vapor phase epitaxy
  • MBE molecular beam epitaxy
  • CMOSE complementary field effect transistor epitaxy
  • Sputtering and other methods may be used including physical vapor deposition (PVD).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • Various CVD methods include low pressure chemical vapor deposition (LPCVD), atmospheric pressure chemical vapor deposition (APCVD), metal-organic chemical vapor deposition (MOCVD), plasma-enhanced chemical vapor deposition (PECVD), and ultra-high vacuum chemical vapor deposition (UHVCVD), any of which may be used to produce a particular stress-balancing layer 50 .
  • LPCVD low pressure chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • MOCVD metal-organic chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • UHVCVD ultra-high vacuum chemical vapor deposition
  • the SBL 50 may itself comprise an adhesive material, particularly when combined with added reinforcement particles.
  • an adhesive material particularly when combined with added reinforcement particles.
  • polymeric materials known in the art may be applied to form a rigid structure, yet retain adhesive properties.
  • dice 20 formed therefrom may be adhesively attached to a circuit board or other substrate.
  • an adhesive layer 48 may be applied to the stress-balancing layer 50 , as depicted in FIG. 15.
  • the adhesive layer 48 may be formed of a type of adhesive material characterized as being epoxy, or other suitable adhesive material.
  • This adhesive layer 48 may be used as a die attach adhesive, or may be used to temporarily attach a carrier tape 62 to the wafer 10 , as in FIG. 16.
  • the adhesive layer 48 may also comprise a markable material such as a UV acrylic, thio-phene, poly-paraxylylene, (Paralene), urethanes, silicones, and acrylics, provided its strength is sufficient to resist die warping.
  • Carrier tapes 62 are generally used to protect and hold the semiconductor dice 20 in place during sawing of the wafer 10 and enable convenient pick-off of individual semiconductor die 20 for transfer to a substrate in a semiconductor die 20 attach step.
  • Another embodiment of the invention utilizes a tape as a stress-balancing layer 50 , wherein stresses in the plane of the tape are resisted by rigidity.
  • the tape may be monolayer or multilayer and may be formed of or include a metal film. It is important to utilize an adhesive which will rigidly adhere to the tape and die 20 as a very thin film.
  • the tape may be thinly adhesed on both sides, for temporary attachment to a carrier tape 62 or for permanent semiconductor die attach to a circuit board or other substrate, not shown in the figures.
  • the stress-balancing layer 50 may be applied to overcover the entire thinned ground surface 24 , or alternatively cover selected portions of the wafer 10 or of each semiconductor die 20 .
  • an SBL 50 may be applied in various patterns 50 X, 50 Y and 50 Z on the thinned semiconductor die back side 12 of each semiconductor die 20 of a wafer 10 .
  • stress-balancing layer 50 X is configured to cover the entire back side 12 as a contiguous layer.
  • the stress-balancing layer 50 Y may be patterned, for example, as a continuous longitudinal strip extending over a row of semiconductor dice 20 .
  • stress-balancing layers 50 Z are shown as discrete coverings for each individual semiconductor die.
  • the SBL 50 Z is shown as comprising a rectangular covering over a majority of a semiconductor die back side 12 . However, it may comprise any pattern 68 which achieves the desired stress balancing.
  • stress-balancing layer 50 may be applied by an automated process such that the tape is in a highly regular and standard pattern corresponding to placement on predetermined specific areas on the semiconductor dice 20 , or on wafer areas which will correspond to individual semiconductor dice after singulation. As such, vision systems for reading marks on semiconductor dice can be adjusted to scan the desired marked areas.
  • the outer surface 36 of the stress-balancing layer 50 X, 50 Y or 50 Z is uniformly planar for subsequent semiconductor die attach.
  • stress-balancing layer 50 may be sometimes usefully applied to already singulated die 20 , it is preferred to apply stress-balancing layer 50 to the wafer ground surface 24 prior to singulation, because this avoids warpage resulting from singulation and is generally more cost-effective.
  • Exemplary semiconductor dice 20 C and 20 D formed by the method of the invention are illustrated in FIGS. 17A and 17B.
  • a stress-balancing layer 50 C or 50 D is applied to the back side 12 of a semiconductor die 20 C, 20 D, respectively.
  • This layer 50 C or 50 D balances stress forces 18 in die 20 C or 20 D caused by compressive or tensile stress forces 16 in passivation layer 40 C and 40 D, and maintain the semiconductor dice in a generally warp-free condition.
  • the counter forces 70 in the SBL 50 C, 50 D balance the warping forces 16 in the passivation layer 40 C, 40 D.
  • FIG. 19 illustrates in a simplified schematic view of a conventional laser marking system 100 capable of readily marking semiconductor dice 20 to which a laser markable material has been applied.
  • the system 100 comprises a laser 102 , a lens system 104 , a shadow mask 106 and a laser control system 108 for monitoring and controlling the function of the apparatus.
  • a “laser” is considered to be any optical energy source capable of marking a surface of a stress-balancing layer 50 through the use of light energy and/or heat.
  • laser 102 is comprised of an Nd:YAG (yttrium aluminum garnet), Nd:YLP (pulsed yttrium fiber laser), carbon dioxide, or other suitable optical energy devices known in the art. It is understood, however, that laser 102 may also comprise an ultraviolet (UV) energy source or other energy beam.
  • Nd:YAG yttrium aluminum garnet
  • Nd:YLP pulse yttrium fiber laser
  • CO dioxide carbon dioxide
  • UV energy source ultraviolet
  • the material in, on, embedded in, attached to or under the material is altered, e.g., by heating, vaporization, burning, melting, chemical reaction, residue or dye transfer, or combinations thereof.
  • the result comprises a color or texture change, or both, having an image of shadow mask 106 appearing on the ground surface 24 of the semiconductor die 20 .
  • a shadow mask 106 is shown in this embodiment, the present invention contemplates computer-directed operation, including mechanical movement of laser 102 in conjunction with, or without, shadow mask 106 .
  • the stress-balancing layer 50 may advantageously be formed of a material which also has antistatic properties.
  • the present invention is applicable to semiconductor dice of any electronic or physical configuration, in which stresses tending to warp the semiconductor dice are present.
  • the semiconductor die may be one of a DIP, SIP, ZIP, PLCC, SOJ, SIMM, DIMM, LCC, QFP, SOP, TSOP, flip-chip, etc.
  • a layer 50 may be formed on the ground surface 24 of a semiconductor wafer 10 to balance warping stresses in the subsequently singulated semiconductor dice 20 .
  • the layer 50 may be configured to be or support a markable layer on the die's thinned ground surface 24 .
  • the layer 50 may also be configured to comprise or support a semiconductor die attach adhesive or carrier adhesive.
  • the stress-balancing layer 50 which is applied to the back side 12 , 24 of a thinned wafer 10 may comprise only a very small portion of the Z dimension of the subsequently singulated dice.
  • the thickness 42 of the SBL 50 will depend upon the footprint size and thickness of the die and will generally be larger as the footprint increases. Typically, for the stresses normally encountered in small semiconductor dice 20 , i.e., less than about 10 mm in the X and Y dimensions and less than about 1.5 mm in the Z dimension, the thickness 42 of the SBL 50 is generally less than about 0.1 mm and effectively prevents or counteracts the tendency to warp.
  • the SBL 50 may be formed of the same material as the stress-causing passivation layer 40 and be of a similar thickness 42 .
  • this invention while being described with reference to semiconductor wafers containing integrated circuits and individual semiconductor dice, has equal utility to any type of substrate in which compressive or tensile stresses require balancing. Furthermore, the invention finds added utility where two or more of stress balancing, marking, adhesion, and surface protection of a substrate are desired to be simultaneously achieved.
  • the scope of the instant invention is only to be limited by the claims which follow and equivalents thereof.

Abstract

A method and apparatus for balancing layer-caused compressive or tensile stress in a semiconductor die, die wafer or similar substrate uses a stress-balancing layer (SBL) attached to the opposite side from the stress-causing layer before the die or wafer is significantly warped. The SBL may also serve as, or support, an adhesive layer for die attach and be of a markable material for an enhanced marking method.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of application Ser. No. 10/082,372, filed Feb. 25, 2002, pending.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to methods for making semiconductor dice. More specifically, the invention relates to methods and apparatus for balancing stress in a semiconductor die resulting from stress within a top side protective layer. [0003]
  • 2. State of the Art [0004]
  • An individual integrated circuit semiconductor die is usually formed from a larger structure known as a semiconductor wafer. Wafers are usually formed by slicing a large cylindrically shaped crystal of silicon, although other materials such as gallium arsenide and indium phosphide are also used. The front side or surface of the wafer is first ground and polished to a smooth surface, for fabrication of multiple integrated circuits thereon. Each semiconductor wafer has a plurality of integrated circuits arranged in rows and columns with the periphery of each integrated circuit typically being substantially rectangular. In the electronics industry, there is a need for apparatus of smaller size, higher performance and higher memory storage. These factors drive the industry to produce smaller semiconductor dice by thinning each wafer, i.e., reducing the cross section using a mechanical and/or chemical grinding process or etching. After thinning, the wafer is sawn or diced into rectangularly shaped “dice” along two mutually perpendicular sets of parallel lines or streets separating each row and column of dice in the wafer. Thus, the individual integrated circuit semiconductor die in the form of semiconductor dice are singulated from the wafer. [0005]
  • Fabrication of the integrated circuits is performed on the active surface of the undivided wafer, and consists of various processes including known steps of layering, patterning, doping, etching and heat treatment, for example. Various layers applied to the active surface of the wafer typically include insulators, semiconductors and metallic conductors. The final layering step generally comprises the application of a passivation material to cover the integrated circuit with a smooth electrically insulative protective layer. The purpose of the passivation layer is to protect the electronic components on the active surface, i.e., front side during subsequent wafer thinning, die testing, die singulation from the wafer, die packaging, and use. Exemplary passivation materials include silicon dioxide and silicon nitride (doped or undoped with boron or phosphorus, for example), as well as other materials including polymer-based compositions as known in the art. Further protection from damage during thinning of the wafer back side may be provided by temporarily attaching an adhesive-backed polymer (e.g. vinyl) sheet to the front side of the wafer. Such is disclosed in U.S. Pat. No. 5,840,614 of Sim et al. and U.S. Pat. No. 6,030,485 of Yamada, in which a UV sensitive tape is attached to the active surface of a wafer and made removable by radiation following back side lapping. In U.S. Pat. No. 5,962,097 of Yamamoto et al., a protective member is removably attached to an active surface of a wafer by a pressure sensitive adhesive. [0006]
  • Wafer thinning is performed in order to (a) reduce the package size, (b) reduce the consumption of saw blades in subsequent die singulation from the wafer, and (c) remove any electrical junctions which have formed on the wafer back side during fabrication. The processes typically used for wafer thinning include mechanical grinding and chemical-mechanical polishing (CMP). Alternatively, etching may be used but is not generally preferred. Each of these processes requires protection of the front side or active surface of the wafer containing the electronic components of the semiconductor die and/or wafer. The wafer grinding/polishing step typically results in a somewhat rough back side which is not conducive to other semiconductor die manufacturing processes, such as direct laser marking of a semiconductor die. [0007]
  • Although wafer thinning produces semiconductor dice of much reduced size, it also tends to result in a higher incidence of semiconductor die breakage. In addition, stresses induced by any grinding and polishing processes must be carefully controlled to prevent wafer and semiconductor die warping or bowing. Wafer warp interferes with precise semiconductor die separation (singulation), and semiconductor die warping results in die-attach problems in subsequent packaging. In addition, warping may cause breakage of wire bonds, etc. [0008]
  • Stresses produced in a semiconductor die by application of a layer thereto may be classified as either “intrinsic” or result from “thermal mismatch” between the material of the semiconductor die and the material of the applied layer. In the former case, the applied layer may be in tensile or compressive stress as applied or cured. For example, a polymeric layer may shrink during a curing step to produce intrinsic compressive stress in the active surface of a semiconductor die to which it is attached. Stress resulting from thermal mismatch is particularly evident where the layer deposition is not done at room temperature. Upon cooling to ambient temperature or to a working temperature, different coefficients of expansion (CTE) result in differential contraction or expansion between the semiconductor die substrate, the wafer, and the applied layer. Each of these stress components is important in the fabrication of semiconductor die, particularly where the ratio of semiconductor die thickness to semiconductor die length (or width) is very low. [0009]
  • Application of an effective passivation layer to the front side of a wafer typically results in stresses in the wafer and the semiconductor die singulated therefrom. Stresses introduced by the passivation layer may be sufficient to produce undesirable warping in the semiconductor dice, particularly where the wafer has been thinned to a high degree. A rigid unwarped substrate is particularly critical in forming known-good-die (KGD) with wire bonds. [0010]
  • In one sawing method of singulation, the wafer back side is attached to a flexible plastic film to hold the individual semiconductor die in place during cutting. The film is subsequently separated and removed from the singulated semiconductor die, and has no effect upon die warping. In one version, the film is stretched to release the semiconductor dice, which are then simply picked off the film. The semiconductor dice may then be processed to form differing types of semiconductor packages. [0011]
  • In one commonly used die-attach method, an adhesive material (e.g., epoxy) is used to join the back side of a die to a carrier. The adhesive material may be insulative or be electrically conductive and heat conductive. [0012]
  • Layer formation on a wafer back side has been done for another purpose. Such layers have been applied to provide a smooth surface for marking a semiconductor die or wafer with indicia identifying the manufacturer, serial number, lot number, and/or bar code, for example. [0013]
  • Conventional laser marking techniques utilize a very high intensity beam of light to alter the surface of a semiconductor die directly by melting, burning, or ablating the device surface directly, or by discoloration or decoloration of a laser reactive coating applied to a surface of the bare semiconductor die or packaged semiconductor die. The beam of light may be scanned over the surface of the bare or packaged semiconductor die in the requisite pattern, or can be directed through a mask which projects the desired inscriptions onto the desired surface of the bare or packaged semiconductor die. The surface or coating of the semiconductor die thus modified, the laser marking creates a reflectivity difference from the rest of the surface of the semiconductor die. [0014]
  • Numerous methods for laser marking are known in the art. One method of laser marking involves applications where a laser beam is directed to contact the surface of a semiconductor device directly, as shown in U.S. Pat. No. 5,357,077 to Tsuruta, U.S. Pat. No. 5,329,090 to Woelki et al., U.S. Pat. No. 4,945,204 to Nakamura et al., U.S. Pat. No. 4,638,144 to Latta, Jr., U.S. Pat. No. 4,585,931 to Duncan et al., and U.S. Pat. No. 4,375,025 to Carlson. [0015]
  • Another method of laser marking makes use of various surface coating, e.g., carbon black and zinc borate, of a different color than the underlying device material. Two examples of this type of marking are described in U.S. Pat. No. 5,985,377 to Corbett and U.S. Pat. No. 4,707,722 to Folk et al. [0016]
  • In U.S. Pat. No. 5,866,644 to Mercx et al., molding compounds are disclosed which form products which may be laser marked. The molding compound contains a pigment as well as glass fibers for reinforcing the molded object. [0017]
  • The above-indicated methods have been found to be inadequate for marking the back side of a wafer or semiconductor die, inasmuch as the thinning methods, including mechanical grinding, chemical mechanical polishing, and etching all leave the back side in a nonsmooth condition with a surface topography unsuited for laser marking (with or without a thin surface coating). [0018]
  • Moreover, none of the coatings, if applied to the back side of a wafer or semiconductor die, will balance wafer stress or semiconductor die stress to prevent or significantly reduce warp. [0019]
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention provides a method and apparatus for balancing stresses resulting from application of a passivation layer or other layer on the front side of a semiconductor wafer. The term “passivation layer” will be used herein to denote any front side layer (compressive or tensile) which creates stress in the singulated die tending to form warp. The method and apparatus have particular application to wafers or semiconductor die which have been subjected to a thinning process, including backgrinding in particular. The present method comprises application of a stress-balancing layer (SBL) on the opposite side from a stress-creating layer such as a photoresist passivation layer, for example. Thus, a passivation layer covering the circuitry of each semiconductor die of a wafer will typically introduce compressive stresses into the dice; a stress-balancing layer (SBL) which is applied after wafer thinning but before singulation will result in individual semiconductor die in which the front side stresses and back side stresses are balanced, preventing dice warp. [0020]
  • The stress-balancing layer may be formed of a rigid material which resists deformation. The stress-balancing layer may be formed to have mechanical properties similar to the passivation layer and be applied under similar conditions so that the stress it creates on the die back side will approximately equal the stress on the die front side. The composition and thickness of the SBL may be varied to provide the desired balancing stress forces. [0021]
  • The stress-balancing layer may be applied by various methods. In a preferred method, an SBL may be applied by a chemical vapor deposition (CVD), epitaxy or other process over portions or all of the wafer back side. In particular, various CVD processes permit a uniform layer of material to be accurately deposited. Other methods which may be used to form the SBL on the back side include various evaporation methods and molecular beam epitaxy (MBE), for example. [0022]
  • The stress-balancing layer may comprise a composite of two or more materials. For example, semiconductor die having large aspect ratios may have formed thereon a rigid composite SBL having different reinforcing properties in the X and Y directions. Alternatively, two or more materials may be sequentially formed on the thinned wafer back side as a multilayer composite. Preferably, however, the stress-balancing layer comprises a single layer of a matrix material containing distributed particles of a reinforcing component which provide rigidity in the X-Y plane, and more preferably, in all directions. Careful selection of materials enables the SBL to be a very thin layer which contributes little to the overall Y-dimension of a die. The stress balancing layer of this invention is generally intended to be a permanent part of a semiconductor die. An exception to this rule is when a stress-causing layer requiring an SBL is subsequently removed or its stress causing effect otherwise ameliorated, in which case the SBL may optionally be removed. Inasmuch as the SBL is a very thin layer, and its removal would weaken the semiconductor die, it is generally considered to be a permanent part of the semiconductor die. Furthermore, the SBL may serve other purposes. For example, the SBL or adhesive applied thereto may comprise a laser markable material for applying indicia to each individual semiconductor die, as discussed infra. Also, the SBL may itself comprise an adhesive material useful for bonding the die back side to a substrate such as a circuit board. [0023]
  • In another aspect of the invention, an adhesive layer is applied to the highly planar stress-balancing layer for back side semiconductor die attachment to a package substrate, etc. [0024]
  • Application of the invention results in improved ease in the manufacture of semiconductor dice, with improved semiconductor die quality (planarity and wire bonds), and concomitant reduction in defective semiconductor die.[0025]
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The nature of the present invention as well as other embodiments thereof may be more clearly understood by reference to the following detailed description of the invention, to the appended claims, and to the several drawings herein, wherein dimensions may be exaggerated for the sake of clarity, and wherein: [0026]
  • FIG. 1 is a schematic perspective view of a multidie semiconductor wafer that has been thinned and with visible die-separating streets; [0027]
  • FIG. 2A is a cross-sectional view of a semiconductor die with compressive stress applied thereto by a passivation layer on its front side to create die warp; [0028]
  • FIG. 2B is a cross-sectional view of a semiconductor die with tensile stress applied thereto by a passivation layer on its front side to create die warp; [0029]
  • FIGS. 3 through 10 are cross-sectional edge views of a portion of a semiconductor wafer during manufacturing processes to form semiconductor dice in accordance with the invention, wherein: [0030]
  • FIG. 3 is a cross-sectional view of a raw sliced wafer; [0031]
  • FIG. 4 is a cross-sectional view of a wafer following front side grinding and polishing; [0032]
  • FIG. 5 is a cross-sectional view of a wafer showing a plurality of representative electronic devices fabricated on the front side thereof; [0033]
  • FIG. 6 is a cross-sectional view of a wafer following deposition of a passivation layer over the electronic devices fabricated on the front side thereof; [0034]
  • FIG. 7 is a cross-sectional view of a wafer following back side thinning; [0035]
  • FIG. 8 is a cross-sectional view of a wafer following application of a stress-balancing layer on the thinned back side thereof; [0036]
  • FIG. 9 is a cross-sectional view of a wafer following curing of a stress-balancing layer on the thinned back side thereof; [0037]
  • FIG. 10 is a cross-sectional view of a semiconductor die following singulation of a wafer; [0038]
  • FIG. 11 is a simplified side view of a conventional backgrinding apparatus and semiconductor wafer mounted thereon; [0039]
  • FIG. 12 is a cross-sectional view of a wafer of the invention wherein an applied stress-balancing layer comprises a single component or a homogeneous mixture of more than one component; [0040]
  • FIG. 13 is a cross-sectional view of a wafer of the invention wherein an applied stress-balancing layer comprises a heterogeneous mixture of a reinforcing material in a matrix base material; [0041]
  • FIG. 14 is a cross-sectional view of a wafer of the invention wherein an applied stress-balancing layer comprises two separately applied sub-layers having reinforcement properties in different directions; [0042]
  • FIG. 15 is a cross-sectional view of a wafer following applying an adhesive layer to a stress-balancing layer on the thinned back side; [0043]
  • FIG. 16 is a cross-sectional view of wafer following attaching a carrier member to the stress-balancing layer in accordance with the invention; [0044]
  • FIG. 17A is a side view of an exemplary semiconductor die formed in accordance with the invention, illustrating a balancing of compressive stress; [0045]
  • FIG. 17B is a side view of another exemplary semiconductor die formed in accordance with the invention, illustrating a balancing of tensile stress; and [0046]
  • FIG. 18 is a view of the back side of exemplary portions of a semiconductor wafer to which three forms of a stress-balancing layer are applied in accordance with the invention.[0047]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention relates to [0048] semiconductor dice 20 formed in a multidie wafer 10 as shown in FIG. 1. As depicted, wafer 10 is typically circular in shape with a circumferential edge 28. The circumferential edge 28 is shown with an optional upper beveled surface 38 for ease of handling without breakage. The wafer 10 may optionally be of another shape. Semiconductor dice 20 are configured with opposing major surfaces, front side or active surface 11 and back side 12. Electronic components, not shown in this figure, are fabricated in the wafer 10 front side, i.e., active surface 11 and will be identified herein as integrated circuits. Each semiconductor die 20 of the wafer 10 is bounded by “streets” or saw lines 26 in both the X and Y directions and has an integrated circuit with bond pads thereon. The back side 12 of wafer 10 typically is free from circuitry. Following fabrication of the integrated circuits, a layer 40A (FIG. 2A) of protective passivating material is typically applied to cover the circuitry and front side surfaces.
  • Turning now to FIG. 2A, a side cross-sectional view of a prior art semiconductor die [0049] 20A having a thickness 92 is shown with a passivation layer 40A having a thickness 44 joined to the front side 11. Some features are exaggerated for the sake of clarity. The passivation layer 40A is shown as having laterally “shrunk” relative to the semiconductor die 20A at the extant temperature, resulting in compressive lateral stress forces 16 acting at the die-layer interface 22. Reactive stress forces 18 in the semiconductor die 20A oppose the applied stress forces forces 16, and the stress forces 16, 18 result in what is denoted herein as compressive warp.
  • As shown in FIG. 2B, die [0050] 20B of the prior art is shown with passivation layer 40B joined to the front side 11. The passivation layer 40B has laterally “expanded” relative to the semiconductor die 20B, creating tensile lateral stress forces 16 acting at the die-layer interface 22. Reactive stress forces 18 in semiconductor die 20B oppose the applied stress forces 16, and the result is what is denoted herein as tensile warp.
  • The degree of warp, whether compressive or tensile, depends upon the aspect ratio of the semiconductor die [0051] 20 and the active stress forces 16, 18. Minimization or elimination of die warp is important to the manufacture of a high quality dice with a minimum of defects.
  • FIGS. 3 through 10 illustrate one embodiment of the method useful for balancing stresses in [0052] semiconductor dice 20.
  • A portion of a [0053] semiconductor wafer 10 is depicted in FIG. 3 as comprising a wafer substrate 32. The wafer 10 has a front side 11, an opposing back side 12, and a circumferential edge 28. The wafer is sliced from a crystalline cylinder of a semiconductive material such as silicon, germanium, gallium arsenide, etc. which comprises the substrate 32.
  • As shown in FIG. 4, the [0054] front side 11 of the wafer 10 is ground and polished to a smooth surface which becomes the active surface of each resulting semiconductor die 20. The portion 15 of the wafer substrate material removed from the wafer 10 reduces the wafer thickness 42. This planarization step is typically conducted by chemical-mechanical polishing (CMP).
  • The [0055] integrated microcircuits 30 are then fabricated in accordance with a pattern on the front side (active surface) 11 of the wafer's substrate 32. As shown in FIG. 5, each microcircuit 30 is associated with a semiconductor die 20 which will be singulated along streets 26 in a later step. The microcircuit 30 typically comprises various electronic components such as transistors, diodes, resistors, capacitors, insulators, conductors, and the like, as known in the art. Typically, a series of conductive “bond pads” on the active surface permit electrical connection of the microcircuit 30 to a circuit board, a testing machine, or to the microcircuit of another die or dice. Each microcircuit 30 is configured to provide a desired electronic result in a very small space.
  • Referring now to FIG. 6, it is seen that an electrically [0056] insulative passivation layer 40 is typically applied to cover the microcircuit 30 following circuit fabrication. The passivation layer 40 protects the microcircuit 30 from damage during subsequent manufacturing steps as well as in ultimate use. Unprotected, the microcircuit 30 may be degraded by contamination, chemical action, corrosion, and/or handling. Passivation layer 40 may comprise any of a variety of materials, including silicon dioxide and silicon nitride as examples. Such materials are typically applied by a chemical vapor deposition (CVP) process at elevated temperature. Thus, a difference in coefficient of thermal expansion (CTE) between the passivation layer 40 and the die material to which it is applied will result in a residual stress in the wafer 10, and in dice 20 when the wafer is diced (die singulation). For example, silicon has a CTE which is several times the CTE of silicon dioxide and will contract much more than a silicon dioxide passivation layer upon cooling from an elevated temperature, creating stress which is concentrated at the interface 22 between the wafer 10 and the passivation layer 40.
  • As depicted in FIG. 7, the [0057] back side 12 of the wafer 10 is then ground to reduce the thickness 42 of the wafer substrate 32. In a conventional back-grinding process, illustrated in FIG. 11, a grinding wheel 52 with grinding surface 53 grinds away a portion 25 (see FIG. 7) of semiconductor substrate material 32 from the back side surface 12. Such grinding to fully thin the wafer thickness 42 typically leaves a somewhat nonsmooth ground surface 24 with grooves and/or swirls present. However, the unevenness makes the ground surface 24 conducive to later adhesive attachment of a stress-balancing layer 50 (FIG. 8) thereto.
  • As shown by the arrows in FIG. 11, grinding [0058] wheel 52 of back-grinding apparatus 60 typically rotates in one direction 54 while a platen 56 providing physical support for semiconductor wafer 10 rotates in another direction 58. This results in the grinding pattern referred to above, which tends to vary from one side of wafer 10 as opposed to the other. A submount 17, formed of tape, wax, molding compound, etc., typically provides protection for the active surface 11 of the unsingulated semiconductor die 20 formed on semiconductor wafer 10 as well as structural support during the thinning process.
  • Turning now to FIG. 8, in accordance with this invention, a stress-balancing layer (SBL) [0059] 50 is applied to the ground surface 24 to balance the stress created by the passivation layer 40 in the wafer substrate 32. The SBL 50 is configured to adhere tightly to the ground surface 24 and to oppose warping stresses in the wafer 10 as well as in the subsequently singulated semiconductor die 20.
  • The stress-[0060] balancing layer 50 may be formed in a variety of ways. For example, the SBL 50 may comprise a single rigid layer or a multifilm layer with each layer formed to resist deformation in a different direction, or with different deformation properties. In another form, homogeneously distributed inorganic particles may be bound in a matrix for uniform stress resistance in an X-Y plane, or optionally, omnidirectional. The stress-balancing layer 50 may be formed of any material or materials which when applied in a thin layer to the back side semiconductor die guard surface 24, will provide a rigid structure resistant to warping of the semiconductor die.
  • In the event that the stress-[0061] balancing layer 50 requires a curing step to fully harden the layer, it is conducted, typically by exposure to heat or radiation (see FIG. 9)
  • As shown in FIG. 12, an [0062] exemplary SBL 50 is shown as a single-component highly rigid layer such as, for example, a metal, alloy, metallorganic material, a photo-resist material or other material with the proper loadbearing characteristics.
  • In FIG. 13, [0063] SBL 50 is shown as a heterogeneous composite structure comprising uniformly distributed strong particles in a matrix material 74. For example, very small particles of reinforcing material 72 such as metal, glass, etc. may be distributed in a matrix material of silicon oxide, silicon nitride or a polymeric material.
  • In a further embodiment shown in FIG. 14, two or more layers of composite materials are sequentially deposited as a stress-[0064] balancing layer 50. Each layer is shown with a reinforcing material 74A or 74B configured to resist stresses (i.e., have highest rigidity) in a particular direction, so that the layer combination provides the desired warping resistance.
  • Various methods may be used to deposit the materials forming the [0065] SBL 50. For example, the SBL 50 may be formed by an epitaxy process such as vapor phase epitaxy (VPE), molecular beam epitaxy (MBE), or complementary field effect transistor epitaxy (CMOSE). Sputtering and other methods may be used including physical vapor deposition (PVD). Preferably, a chemical vapor deposition (CVD) method is used. Various CVD methods include low pressure chemical vapor deposition (LPCVD), atmospheric pressure chemical vapor deposition (APCVD), metal-organic chemical vapor deposition (MOCVD), plasma-enhanced chemical vapor deposition (PECVD), and ultra-high vacuum chemical vapor deposition (UHVCVD), any of which may be used to produce a particular stress-balancing layer 50.
  • The [0066] SBL 50 may itself comprise an adhesive material, particularly when combined with added reinforcement particles. For example, polymeric materials known in the art may be applied to form a rigid structure, yet retain adhesive properties. Thus, dice 20 formed therefrom may be adhesively attached to a circuit board or other substrate.
  • In an alternative embodiment of the present invention, an [0067] adhesive layer 48 may be applied to the stress-balancing layer 50, as depicted in FIG. 15. The adhesive layer 48 may be formed of a type of adhesive material characterized as being epoxy, or other suitable adhesive material. This adhesive layer 48 may be used as a die attach adhesive, or may be used to temporarily attach a carrier tape 62 to the wafer 10, as in FIG. 16. As discussed, infra, the adhesive layer 48 may also comprise a markable material such as a UV acrylic, thio-phene, poly-paraxylylene, (Paralene), urethanes, silicones, and acrylics, provided its strength is sufficient to resist die warping. Carrier tapes 62 are generally used to protect and hold the semiconductor dice 20 in place during sawing of the wafer 10 and enable convenient pick-off of individual semiconductor die 20 for transfer to a substrate in a semiconductor die 20 attach step.
  • Another embodiment of the invention utilizes a tape as a stress-[0068] balancing layer 50, wherein stresses in the plane of the tape are resisted by rigidity. The tape may be monolayer or multilayer and may be formed of or include a metal film. It is important to utilize an adhesive which will rigidly adhere to the tape and die 20 as a very thin film. The tape may be thinly adhesed on both sides, for temporary attachment to a carrier tape 62 or for permanent semiconductor die attach to a circuit board or other substrate, not shown in the figures.
  • Whether the stress-[0069] balancing layer 50 is applied as a tape or as a CVD layer, it may be applied to overcover the entire thinned ground surface 24, or alternatively cover selected portions of the wafer 10 or of each semiconductor die 20. For example, as shown in FIG. 18, an SBL 50 may be applied in various patterns 50X, 50Y and 50Z on the thinned semiconductor die back side 12 of each semiconductor die 20 of a wafer 10. As shown in these examples, stress-balancing layer 50X is configured to cover the entire back side 12 as a contiguous layer. In another embodiment, the stress-balancing layer 50Y may be patterned, for example, as a continuous longitudinal strip extending over a row of semiconductor dice 20. In a further variation, stress-balancing layers 50Z are shown as discrete coverings for each individual semiconductor die. The SBL 50Z is shown as comprising a rectangular covering over a majority of a semiconductor die back side 12. However, it may comprise any pattern 68 which achieves the desired stress balancing. If applied as a tape, stress-balancing layer 50 may be applied by an automated process such that the tape is in a highly regular and standard pattern corresponding to placement on predetermined specific areas on the semiconductor dice 20, or on wafer areas which will correspond to individual semiconductor dice after singulation. As such, vision systems for reading marks on semiconductor dice can be adjusted to scan the desired marked areas. In the view of FIG. 18, the outer surface 36 of the stress- balancing layer 50X, 50Y or 50Z is uniformly planar for subsequent semiconductor die attach.
  • Although the stress-[0070] balancing layer 50 may be sometimes usefully applied to already singulated die 20, it is preferred to apply stress-balancing layer 50 to the wafer ground surface 24 prior to singulation, because this avoids warpage resulting from singulation and is generally more cost-effective.
  • [0071] Exemplary semiconductor dice 20C and 20D formed by the method of the invention are illustrated in FIGS. 17A and 17B. As illustrated in FIGS. 17A and 17B, a stress- balancing layer 50C or 50D is applied to the back side 12 of a semiconductor die 20C, 20D, respectively. This layer 50C or 50D balances stress forces 18 in die 20C or 20D caused by compressive or tensile stress forces 16 in passivation layer 40C and 40D, and maintain the semiconductor dice in a generally warp-free condition. The counter forces 70 in the SBL 50C, 50D balance the warping forces 16 in the passivation layer 40C, 40D.
  • The [0072] SBL 50 is particularly useful when it, or an adhesive layer 48 applied thereto, is configured to be used as an indicia marking layer. FIG. 19 illustrates in a simplified schematic view of a conventional laser marking system 100 capable of readily marking semiconductor dice 20 to which a laser markable material has been applied. The system 100 comprises a laser 102, a lens system 104, a shadow mask 106 and a laser control system 108 for monitoring and controlling the function of the apparatus. For purposes of this invention, a “laser” is considered to be any optical energy source capable of marking a surface of a stress-balancing layer 50 through the use of light energy and/or heat. Preferably, laser 102 is comprised of an Nd:YAG (yttrium aluminum garnet), Nd:YLP (pulsed yttrium fiber laser), carbon dioxide, or other suitable optical energy devices known in the art. It is understood, however, that laser 102 may also comprise an ultraviolet (UV) energy source or other energy beam. When laser 102 is energized, an intense beam of light 115 is projected from lens system 104 through shadow mask 106 onto the surface of the laser markable material (SBL 50 or adhesive 48 applied thereto). When laser beam 115 impinges on laser markable material 50, 48, the material in, on, embedded in, attached to or under the material is altered, e.g., by heating, vaporization, burning, melting, chemical reaction, residue or dye transfer, or combinations thereof. The result comprises a color or texture change, or both, having an image of shadow mask 106 appearing on the ground surface 24 of the semiconductor die 20. Although a shadow mask 106 is shown in this embodiment, the present invention contemplates computer-directed operation, including mechanical movement of laser 102 in conjunction with, or without, shadow mask 106.
  • The stress-[0073] balancing layer 50 may advantageously be formed of a material which also has antistatic properties.
  • The present invention is applicable to semiconductor dice of any electronic or physical configuration, in which stresses tending to warp the semiconductor dice are present. Thus, for example, the semiconductor die may be one of a DIP, SIP, ZIP, PLCC, SOJ, SIMM, DIMM, LCC, QFP, SOP, TSOP, flip-chip, etc. [0074]
  • Thus, in the present invention, a [0075] layer 50 may be formed on the ground surface 24 of a semiconductor wafer 10 to balance warping stresses in the subsequently singulated semiconductor dice 20. In addition, the layer 50 may be configured to be or support a markable layer on the die's thinned ground surface 24. The layer 50 may also be configured to comprise or support a semiconductor die attach adhesive or carrier adhesive.
  • The stress-[0076] balancing layer 50 which is applied to the back side 12, 24 of a thinned wafer 10 may comprise only a very small portion of the Z dimension of the subsequently singulated dice. The thickness 42 of the SBL 50 will depend upon the footprint size and thickness of the die and will generally be larger as the footprint increases. Typically, for the stresses normally encountered in small semiconductor dice 20, i.e., less than about 10 mm in the X and Y dimensions and less than about 1.5 mm in the Z dimension, the thickness 42 of the SBL 50 is generally less than about 0.1 mm and effectively prevents or counteracts the tendency to warp. The SBL 50 may be formed of the same material as the stress-causing passivation layer 40 and be of a similar thickness 42.
  • While the present invention has been disclosed herein in terms of certain exemplary embodiments, those of ordinary skill in the art will recognize and appreciate that it is not so limited. Various combinations or modifications to the disclosed embodiments may be effected without departing from the scope of the invention. Moreover, features from one embodiment may be combined with features from other embodiments. [0077]
  • For example, this invention, while being described with reference to semiconductor wafers containing integrated circuits and individual semiconductor dice, has equal utility to any type of substrate in which compressive or tensile stresses require balancing. Furthermore, the invention finds added utility where two or more of stress balancing, marking, adhesion, and surface protection of a substrate are desired to be simultaneously achieved. The scope of the instant invention is only to be limited by the claims which follow and equivalents thereof. [0078]

Claims (24)

What is claimed is:
1. A semiconductor die, comprising:
a semiconductor substrate having a front side and a back side;
an integrated circuit on a portion of said front side;
a passivation layer covering a portion of said integrated circuit; and
a stress-balancing layer covering at least a portion of said back side.
2. A semiconductor die in accordance with claim 1, wherein said stress-balancing layer comprises one of a single component layer, a substantially homogeneous mixture of a strong material in a matrix material, a heterogeneous composite of particles of a strong material in a matrix material, and a tape with rigidity in the X-Y plane.
3. A semiconductor die in accordance with claim 1, wherein said stress-balancing layer comprises an adhesive material.
4. A semiconductor die in accordance with claim 1, wherein said stress-balancing layer comprises a layer for laser-marking.
5. A semiconductor die in accordance with claim 1, further comprising an adhesive layer attached to said stress-balancing layer.
6. A nonwarp semiconductor die in accordance with claim 5, wherein said adhesive layer comprises a layer of material for laser-marking.
7. A nonwarp semiconductor die, comprising:
a semiconductor substrate having a front side, a back side, and a low ratio of height to a horizontal dimension;
an integrated circuit on said front side;
a passivation layer covering a portion of said integrated circuit exerting a stress on said substrate front side; and
a stress-balancing layer covering at least a portion of said back side, said stress-balancing layer for balancing a portion of said front side stress with a generally equivalent back side stress.
8. A nonwarp semiconductor die in accordance with claim 7, wherein said stress-balancing layer comprises one of a single component layer, a substantially homogeneous mixture of a strong material in a matrix material, a heterogeneous composite of particles of a strong material in a matrix material, and a tape with rigidity in the X-Y plane.
9. A nonwarp semiconductor die in accordance with claim 7, wherein said stress-balancing layer comprises an adhesive material.
10. A nonwarp semiconductor die in accordance with claim 9, wherein said stress-balancing layer comprises a layer of material for laser-marking.
11. A nonwarp semiconductor die in accordance with claim 7, further comprising an adhesive layer attached to said stress-balancing layer.
12. A nonwarp semiconductor die in accordance with claim 11, wherein said adhesive layer for laser-marking comprises a layer of material for laser-marking.
13. A semiconductor die, comprising:
a semiconductor substrate having a front side having an integrated circuit on a portion thereof and a back side;
a passivation layer covering a portion of said integrated circuit; and
a stress-balancing layer covering at least a portion of said back side.
14. The semiconductor die of claim 13, wherein said stress-balancing layer comprises one of a single component layer, a substantially homogeneous mixture of a strong material in a matrix material, a heterogeneous composite of particles of a strong material in a matrix material, and a tape with rigidity in the X-Y plane.
15. The semiconductor die of claim 13, wherein said stress-balancing layer comprises an adhesive material.
16. The semiconductor die of claim 13, wherein said stress-balancing layer comprises a layer for laser-marking.
17. The semiconductor die of claim 13, further comprising an adhesive layer attached to said stress-balancing layer.
18. The semiconductor die of claim 17, wherein said adhesive layer comprises a layer of material for laser-marking.
19. A reduced stress semiconductor die, comprising:
a semiconductor substrate having a front side, a back side, and a low ratio of the height of the semiconductor substrate to a horizontal dimension of the semiconductor substrate;
an integrated circuit on said front side of the semiconductor substrate;
a passivation layer covering a portion of said integrated circuit causing a force acting on a portion of said substrate front side; and
a force-balancing layer covering at least a portion of said back side, said force-balancing layer for balancing a portion of said force on said front side.
20. The semiconductor die of claim 19, wherein said force-balancing layer comprises one of a single component layer, a substantially homogeneous mixture of a strong material in a matrix material, a heterogeneous composite of particles of a strong material in a matrix material, and a tape with rigidity in the X-Y plane.
21. The semiconductor die of claim 19, wherein said stress-balancing layer comprises an adhesive material.
22. The semiconductor die of claim 21, wherein said stress-balancing layer comprises a layer of material for laser-marking.
23. The semiconductor die of claim 19, further comprising an adhesive layer attached to said stress-balancing layer.
24. The semiconductor die of claim 23, wherein said adhesive layer for laser-marking comprises a layer of material for laser-marking.
US10/706,212 2002-02-25 2003-11-12 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as a die attach adhesive Abandoned US20040104491A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/706,212 US20040104491A1 (en) 2002-02-25 2003-11-12 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as a die attach adhesive

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/082,372 US7169685B2 (en) 2002-02-25 2002-02-25 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US10/706,212 US20040104491A1 (en) 2002-02-25 2003-11-12 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as a die attach adhesive

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/082,372 Division US7169685B2 (en) 2002-02-25 2002-02-25 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive

Publications (1)

Publication Number Publication Date
US20040104491A1 true US20040104491A1 (en) 2004-06-03

Family

ID=27753075

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/082,372 Expired - Lifetime US7169685B2 (en) 2002-02-25 2002-02-25 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US10/706,212 Abandoned US20040104491A1 (en) 2002-02-25 2003-11-12 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as a die attach adhesive
US11/269,069 Expired - Lifetime US7727785B2 (en) 2002-02-25 2005-11-07 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/082,372 Expired - Lifetime US7169685B2 (en) 2002-02-25 2002-02-25 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/269,069 Expired - Lifetime US7727785B2 (en) 2002-02-25 2005-11-07 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive

Country Status (1)

Country Link
US (3) US7169685B2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050248004A1 (en) * 2004-05-10 2005-11-10 Mosel Vitelic, Inc. Wafer and the manufacturing and reclaiming methods thereof
US20060030129A1 (en) * 2004-08-05 2006-02-09 Disco Corporation Method and apparatus for dividing an adhesive film mounted on a wafer
US7015064B1 (en) * 2004-04-23 2006-03-21 National Semiconductor Corporation Marking wafers using pigmentation in a mounting tape
US7101620B1 (en) 2004-09-07 2006-09-05 National Semiconductor Corporation Thermal release wafer mount tape with B-stage adhesive
US7135385B1 (en) 2004-04-23 2006-11-14 National Semiconductor Corporation Semiconductor devices having a back surface protective coating
US20070161234A1 (en) * 2006-01-11 2007-07-12 Rinne Glenn A Methods of Forming Back Side Layers for Thinned Wafers and Related Structures
US20070187816A1 (en) * 2005-10-10 2007-08-16 Infineon Technologies Ag Semiconductor component with semiconductor chip and adhesive film, and method for its production
US20070210427A1 (en) * 2006-03-10 2007-09-13 Lytle William H Warp compensated package and method
US20070212813A1 (en) * 2006-03-10 2007-09-13 Fay Owen R Perforated embedded plane package and method
US20080286918A1 (en) * 2007-05-15 2008-11-20 Novellus Systems, Inc. Methods for Fabricating Semiconductor Structures With Backside Stress Layers
US20090121347A1 (en) * 2005-06-29 2009-05-14 Rohm Co., Ltd. Semiconductor Device and Semiconductor Device Assembly
US20090152707A1 (en) * 2007-12-17 2009-06-18 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US20090189279A1 (en) * 2008-01-24 2009-07-30 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US20100015329A1 (en) * 2008-07-16 2010-01-21 National Semiconductor Corporation Methods and systems for packaging integrated circuits with thin metal contacts
US20100102435A1 (en) * 2008-10-28 2010-04-29 Advanced Micro Devices, Inc. Method and apparatus for reducing semiconductor package tensile stress
US20100255636A1 (en) * 2007-03-13 2010-10-07 Micron Technology, Inc. Packaged semiconductor components having substantially rigid support members and methods of packaging semiconductor components
US8030138B1 (en) 2006-07-10 2011-10-04 National Semiconductor Corporation Methods and systems of packaging integrated circuits
CN102222623A (en) * 2010-04-14 2011-10-19 富士电机株式会社 Method of manufacturing semiconductor apparatus
CN105810557A (en) * 2014-12-31 2016-07-27 格科微电子(上海)有限公司 Semiconductor wafer, flattening method therefor, and packaging method
CN107946283A (en) * 2017-11-27 2018-04-20 华进半导体封装先导技术研发中心有限公司 A kind of wafer slide glass bonding structure and the method appeared using structure progress TSV
US10340227B2 (en) * 2015-03-26 2019-07-02 Infineon Technologies Ag Method for processing a die

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1447844A3 (en) * 2003-02-11 2004-10-06 Axalto S.A. Reinforced semiconductor wafer
JP4123027B2 (en) * 2003-03-31 2008-07-23 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP2004361878A (en) * 2003-06-09 2004-12-24 Sano Fuji Koki Co Ltd Method for manufacturing chip type film deposited component
US7470462B2 (en) * 2004-02-20 2008-12-30 Rochester Institute Of Technology Method to control residual stress in a film structure and a system thereof
DE102004008475A1 (en) * 2004-02-20 2005-09-29 Infineon Technologies Ag Semiconductor chip breaking strength increasing method for use during manufacturing of chips, involves applying coating on backside of wafer for protecting chips from physical or chemical effects, where thickness of coating is large
JP4878738B2 (en) * 2004-04-30 2012-02-15 株式会社ディスコ Semiconductor device processing method
US6984876B2 (en) 2004-05-27 2006-01-10 Semiconductor Components Industries, L.L.C. Semiconductor device formed having a metal layer for conducting the device current and for high contrast marking and method thereof
US7459377B2 (en) * 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7371630B2 (en) * 2004-09-24 2008-05-13 Intel Corporation Patterned backside stress engineering for transistor performance optimization
US7354790B2 (en) * 2005-01-19 2008-04-08 Lsi Logic Corporation Method and apparatus for avoiding dicing chip-outs in integrated circuit die
KR100660868B1 (en) * 2005-07-06 2006-12-26 삼성전자주식회사 Semiconductor package with molded back side and method for fabricating the same
US20070093038A1 (en) * 2005-10-26 2007-04-26 Andreas Koenig Method for making microchips and microchip made according to this method
US7939368B2 (en) * 2006-03-07 2011-05-10 Stats Chippac Ltd. Wafer level chip scale package system with a thermal dissipation structure
US7378293B2 (en) * 2006-03-22 2008-05-27 Texas Instruments Incorporated MEMS fabrication method
JP4786403B2 (en) * 2006-04-20 2011-10-05 エルピーダメモリ株式会社 Semiconductor device and manufacturing method thereof
US7880278B2 (en) 2006-05-16 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having stress tuning layer
US7700458B2 (en) * 2006-08-04 2010-04-20 Stats Chippac Ltd. Integrated circuit package system employing wafer level chip scale packaging
US8293584B2 (en) * 2006-08-04 2012-10-23 Stats Chippac Ltd. Integrated circuit package system with filled wafer recess
US7422707B2 (en) * 2007-01-10 2008-09-09 National Starch And Chemical Investment Holding Corporation Highly conductive composition for wafer coating
US20080237811A1 (en) * 2007-03-30 2008-10-02 Rohit Pal Method for preserving processing history on a wafer
US7622365B2 (en) * 2008-02-04 2009-11-24 Micron Technology, Inc. Wafer processing including dicing
US8115195B2 (en) * 2008-03-20 2012-02-14 Siltronic Ag Semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
RU2011105637A (en) * 2008-07-16 2012-08-27 Конинклейке Филипс Электроникс Н.В. (Nl) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE
JP2010027857A (en) * 2008-07-18 2010-02-04 Disco Abrasive Syst Ltd Semiconductor device manufacturing method
US7943489B2 (en) * 2008-09-25 2011-05-17 Texas Instruments Incorporated Bonded wafer assembly system and method
US8257985B2 (en) 2008-09-25 2012-09-04 Texas Instruments Incorporated MEMS device and fabrication method
US9054111B2 (en) * 2009-04-07 2015-06-09 Freescale Semiconductor, Inc. Electronic device and method of packaging an electronic device
US20100314725A1 (en) * 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
JP2011091286A (en) * 2009-10-26 2011-05-06 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
JP2013513944A (en) 2009-12-11 2013-04-22 ナショナル セミコンダクター コーポレーション Backside stress compensation of gallium nitride or other nitride-based semiconductor devices
CN102034912B (en) * 2009-12-29 2015-03-25 比亚迪股份有限公司 Light-emitting diode epitaxial wafer, manufacturing method and manufacturing method of chip
US9312260B2 (en) 2010-05-26 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and manufacturing methods thereof
US8455991B2 (en) 2010-09-24 2013-06-04 Stats Chippac Ltd. Integrated circuit packaging system with warpage control and method of manufacture thereof
US8963337B2 (en) * 2010-09-29 2015-02-24 Varian Semiconductor Equipment Associates Thin wafer support assembly
CN102005521A (en) * 2010-11-16 2011-04-06 王明利 Processing method of light-emitting diode (LED) chip
US8487405B2 (en) * 2011-02-17 2013-07-16 Maxim Integrated Products, Inc. Deep trench capacitor with conformally-deposited conductive layers having compressive stress
US9196672B2 (en) 2012-01-06 2015-11-24 Maxim Integrated Products, Inc. Semiconductor device having capacitor integrated therein
US9608130B2 (en) 2011-12-27 2017-03-28 Maxim Integrated Products, Inc. Semiconductor device having trench capacitor structure integrated therein
US8927334B2 (en) 2012-09-25 2015-01-06 International Business Machines Corporation Overcoming chip warping to enhance wetting of solder bumps and flip chip attaches in a flip chip package
US20140131897A1 (en) * 2012-11-15 2014-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage Control for Flexible Substrates
US9230888B2 (en) * 2013-02-11 2016-01-05 Henkel IP & Holding GmbH Wafer back side coating as dicing tape adhesive
US20140225231A1 (en) * 2013-02-12 2014-08-14 International Business Machines Corporation Modulating bow of thin wafers
US9882075B2 (en) 2013-03-15 2018-01-30 Maxim Integrated Products, Inc. Light sensor with vertical diode junctions
US9184041B2 (en) 2013-06-25 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside structures to reduce substrate warp
JP6211833B2 (en) * 2013-07-02 2017-10-11 浜松ホトニクス株式会社 Fabry-Perot interference filter
US20150044783A1 (en) * 2013-08-12 2015-02-12 Micron Technology, Inc. Methods of alleviating adverse stress effects on a wafer, and methods of forming a semiconductor device
US9397051B2 (en) 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
TWI559371B (en) * 2014-04-09 2016-11-21 東京威力科創股份有限公司 Method for correcting wafer bow from overlay
CN104157577B (en) * 2014-08-26 2016-11-02 上海华虹宏力半导体制造有限公司 The forming method of semiconductor device
US9613915B2 (en) 2014-12-02 2017-04-04 International Business Machines Corporation Reduced-warpage laminate structure
DE102015217202A1 (en) * 2015-09-09 2017-03-09 Robert Bosch Gmbh Trench capacitor and method of making a trench capacitor
DE112015006931T5 (en) * 2015-09-23 2018-06-14 Intel Corporation Method for manufacturing ultra-thin wafers
US9978582B2 (en) * 2015-12-16 2018-05-22 Ostendo Technologies, Inc. Methods for improving wafer planarity and bonded wafer assemblies made from the methods
US10867834B2 (en) * 2015-12-31 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
JP7164289B2 (en) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 Position-Specific Tuning of Bow-Controlling Stress to Control Overlay During Semiconductor Processing
US10347591B2 (en) 2016-09-16 2019-07-09 Ii-Vi Delaware, Inc. Metallic, tunable thin film stress compensation for epitaxial wafers
US10811305B2 (en) * 2016-09-22 2020-10-20 International Business Machines Corporation Wafer level integration including design/co-design, structure process, equipment stress management, and thermal management
US9997348B2 (en) 2016-09-28 2018-06-12 International Business Machines Corporation Wafer stress control and topography compensation
JP7289031B2 (en) 2017-07-28 2023-06-09 東京エレクトロン株式会社 System and method for substrate backside deposition
KR102484394B1 (en) 2017-12-06 2023-01-03 삼성전자주식회사 Semiconductor devices
KR102498148B1 (en) * 2018-09-20 2023-02-08 삼성전자주식회사 Method for fabricating a semiconductor device
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US11043437B2 (en) 2019-01-07 2021-06-22 Applied Materials, Inc. Transparent substrate with light blocking edge exclusion zone
US11469096B2 (en) * 2019-05-03 2022-10-11 Applied Materials, Inc. Method and chamber for backside physical vapor deposition
US10790296B1 (en) * 2019-05-21 2020-09-29 Sandisk Technologies Llc Distortion-compensated wafer bonding method and apparatus using a temperature-controlled backside thermal expansion layer
WO2021139510A1 (en) * 2020-01-10 2021-07-15 宁波舜宇光电信息有限公司 Photosensitive chip assembly, camera module, and terminal device
US11430745B2 (en) 2020-03-02 2022-08-30 Sandisk Technologies Llc Semiconductor die containing silicon nitride stress compensating regions and method for making the same
US11688642B2 (en) * 2021-01-26 2023-06-27 Tokyo Electron Limited Localized stress regions for three-dimension chiplet formation
CN112951787A (en) * 2021-01-27 2021-06-11 上海先方半导体有限公司 Low-stress surface passivation structure for three-dimensional chip stacking
CN113410351B (en) * 2021-06-17 2022-11-08 錼创显示科技股份有限公司 Epitaxial semiconductor structure and epitaxial substrate
TWI777621B (en) 2021-06-17 2022-09-11 錼創顯示科技股份有限公司 Epitaxial semiconductor structure and epitaxial substrate
US11923205B2 (en) 2021-12-17 2024-03-05 United Microelectronics Corporation Method for manufacturing semiconductor device
CN115377248A (en) * 2022-09-14 2022-11-22 武汉敏芯半导体股份有限公司 Method for manufacturing composite insulating film

Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US577162A (en) * 1897-02-16 schiemer
US2413767A (en) * 1944-10-17 1947-01-07 Crucible Steel Company Conveyer mechanism
US2495541A (en) * 1946-11-20 1950-01-24 Central Foundry Company Metal pouring apparatus for centrifugal castings
US3239218A (en) * 1963-11-13 1966-03-08 Hudson D Reeves Wedge-type stack leveling platform for sheet material
US3799017A (en) * 1972-09-14 1974-03-26 D Halligan Component lead cutter
US3861969A (en) * 1970-03-31 1975-01-21 Hitachi Ltd Method for making III{14 V compound semiconductor devices
US3937386A (en) * 1973-11-09 1976-02-10 General Motors Corporation Flip chip cartridge loader
US4024545A (en) * 1974-04-22 1977-05-17 Mb Associates Laser-excited marking system
US4027246A (en) * 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
US4148057A (en) * 1977-10-25 1979-04-03 Solution Sciences, Inc. Direct laser printing and forming apparatus
US4203486A (en) * 1977-01-11 1980-05-20 Anchor Hocking Corporation Food preparation apparatus and process
US4323755A (en) * 1979-09-24 1982-04-06 Rca Corporation Method of making a machine-readable marking in a workpiece
US4370542A (en) * 1980-05-22 1983-01-25 Westland Aircraft Limited Cable marking method and apparatus
US4375025A (en) * 1980-06-19 1983-02-22 Automated Industrial Systems, Inc. Laser strip marker
US4382580A (en) * 1979-04-11 1983-05-10 Handelsbolaget Rodoverken Lifting and shifting apparatus
US4493767A (en) * 1983-04-28 1985-01-15 Guido Monteyne Conveyor belt for transporting slag material from a filtering apparatus
US4510673A (en) * 1983-06-23 1985-04-16 International Business Machines Corporation Laser written chip identification method
US4513539A (en) * 1983-03-15 1985-04-30 Acrometal Products, Inc. Position setting device for abrasive belt grinding machine
US4517436A (en) * 1982-09-20 1985-05-14 Automated Industrial Systems Laser marker for articles of manufacture
US4577563A (en) * 1984-07-11 1986-03-25 Sidler Karl J Safekeeping box assembly
US4585931A (en) * 1983-11-21 1986-04-29 At&T Technologies, Inc. Method for automatically identifying semiconductor wafers
US4638144A (en) * 1985-04-24 1987-01-20 Automated Industrial Systems Indexing laser marker
US4644126A (en) * 1984-12-14 1987-02-17 Ford Motor Company Method for producing parallel-sided melt zone with high energy beam
US4654290A (en) * 1985-02-01 1987-03-31 Motorola, Inc. Laser markable molding compound, method of use and device therefrom
US4660282A (en) * 1985-12-16 1987-04-28 Pfaff Wayne Method and apparatus for unloading electronic circuit packages from zero insertion force sockets
US4665298A (en) * 1984-08-20 1987-05-12 Fiat Auto S.P.A. Apparatus for effecting treatment of metal workpieces by means of a power laser
US4718531A (en) * 1986-02-24 1988-01-12 Amp Incorporated Electrical connector pick-up station
US4719502A (en) * 1985-08-07 1988-01-12 Kabushiki Kaisha Toshiba Epoxy resin composition, and resin-sealed semiconductor device in which this composition is used
US4720317A (en) * 1985-02-14 1988-01-19 Bando Chemical Industries, Ltd. Method for dicing a semiconductor wafer
US4818835A (en) * 1987-03-02 1989-04-04 Hitachi, Ltd. Laser marker and method of laser marking
US4821151A (en) * 1985-12-20 1989-04-11 Olin Corporation Hermetically sealed package
US4832612A (en) * 1986-10-31 1989-05-23 Amp Incorporated Protective carrier and securing means therefor
US4891242A (en) * 1986-07-05 1990-01-02 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Substrate of a hybrid ic, method of forming a circuit pattern and apparatus of forming the same
US4914269A (en) * 1989-07-24 1990-04-03 Micron Technology, Inc. Method of sealing a ceramic lid on a ceramic semiconductor package with a high-power laser
US4915565A (en) * 1984-03-22 1990-04-10 Sgs-Thomson Microelectronics, Inc. Manipulation and handling of integrated circuit dice
US4916293A (en) * 1987-02-25 1990-04-10 Rolls-Royce Plc Identification in manufacture
US4990051A (en) * 1987-09-28 1991-02-05 Kulicke And Soffa Industries, Inc. Pre-peel die ejector apparatus
US5003251A (en) * 1989-09-12 1991-03-26 Grumman Aerospace Corporation Bar code reader for printed circuit board
USH906H (en) * 1990-06-04 1991-04-02 The United States Of America As Represented By The United States Department Of Energy Wedge assembly for electrical transformer component spacing
US5090664A (en) * 1991-04-10 1992-02-25 General Electric Company Combination jack and roller for precision movement of heavy loads
US5102291A (en) * 1987-05-21 1992-04-07 Hine Design Inc. Method for transporting silicon wafers
US5177368A (en) * 1991-10-18 1993-01-05 The Coca-Cola Company Method and device for corrupting bar codes on articles prior to packing
US5183783A (en) * 1990-12-28 1993-02-02 Shin-Etsu Handotai Co., Ltd Method for production of dielectric-separation substrate
US5197650A (en) * 1990-09-18 1993-03-30 Sharp Kabushiki Kaisha Die bonding apparatus
US5203143A (en) * 1992-03-28 1993-04-20 Tempo G Multiple and split pressure sensitive adhesive stratums for carrier tape packaging system
US5204987A (en) * 1990-01-12 1993-04-20 Hans Klingel Apparatus for treating steel edges of skis and other runner devices
US5206280A (en) * 1990-03-15 1993-04-27 British Aerospace Public Limited Company Laser markable white pigment composition
US5278442A (en) * 1991-07-15 1994-01-11 Prinz Fritz B Electronic packages and smart structures formed by thermal spray deposition
US5279975A (en) * 1992-02-07 1994-01-18 Micron Technology, Inc. Method of testing individual dies on semiconductor wafers prior to singulation
US5289113A (en) * 1989-08-01 1994-02-22 Analog Devices, Inc. PROM for integrated circuit identification and testing
US5290134A (en) * 1991-12-03 1994-03-01 Advantest Corporation Pick and place for automatic test handler
US5294812A (en) * 1990-09-14 1994-03-15 Kabushiki Kaisha Toshiba Semiconductor device having identification region for carrying out failure analysis
US5295778A (en) * 1991-03-27 1994-03-22 Matsushita Electric Industrial Co., Ltd. Assembling apparatus
US5300172A (en) * 1991-11-01 1994-04-05 The Furukawa Electric Co., Ltd. Surface-protection method during etching
US5299902A (en) * 1991-01-22 1994-04-05 Matsushita Electric Industrial Co., Ltd. Chip-type electronic element supplying apparatus
US5301143A (en) * 1992-12-31 1994-04-05 Micron Semiconductor, Inc. Method for identifying a semiconductor die using an IC with programmable links
US5302798A (en) * 1991-04-01 1994-04-12 Canon Kabushiki Kaisha Method of forming a hole with a laser and an apparatus for forming a hole with a laser
US5307010A (en) * 1991-01-11 1994-04-26 Texas Instruments Incorporated Wafer burn-in and test system
US5379514A (en) * 1992-11-19 1995-01-10 Matsushita Electric Industrial Co., Ltd. Electronic component installing apparatus and method
US5399828A (en) * 1992-01-14 1995-03-21 Alza Corporation Laser tablet treatment system with dual access to tablet
US5402563A (en) * 1992-08-28 1995-04-04 Hitachi, Ltd. Apparatus for removing electronic device from printed circuit board
US5481135A (en) * 1992-08-31 1996-01-02 Dow Corning Corporation Hermetic protection for integrated circuits
US5479694A (en) * 1993-04-13 1996-01-02 Micron Technology, Inc. Method for mounting integrated circuits onto printed circuit boards and testing
US5481202A (en) * 1993-06-17 1996-01-02 Vlsi Technology, Inc. Optical scan and alignment of devices under test
US5484314A (en) * 1994-10-13 1996-01-16 Micron Semiconductor, Inc. Micro-pillar fabrication utilizing a stereolithographic printing process
US5487471A (en) * 1994-10-19 1996-01-30 Molex Incorporated Packaging container assembly for electrical connector components
US5496432A (en) * 1994-06-06 1996-03-05 Somar Corporation Method and device for peeling a film
US5497033A (en) * 1993-02-08 1996-03-05 Martin Marietta Corporation Embedded substrate for integrated circuit modules
US5498851A (en) * 1992-08-27 1996-03-12 Mitsubishi Denki Kabushiki Kaisha Laser machining apparatus and method
US5590787A (en) * 1995-01-04 1997-01-07 Micron Technology, Inc. UV light sensitive die-pac for securing semiconductor dies during transport
US5600181A (en) * 1995-05-24 1997-02-04 Lockheed Martin Corporation Hermetically sealed high density multi-chip package
US5600150A (en) * 1992-06-24 1997-02-04 Robotic Vision Systems, Inc. Method for obtaining three-dimensional data from semiconductor devices in a row/column array and control of manufacturing of same with data to eliminate manufacturing errors
US5605641A (en) * 1992-11-25 1997-02-25 Komatsu Ltd. Method and apparatus for laser marking a continuously moving workpiece
US5717162A (en) * 1995-04-07 1998-02-10 Yamaichi Electronics Co., Ltd. IC carrier having a movable and stationary corner rulers
US5715592A (en) * 1994-11-08 1998-02-10 Nec Corporation Parts disassembling apparatus
US5719372A (en) * 1994-11-17 1998-02-17 Nec Corporation Laser marking system and method using controlled pulse width of Q-switch
US5722639A (en) * 1995-05-26 1998-03-03 Matsuo Engineering Co., Ltd. Multistage wedge-shaped jack apparatus
US5728624A (en) * 1992-07-28 1998-03-17 Harris Corporation Bonded wafer processing
US5731954A (en) * 1996-08-22 1998-03-24 Cheon; Kioan Cooling system for computer
US5855969A (en) * 1996-06-10 1999-01-05 Infosight Corp. CO2 laser marking of coated surfaces for product identification
US5856230A (en) * 1996-12-31 1999-01-05 Hyundai Electronics Industries Co., Ltd. Method for making field oxide of semiconductor device
US5858923A (en) * 1995-03-17 1999-01-12 Agro-Kanesho Co., Ltd. Hexahydropyridazine derivative and herbicide containing the same as active ingredient
US5866644A (en) * 1997-03-17 1999-02-02 General Electric Company Composition for laser marking
US5870820A (en) * 1997-02-07 1999-02-16 Mitsubishi Denki Kabushiki Kaisha IC mounting/demounting system and mounting/demounting head therefor
US5875544A (en) * 1997-09-18 1999-03-02 Chou; Shu Chun Yu Multifunctional IC hand tool
US5893760A (en) * 1996-03-27 1999-04-13 Kabushiki Kaisha Toshiba Method of heat treating a semiconductor wafer to reduce stress
US6011315A (en) * 1996-12-13 2000-01-04 Sharp Kabushiki Kaisha Semiconductor device and film carrier tape and respective manufacturing methods thereof
US6023042A (en) * 1995-10-09 2000-02-08 Balzers Und Leybold Deutschland Holding Ag Apparatus for the production of exposed and metallized substrates
US6028134A (en) * 1995-07-12 2000-02-22 Teijin Limited Thermoplastic resin composition having laser marking ability
US6030485A (en) * 1997-08-25 2000-02-29 Fujitsu Limited Method and apparatus for manufacturing a semiconductor device
US6188129B1 (en) * 1997-03-24 2001-02-13 Hyundai Electronics Industries Co., Ltd. Stacked semiconductor chip package having external terminal pads and stackable chips having a protection layer
US6202292B1 (en) * 1998-08-26 2001-03-20 Micron Technology, Inc. Apparatus for removing carrier film from a semiconductor die
US6352803B1 (en) * 1999-06-07 2002-03-05 The Regents Of The University Of California Coatings on reflective mask substrates
US20020048906A1 (en) * 2000-10-20 2002-04-25 Tadahiko Sakai Semiconductor device, method of manufacturing the device and mehtod of mounting the device
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US20030017652A1 (en) * 1999-02-15 2003-01-23 Masako Sakaki Semiconductor device, its fabrication method and electronic device
US20030017626A1 (en) * 2001-07-23 2003-01-23 Motorola Inc. Method and apparatus for controlling propagation of dislocations in semiconductor structures and devices
US6524861B1 (en) * 1999-01-22 2003-02-25 Medical Laboratory Automation, Inc. Blood coagulation analyzer
US6692978B2 (en) * 2000-08-25 2004-02-17 Micron Technology, Inc. Methods for marking a bare semiconductor die

Family Cites Families (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA843232A (en) 1970-06-02 Colas Francois Handling pallets
US2357600A (en) 1942-08-24 1944-09-05 Walter W Pabst Conveyer
US2454548A (en) 1947-07-05 1948-11-23 Edward J Brinkert Lifting device
FR1284603A (en) 1961-01-05 1962-02-16 Removable camping shelf, forming support and flame arrestor for portable stoves
US3254776A (en) 1964-04-10 1966-06-07 Socony Mobil Oil Co Inc Pipe handling and storage apparatus
US3327889A (en) 1965-03-08 1967-06-27 Pentwater Wire Products Inc Folding container
US3625384A (en) 1968-09-26 1971-12-07 Ibm Article-handling apparatus
US3628672A (en) 1970-01-05 1971-12-21 Streator Dependable Mfg Co Captive pallet for load-stacking racks
CH518771A (en) 1970-05-30 1972-02-15 Waldrich Werkzeugmasch Alignment device for workpieces on machine tool tables
US3837510A (en) 1971-01-21 1974-09-24 Williams J Mc Method of loading bagged mail from a loading dock into a highway vehicle
US3751587A (en) 1972-01-20 1973-08-07 Saxon Ind Inc Laser printing system
US4058217A (en) 1973-05-01 1977-11-15 Unisearch Limited Automatic article sorting system
US3887997A (en) 1973-11-09 1975-06-10 Gen Motors Corp Magnetic alignment for semiconductor device bonding
US3917983A (en) 1973-11-12 1975-11-04 Bunker Ramo Multiwafer electrical circuit construction and method of making
US3903934A (en) 1974-12-31 1975-09-09 Mann Henry Inc Collapsible forming die
US3973665A (en) 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US4030622A (en) 1975-05-23 1977-06-21 Pass-Port Systems, Inc. Wafer transport system
US3969813A (en) 1975-08-15 1976-07-20 Bell Telephone Laboratories, Incorporated Method and apparatus for removal of semiconductor chips from hybrid circuits
US4095095A (en) 1976-03-31 1978-06-13 Tokyo Shibaura Electric Co., Ltd. Apparatus for manufacturing semiconductor devices
DE2720630C2 (en) 1977-05-07 1982-10-07 Ludwig Riedhammer GmbH & Co KG, 8500 Nürnberg Elongated sintering furnace inclined in length
US4097715A (en) 1977-05-16 1978-06-27 General Refractories Company Laser jet bell kiln
US4178531A (en) 1977-06-15 1979-12-11 Rca Corporation CRT with field-emission cathode
JPS5423001A (en) 1977-07-22 1979-02-21 Mitsubishi Mining & Cement Co Opencut mining method and apparatus
US4352617A (en) 1979-04-12 1982-10-05 Murata Kikai Kabushiki Kaisha Bucket stacking and conveying apparatus
US4285433A (en) 1979-12-19 1981-08-25 Western Electric Co., Inc. Method and apparatus for removing dice from a severed wafer
US4354770A (en) 1980-05-19 1982-10-19 The United States Of America As Represented By The Secretary Of The Navy Wedge assembly
US4346284A (en) 1980-06-18 1982-08-24 Philip Morris Incorporated Dual path web transport and processing apparatus with radiant energy directing means
DE3110235A1 (en) 1981-03-17 1982-10-21 Trumpf GmbH & Co, 7257 Ditzingen "METHOD AND DEVICE FOR FLAME-CUTTING BY MEANS OF A LASER BEAM"
US4454413A (en) 1982-02-19 1984-06-12 Precision Monolithics, Inc. Apparatus for tracking integrated circuit devices
US5014159A (en) * 1982-04-19 1991-05-07 Olin Corporation Semiconductor package
US4461073A (en) 1982-10-27 1984-07-24 At&T Technologies, Inc. Device for inserting and extracting circuit modules with dual-in-line leads
JPS59158596A (en) 1983-02-28 1984-09-08 奥井 徳次郎 Method of containing small-sized electronic part
JPS59132153A (en) 1983-01-18 1984-07-30 Mitsubishi Electric Corp Hybrid integrated circuit device
DE3306175A1 (en) 1983-02-23 1984-08-23 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt METHOD FOR DETECTING AND IDENTIFYING OBJECTS
US4523319A (en) 1983-05-10 1985-06-11 Brown University Laser tape systems
US4534695A (en) 1983-05-23 1985-08-13 Eaton Corporation Wafer transport system
US4778326A (en) 1983-05-24 1988-10-18 Vichem Corporation Method and means for handling semiconductor and similar electronic devices
US4543716A (en) 1983-09-23 1985-10-01 The Wiremold Company Method and apparatus for electrical connection of flat cables
US4561541A (en) 1983-09-26 1985-12-31 Spectrolab, Incorporated Carrier system for photovoltaic cells
US4539878A (en) 1983-10-31 1985-09-10 American Tech. Manufacturing, Inc. Method and apparatus for trimming the leads of electronic components
US5158818A (en) 1984-01-30 1992-10-27 National Starch And Chemical Investment Holding Corporation Conductive die attach tape
US4627787A (en) 1984-03-22 1986-12-09 Thomson Components-Mostek Corporation Chip selection in automatic assembly of integrated circuit
US4552271A (en) 1984-03-27 1985-11-12 Kranz Kermit W Collapsible container construction
USD288273S (en) 1984-04-02 1987-02-17 Gould Gertrude R Food support
JPS6129029A (en) 1984-07-19 1986-02-08 三菱電機株式会社 Method of indicating terminal number or like in solenoid relay or like
US4607744A (en) 1984-09-10 1986-08-26 Pak Chong Il Method and apparatus for removing integrated circuit chips from a flexible carrier
US4594263A (en) 1984-12-17 1986-06-10 Motorola, Inc. Laser marking method and ablative coating for use therein
US4707722A (en) 1984-12-17 1987-11-17 Motorola, Inc. Laser marking method and ablative coating for use therein
US4753863A (en) 1985-02-01 1988-06-28 Motorola Inc. Laser markable molding compound
US4630513A (en) 1985-02-14 1986-12-23 Ernst Keller Automatic dip trimmer apparatus
US4616414A (en) 1985-03-13 1986-10-14 At&T Technologies, Inc. Method and apparatus for gripping multilead articles
US4767984A (en) 1985-10-04 1988-08-30 Amp Incorporated Protective fixture for chip carrier
US4677370A (en) 1985-10-31 1987-06-30 Unisys Corporation Method of testing wire bonds for defects using a magnetic field
US4696096A (en) 1986-02-21 1987-09-29 Micro Electronic Systems, Inc. Reworking methods and apparatus for surface mounted technology circuit boards
US4884420A (en) 1986-02-24 1989-12-05 Dennis E. McGoldrick, Trustee Cage with floating nut assembly
US4711334A (en) 1986-08-28 1987-12-08 Barry Joseph A Telescopic chute for mixer discharge
US4772079A (en) 1986-09-26 1988-09-20 General Electric Co. Cover assembly for removably mounted electronic equipment
KR910000826B1 (en) 1986-11-14 1991-02-09 미쓰비시덴기 가부시기가이샤 Method of laser marking
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4749631B1 (en) 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
EP0276995B1 (en) 1987-01-28 1994-03-30 Mitsubishi Denki Kabushiki Kaisha Method of forming identifying indicium on cathode ray tubes
US4769345A (en) 1987-03-12 1988-09-06 Olin Corporation Process for producing a hermetically sealed package for an electrical component containing a low amount of oxygen and water vapor
US5245166A (en) 1987-04-20 1993-09-14 Cognitronics Imaging Systems, Inc. Method of processing a document carrying two kinds of indicia
US4790515A (en) 1987-07-27 1988-12-13 Silvano Pocci Force multiplier useful as a lifting device
DE3731835A1 (en) 1987-09-22 1989-03-30 Siemens Ag LASER BEAM INDUCED COLOR PRINTING
US4850780A (en) 1987-09-28 1989-07-25 Kulicke And Soffa Industries Inc. Pre-peel die ejector apparatus
US4926677A (en) 1987-12-03 1990-05-22 Kurt Waldner Die apparatus
US4967260A (en) 1988-05-04 1990-10-30 International Electronic Research Corp. Hermetic microminiature packages
US4944492A (en) 1988-06-24 1990-07-31 Matsuo Engineering Co., Ltd. Wedge-type jack apparatus for raising structure while sustaining very large pressure due to same and temporarily supporting the structure
JPH02133185A (en) 1988-11-10 1990-05-22 Mitsubishi Electric Corp Laser marking method for semiconductor device
EP0391848B1 (en) 1989-04-06 1993-09-01 Ciba-Geigy Ag Laser lettering of ceramic materials, glazes, glass ceramics and glasses
US4947981A (en) 1989-04-13 1990-08-14 Dorner Mfg. Corp. Apparatus for inverting articles
US5020959A (en) 1989-04-14 1991-06-04 Universal Instruments Corporation Adjustable shutter for containment of electrical components in tape feeders
US5127837A (en) 1989-06-09 1992-07-07 Labinal Components And Systems, Inc. Electrical connectors and IC chip tester embodying same
US4965927A (en) 1989-09-21 1990-10-30 Eli Holzman Apparatus for applying surface-mounted electronic components to printed circuit boards
JPH03106721A (en) * 1989-09-21 1991-05-07 Mitsubishi Electric Corp Vertical wafer transfer device
JP2513055B2 (en) * 1990-02-14 1996-07-03 日本電装株式会社 Method for manufacturing semiconductor device
US5128737A (en) 1990-03-02 1992-07-07 Silicon Dynamics, Inc. Semiconductor integrated circuit fabrication yield improvements
CA2014800A1 (en) 1990-04-18 1991-10-18 Siegfried Dojnik Die press unloader
US5018938A (en) * 1990-05-18 1991-05-28 At&T Bell Laboratories Method and apparatus for separating chips
US5153034A (en) 1990-05-23 1992-10-06 Binks Manufacturing Company Paint spray booth with plenum means of reduced cross section and method of operating the same
US5043657A (en) 1990-07-13 1991-08-27 Analog Devices, Incorporated Marking techniques for identifying integrated circuit parts at the time of testing
JP2921937B2 (en) 1990-07-18 1999-07-19 東京エレクトロン株式会社 IC inspection equipment
US5118369A (en) 1990-08-23 1992-06-02 Colorcode Unlimited Corporation Microlabelling system and process for making microlabels
US5219765A (en) 1990-09-12 1993-06-15 Hitachi, Ltd. Method for manufacturing a semiconductor device including wafer aging, probe inspection, and feeding back the results of the inspection to the device fabrication process
US5175774A (en) 1990-10-16 1992-12-29 Micron Technology, Inc. Semiconductor wafer marking for identification during processing
DE69130962T2 (en) 1990-11-07 1999-10-28 Teijin Ltd Polyester resin composition
TW225050B (en) 1990-11-30 1994-06-11 Hitachi Ltd
US5117963A (en) 1990-12-12 1992-06-02 Micron Technology, Inc. System for automated handling of symmetrical supply tubes
PL169904B1 (en) 1991-01-17 1996-09-30 United Distillers Plc Method of and apparatus for marking moving products
US5258236A (en) 1991-05-03 1993-11-02 Ibm Corporation Multi-layer thin film structure and parallel processing method for fabricating same
US5375320A (en) 1991-08-13 1994-12-27 Micron Technology, Inc. Method of forming "J" leads on a semiconductor device
US5315094A (en) 1991-09-23 1994-05-24 R. R. Donnelley & Sons Co. Automated receiving station for inventorying stock items
US5335458A (en) 1991-09-23 1994-08-09 Read-Rite Corporation Processing of magnetic head flexures with slider elements
JP2862413B2 (en) 1991-10-02 1999-03-03 ポリプラスチックス株式会社 Laser marking method
US5218894A (en) 1991-10-31 1993-06-15 Amp Incorporated Apparatus and method for cutting a pin header
US5148969A (en) 1991-11-27 1992-09-22 Digital Equipment Corporation Component reclamation apparatus and method
US5313156A (en) 1991-12-04 1994-05-17 Advantest Corporation Apparatus for automatic handling
US5323051A (en) 1991-12-16 1994-06-21 Motorola, Inc. Semiconductor wafer level package
US5256578A (en) 1991-12-23 1993-10-26 Motorola, Inc. Integral semiconductor wafer map recording
US5332463A (en) 1992-01-15 1994-07-26 Cray Research, Inc. Self-aligned sealing fixture for use in assembly of microelectronic packages
US5319183A (en) 1992-02-18 1994-06-07 Fujitsu Limited Method and apparatus for cutting patterns of printed wiring boards and method and apparatus for cleaning printed wiring boards
US5220754A (en) 1992-03-02 1993-06-22 Amad Tayebi Recovered compact disk and a method and an apparatus for recovery thereof
US5455459A (en) 1992-03-27 1995-10-03 Martin Marietta Corporation Reconstructable interconnect structure for electronic circuits
US5427349A (en) 1992-04-13 1995-06-27 Reo Hydraulic Pierce & Form, Inc. Adjustable base assembly
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5226361A (en) 1992-05-19 1993-07-13 Micron Technology, Inc. Integrated circuit marking and inspecting system
FR2691670B1 (en) 1992-05-26 1994-08-26 Plastic Omnium Cie Polytetrafluoroethylene tape suitable for laser marking.
JP3102822B2 (en) 1992-05-29 2000-10-23 日本ジーイープラスチックス株式会社 Resin composition for laser marking
US5322173A (en) 1992-06-09 1994-06-21 Kay Leslie A Ventilated wooden closet shelf and its method of construction
JPH08501900A (en) * 1992-06-17 1996-02-27 ハリス・コーポレーション Bonded wafer manufacturing method
US5463227A (en) 1992-06-24 1995-10-31 Robotic Vision Systems, Inc. Method for obtaining three-dimensional data from multiple parts or devices in a multi-pocketed tray
JP2707189B2 (en) 1992-08-26 1998-01-28 株式会社日立製作所 Method and apparatus for removing electronic component from substrate
US5268059A (en) 1992-09-02 1993-12-07 Vlsi Technology, Inc. Detaping machine for removal of integrated circuit devices from sealed pocket tape
DE69231785T2 (en) 1992-09-14 2001-11-15 Shellcase Ltd METHOD FOR PRODUCING INTEGRATED CIRCUIT ARRANGEMENTS
US5336928A (en) 1992-09-18 1994-08-09 General Electric Company Hermetically sealed packaged electronic system
US5445923A (en) 1992-09-30 1995-08-29 Somar Corporation Laser beam absorbing resin composition and laser beam marking method
JPH07110455B2 (en) 1992-10-27 1995-11-29 住友電気工業株式会社 Wafer fixing device
JP2588281Y2 (en) 1992-11-25 1999-01-06 株式会社小松製作所 Laser marking device
US5263567A (en) 1992-11-25 1993-11-23 Robotic Vision Systems, Inc. Horizontal vibrator method for orienting articles
JP2751089B2 (en) 1992-11-30 1998-05-18 大日本インキ化学工業株式会社 Laser marking method and printing ink
JPH0810729B2 (en) 1993-01-20 1996-01-31 日本電気株式会社 Stamping machine
TW245844B (en) 1993-01-29 1995-04-21 Komatsu Mfg Co Ltd
JP3309478B2 (en) 1993-02-26 2002-07-29 ソニー株式会社 Chip management system, input processing method and lot processing method thereof, and chip manufacturing method using chip management system
US5458158A (en) 1993-03-30 1995-10-17 Toyo Communication Equipment Co., Ltd. Lead cutting apparatus and an anticorrosive coat structure of lead
US5557148A (en) 1993-03-30 1996-09-17 Tribotech Hermetically sealed semiconductor device
US5329090A (en) 1993-04-09 1994-07-12 A B Lasers, Inc. Writing on silicon wafers
US5360747A (en) 1993-06-10 1994-11-01 Xilinx, Inc. Method of reducing dice testing with on-chip identification
US5365653A (en) 1993-08-03 1994-11-22 John Padrun Tool for inserting/removing integrated circuit chips
WO2004085108A1 (en) 1993-08-05 2004-10-07 Nobuhiko Tada Lead frame machining method and lead frame machining apparatus
JP2575406Y2 (en) 1993-08-24 1998-06-25 住友電装株式会社 Connector inspection device
CA2173123A1 (en) 1993-09-30 1995-04-06 Paul M. Zavracky Three-dimensional processor using transferred thin film circuits
US5566414A (en) 1993-10-20 1996-10-22 Matsuo Engineering Co., Ltd. Bridge raising/supporting method and bearing device for the method
AT400574B (en) 1993-10-21 1996-01-25 Isovolta HALOGEN-FREE RESIN MIXTURE, A SELF-EXTINGUISHING PREPREG AND ITS USE OF THIS RESIN MIXTURE
US5801067A (en) 1993-10-27 1998-09-01 Ronald Shaw Method for recording and identifying integrated circuit chips and the like
DE4338774A1 (en) 1993-11-12 1995-05-18 Baasel Carl Lasertech Device for labeling workpieces
DE4338656C2 (en) 1993-11-12 1995-11-16 Multiline International Europa Device for aligning printed circuit boards and image carriers
US5423716A (en) 1994-01-05 1995-06-13 Strasbaugh; Alan Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied
US5928842A (en) 1994-02-24 1999-07-27 Nippon Kayaku Kabushiki Kaisha Marking method
US5588797A (en) 1994-07-18 1996-12-31 Advantek, Inc. IC tray handling apparatus and method
US5654204A (en) 1994-07-20 1997-08-05 Anderson; James C. Die sorter
US5454900A (en) 1994-08-10 1995-10-03 Telford Industries Pte Ltd. Detaping apparatus
US5551959A (en) 1994-08-24 1996-09-03 Minnesota Mining And Manufacturing Company Abrasive article having a diamond-like coating layer and method for making same
US5632915A (en) 1994-08-29 1997-05-27 Gerber Garment Technology, Inc. Laser material processing apparatus and a work table therefor
US5465470A (en) 1994-08-31 1995-11-14 Lsi Logic Corporation Fixture for attaching multiple lids to multi-chip module (MCM) integrated circuit
DE19581661C2 (en) 1994-09-22 1998-11-26 Advantest Corp Ic receiving cup storage device and mounting device for this
DE69535603T2 (en) 1994-11-17 2008-02-21 Matsushita Electric Industrial Co., Ltd., Kadoma Optical disc, method of manufacturing an optical disc and reproducing apparatus
US5976955A (en) 1995-01-04 1999-11-02 Micron Technology, Inc. Packaging for bare dice employing EMR-sensitive adhesives
USD371021S (en) 1995-01-12 1996-06-25 Dynatec International, Inc. Expandable bookshelf
JPH08243765A (en) 1995-03-07 1996-09-24 Komatsu Ltd Laser marking device
US5692873A (en) 1995-03-31 1997-12-02 Motorola, Inc. Apparatus for holding a piece of semiconductor
US5665609A (en) 1995-04-21 1997-09-09 Sony Corporation Prioritizing efforts to improve semiconductor production yield
US5629484A (en) 1995-05-19 1997-05-13 Micron Technology, Inc. Method and apparatus for monitoring a laser ablation operation involving multiple ablation sites on a workpiece
US5793051A (en) 1995-06-07 1998-08-11 Robotic Vision Systems, Inc. Method for obtaining three-dimensional data from semiconductor devices in a row/column array and control of manufacturing of same with data to eliminate manufacturing errors
US5643477A (en) 1995-06-30 1997-07-01 Motoman Inc. Laser enclosure
US5648136A (en) 1995-07-11 1997-07-15 Minnesota Mining And Manufacturing Co. Component carrier tape
JP2763754B2 (en) 1995-07-27 1998-06-11 株式会社ケンウッド Recording medium playback device
US5638958A (en) 1995-09-08 1997-06-17 Micron Technology, Inc. Semiconductor film wafer cassette
US5701084A (en) * 1995-09-22 1997-12-23 Magnetrol International, Inc. Insulated capacitance probe
KR0175267B1 (en) 1995-09-30 1999-04-01 김광호 Die bonding device with pick-up tool for rotary motion
KR970030225A (en) 1995-11-08 1997-06-26 김광호 Manufacturing method of semiconductor small in which the backside of wafer is polished using UV tape
US6137125A (en) 1995-12-21 2000-10-24 The Whitaker Corporation Two layer hermetic-like coating for on-wafer encapsulatuon of GaAs MMIC's having flip-chip bonding capabilities
US5932119A (en) 1996-01-05 1999-08-03 Lazare Kaplan International, Inc. Laser marking system
JP3376198B2 (en) 1996-01-09 2003-02-10 日東電工株式会社 Protective member for semiconductor wafer
US5838361A (en) 1996-01-11 1998-11-17 Micron Technology, Inc. Laser marking techniques
US5838431A (en) 1996-01-16 1998-11-17 Asahi Kogaku Kogyo Kabushiki Kaisha Laser marking device
US5937270A (en) 1996-01-24 1999-08-10 Micron Electronics, Inc. Method of efficiently laser marking singulated semiconductor devices
JP3226780B2 (en) 1996-02-27 2001-11-05 東芝マイクロエレクトロニクス株式会社 Test handler for semiconductor devices
US5682065A (en) 1996-03-12 1997-10-28 Micron Technology, Inc. Hermetic chip and method of manufacture
US5827771A (en) * 1996-03-19 1998-10-27 Raytheon Company Readout backside processing for hybridization
EP0798765A3 (en) * 1996-03-28 1998-08-05 Shin-Etsu Handotai Company Limited Method of manufacturing a semiconductor wafer comprising a dopant evaporation preventive film on one main surface and an epitaxial layer on the other main surface
US5808268A (en) 1996-07-23 1998-09-15 International Business Machines Corporation Method for marking substrates
DE19630932A1 (en) 1996-07-31 1998-02-05 Wacker Siltronic Halbleitermat Carrier for a semiconductor wafer and use of the carrier
US5829115A (en) 1996-09-09 1998-11-03 General Electro Mechanical Corp Apparatus and method for actuating tooling
JP3844824B2 (en) 1996-11-26 2006-11-15 株式会社Adeka Energy ray-curable epoxy resin composition, resin composition for optical three-dimensional modeling, and optical three-dimensional modeling method
US5927512A (en) 1997-01-17 1999-07-27 Micron Technology, Inc. Method for sorting integrated circuit devices
US6100486A (en) 1998-08-13 2000-08-08 Micron Technology, Inc. Method for sorting integrated circuit devices
US5844803A (en) 1997-02-17 1998-12-01 Micron Technology, Inc. Method of sorting a group of integrated circuit devices for those devices requiring special testing
US5915231A (en) 1997-02-26 1999-06-22 Micron Technology, Inc. Method in an integrated circuit (IC) manufacturing process for identifying and redirecting IC's mis-processed during their manufacture
US5827711A (en) * 1997-03-20 1998-10-27 Incyte Pharmaceuticals, Inc. Succinate-ubiquinone reductase subunit
US5856923A (en) * 1997-03-24 1999-01-05 Micron Technology, Inc. Method for continuous, non lot-based integrated circuit manufacturing
KR100205497B1 (en) 1997-04-08 1999-07-01 윤종용 Support table for supporting board for for applying module and screen print method using the same
US5907492A (en) 1997-06-06 1999-05-25 Micron Technology, Inc. Method for using data regarding manufacturing procedures integrated circuits (IC's) have undergone, such as repairs, to select procedures the IC's will undergo, such as additional repairs
US5966307A (en) 1997-06-10 1999-10-12 Behavior Tech Computer Corporation Laser marker control system
IL121458A0 (en) * 1997-08-03 1998-02-08 Lipsker Daniel Rapid prototyping
US6008476A (en) 1997-08-04 1999-12-28 Motorola, Inc. Apparatus for indexing and affixing components to a substrate
US6244634B1 (en) 1997-09-04 2001-06-12 Micron Electronics, Inc. Apparatus for actuating the retaining locks of a cassette
US6062119A (en) 1998-01-29 2000-05-16 Micron Electronics, Inc. Method for handling and manipulating microelectronic components
US6138542A (en) 1998-01-29 2000-10-31 Micron Electronics, Inc. Apparatus for handling and manipulating microelectronic components
US5972234A (en) 1998-04-06 1999-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Debris-free wafer marking method
US6229323B1 (en) 1998-04-23 2001-05-08 Micron Technology, Inc. Automated multi-chip module handler, method of module handling, and module magazine
US6008070A (en) 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
US6300687B1 (en) * 1998-06-26 2001-10-09 International Business Machines Corporation Micro-flex technology in semiconductor packages
US5999252A (en) 1998-07-22 1999-12-07 Seh America, Inc. Method for marking workpieces
US6742873B1 (en) * 2001-04-16 2004-06-01 Silverbrook Research Pty Ltd Inkjet printhead construction
JP3441382B2 (en) * 1998-10-14 2003-09-02 日本電信電話株式会社 Method for manufacturing semiconductor device
JP3661444B2 (en) * 1998-10-28 2005-06-15 株式会社ルネサステクノロジ Semiconductor device, semiconductor wafer, semiconductor module, and semiconductor device manufacturing method
US6287068B1 (en) 1998-12-21 2001-09-11 Micron Technology, Inc. Self-aligning tray carrier apparatus with tilt feature
US6244569B1 (en) 1998-12-21 2001-06-12 Micron Electronics, Inc. Controlled motion lift mechanism
US6323060B1 (en) * 1999-05-05 2001-11-27 Dense-Pac Microsystems, Inc. Stackable flex circuit IC package and method of making same
US6579748B1 (en) * 1999-05-18 2003-06-17 Sanyu Rec Co., Ltd. Fabrication method of an electronic component
US6277725B1 (en) * 1999-07-07 2001-08-21 United Microelectronics Corp. Method for fabricating passivation layer on metal pad
US7108192B2 (en) * 1999-09-17 2006-09-19 Silverbrook Research Pty Ltd Rotationally symmetric tags
US6154366A (en) 1999-11-23 2000-11-28 Intel Corporation Structures and processes for fabricating moisture resistant chip-on-flex packages
US6337122B1 (en) * 2000-01-11 2002-01-08 Micron Technology, Inc. Stereolithographically marked semiconductors devices and methods
US6489178B2 (en) * 2000-01-26 2002-12-03 Texas Instruments Incorporated Method of fabricating a molded package for micromechanical devices
EP1138804A3 (en) 2000-03-27 2003-06-25 Infineon Technologies AG Component with at least two contiguous insulating layers and manufacturing method therefor
US6603191B2 (en) * 2000-05-18 2003-08-05 Casio Computer Co., Ltd. Semiconductor device and method of manufacturing the same
US7139083B2 (en) * 2000-09-20 2006-11-21 Kla-Tencor Technologies Corp. Methods and systems for determining a composition and a thickness of a specimen
JP3502036B2 (en) * 2000-11-08 2004-03-02 シャープ株式会社 Semiconductor device manufacturing method and semiconductor device
US6737201B2 (en) 2000-11-22 2004-05-18 Hoya Corporation Substrate with multilayer film, reflection type mask blank for exposure, reflection type mask for exposure and production method thereof as well as production method of semiconductor device
GB2369490A (en) * 2000-11-25 2002-05-29 Mitel Corp Prevention of wafer distortion when annealing thin films
EP1405049B1 (en) * 2001-07-03 2011-12-28 President and Fellows of Harvard College System and method for polarization coherent anti-stokes raman scattering microscopy
US6749893B2 (en) * 2002-01-31 2004-06-15 Dalsa Semiconductor Inc. Method of preventing cracking in optical quality silica layers
JP4860113B2 (en) * 2003-12-26 2012-01-25 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US577162A (en) * 1897-02-16 schiemer
US2413767A (en) * 1944-10-17 1947-01-07 Crucible Steel Company Conveyer mechanism
US2495541A (en) * 1946-11-20 1950-01-24 Central Foundry Company Metal pouring apparatus for centrifugal castings
US3239218A (en) * 1963-11-13 1966-03-08 Hudson D Reeves Wedge-type stack leveling platform for sheet material
US3861969A (en) * 1970-03-31 1975-01-21 Hitachi Ltd Method for making III{14 V compound semiconductor devices
US3799017A (en) * 1972-09-14 1974-03-26 D Halligan Component lead cutter
US3937386A (en) * 1973-11-09 1976-02-10 General Motors Corporation Flip chip cartridge loader
US4024545A (en) * 1974-04-22 1977-05-17 Mb Associates Laser-excited marking system
US4027246A (en) * 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
US4203486A (en) * 1977-01-11 1980-05-20 Anchor Hocking Corporation Food preparation apparatus and process
US4148057A (en) * 1977-10-25 1979-04-03 Solution Sciences, Inc. Direct laser printing and forming apparatus
US4382580A (en) * 1979-04-11 1983-05-10 Handelsbolaget Rodoverken Lifting and shifting apparatus
US4323755A (en) * 1979-09-24 1982-04-06 Rca Corporation Method of making a machine-readable marking in a workpiece
US4370542A (en) * 1980-05-22 1983-01-25 Westland Aircraft Limited Cable marking method and apparatus
US4375025A (en) * 1980-06-19 1983-02-22 Automated Industrial Systems, Inc. Laser strip marker
US4517436A (en) * 1982-09-20 1985-05-14 Automated Industrial Systems Laser marker for articles of manufacture
US4513539A (en) * 1983-03-15 1985-04-30 Acrometal Products, Inc. Position setting device for abrasive belt grinding machine
US4493767A (en) * 1983-04-28 1985-01-15 Guido Monteyne Conveyor belt for transporting slag material from a filtering apparatus
US4510673A (en) * 1983-06-23 1985-04-16 International Business Machines Corporation Laser written chip identification method
US4585931A (en) * 1983-11-21 1986-04-29 At&T Technologies, Inc. Method for automatically identifying semiconductor wafers
US4915565A (en) * 1984-03-22 1990-04-10 Sgs-Thomson Microelectronics, Inc. Manipulation and handling of integrated circuit dice
US4577563A (en) * 1984-07-11 1986-03-25 Sidler Karl J Safekeeping box assembly
US4665298A (en) * 1984-08-20 1987-05-12 Fiat Auto S.P.A. Apparatus for effecting treatment of metal workpieces by means of a power laser
US4644126A (en) * 1984-12-14 1987-02-17 Ford Motor Company Method for producing parallel-sided melt zone with high energy beam
US4654290A (en) * 1985-02-01 1987-03-31 Motorola, Inc. Laser markable molding compound, method of use and device therefrom
US4720317A (en) * 1985-02-14 1988-01-19 Bando Chemical Industries, Ltd. Method for dicing a semiconductor wafer
US4638144A (en) * 1985-04-24 1987-01-20 Automated Industrial Systems Indexing laser marker
US4719502A (en) * 1985-08-07 1988-01-12 Kabushiki Kaisha Toshiba Epoxy resin composition, and resin-sealed semiconductor device in which this composition is used
US4660282A (en) * 1985-12-16 1987-04-28 Pfaff Wayne Method and apparatus for unloading electronic circuit packages from zero insertion force sockets
US4821151A (en) * 1985-12-20 1989-04-11 Olin Corporation Hermetically sealed package
US4718531A (en) * 1986-02-24 1988-01-12 Amp Incorporated Electrical connector pick-up station
US4891242A (en) * 1986-07-05 1990-01-02 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Substrate of a hybrid ic, method of forming a circuit pattern and apparatus of forming the same
US4832612A (en) * 1986-10-31 1989-05-23 Amp Incorporated Protective carrier and securing means therefor
US4916293A (en) * 1987-02-25 1990-04-10 Rolls-Royce Plc Identification in manufacture
US4818835A (en) * 1987-03-02 1989-04-04 Hitachi, Ltd. Laser marker and method of laser marking
US5102291A (en) * 1987-05-21 1992-04-07 Hine Design Inc. Method for transporting silicon wafers
US4990051A (en) * 1987-09-28 1991-02-05 Kulicke And Soffa Industries, Inc. Pre-peel die ejector apparatus
US4914269A (en) * 1989-07-24 1990-04-03 Micron Technology, Inc. Method of sealing a ceramic lid on a ceramic semiconductor package with a high-power laser
US5289113A (en) * 1989-08-01 1994-02-22 Analog Devices, Inc. PROM for integrated circuit identification and testing
US5003251A (en) * 1989-09-12 1991-03-26 Grumman Aerospace Corporation Bar code reader for printed circuit board
US5204987A (en) * 1990-01-12 1993-04-20 Hans Klingel Apparatus for treating steel edges of skis and other runner devices
US5206280A (en) * 1990-03-15 1993-04-27 British Aerospace Public Limited Company Laser markable white pigment composition
USH906H (en) * 1990-06-04 1991-04-02 The United States Of America As Represented By The United States Department Of Energy Wedge assembly for electrical transformer component spacing
US5294812A (en) * 1990-09-14 1994-03-15 Kabushiki Kaisha Toshiba Semiconductor device having identification region for carrying out failure analysis
US5197650A (en) * 1990-09-18 1993-03-30 Sharp Kabushiki Kaisha Die bonding apparatus
US5183783A (en) * 1990-12-28 1993-02-02 Shin-Etsu Handotai Co., Ltd Method for production of dielectric-separation substrate
US5307010A (en) * 1991-01-11 1994-04-26 Texas Instruments Incorporated Wafer burn-in and test system
US5299902A (en) * 1991-01-22 1994-04-05 Matsushita Electric Industrial Co., Ltd. Chip-type electronic element supplying apparatus
US5295778A (en) * 1991-03-27 1994-03-22 Matsushita Electric Industrial Co., Ltd. Assembling apparatus
US5302798A (en) * 1991-04-01 1994-04-12 Canon Kabushiki Kaisha Method of forming a hole with a laser and an apparatus for forming a hole with a laser
US5090664A (en) * 1991-04-10 1992-02-25 General Electric Company Combination jack and roller for precision movement of heavy loads
US5278442A (en) * 1991-07-15 1994-01-11 Prinz Fritz B Electronic packages and smart structures formed by thermal spray deposition
US5177368A (en) * 1991-10-18 1993-01-05 The Coca-Cola Company Method and device for corrupting bar codes on articles prior to packing
US5300172A (en) * 1991-11-01 1994-04-05 The Furukawa Electric Co., Ltd. Surface-protection method during etching
US5290134A (en) * 1991-12-03 1994-03-01 Advantest Corporation Pick and place for automatic test handler
US5399828A (en) * 1992-01-14 1995-03-21 Alza Corporation Laser tablet treatment system with dual access to tablet
US5279975A (en) * 1992-02-07 1994-01-18 Micron Technology, Inc. Method of testing individual dies on semiconductor wafers prior to singulation
US5203143A (en) * 1992-03-28 1993-04-20 Tempo G Multiple and split pressure sensitive adhesive stratums for carrier tape packaging system
US5600150A (en) * 1992-06-24 1997-02-04 Robotic Vision Systems, Inc. Method for obtaining three-dimensional data from semiconductor devices in a row/column array and control of manufacturing of same with data to eliminate manufacturing errors
US5728624A (en) * 1992-07-28 1998-03-17 Harris Corporation Bonded wafer processing
US5498851A (en) * 1992-08-27 1996-03-12 Mitsubishi Denki Kabushiki Kaisha Laser machining apparatus and method
US5402563A (en) * 1992-08-28 1995-04-04 Hitachi, Ltd. Apparatus for removing electronic device from printed circuit board
US5481135A (en) * 1992-08-31 1996-01-02 Dow Corning Corporation Hermetic protection for integrated circuits
US5379514A (en) * 1992-11-19 1995-01-10 Matsushita Electric Industrial Co., Ltd. Electronic component installing apparatus and method
US5605641A (en) * 1992-11-25 1997-02-25 Komatsu Ltd. Method and apparatus for laser marking a continuously moving workpiece
US5301143A (en) * 1992-12-31 1994-04-05 Micron Semiconductor, Inc. Method for identifying a semiconductor die using an IC with programmable links
US5497033A (en) * 1993-02-08 1996-03-05 Martin Marietta Corporation Embedded substrate for integrated circuit modules
US5479694A (en) * 1993-04-13 1996-01-02 Micron Technology, Inc. Method for mounting integrated circuits onto printed circuit boards and testing
US5481202A (en) * 1993-06-17 1996-01-02 Vlsi Technology, Inc. Optical scan and alignment of devices under test
US5496432A (en) * 1994-06-06 1996-03-05 Somar Corporation Method and device for peeling a film
US5484314A (en) * 1994-10-13 1996-01-16 Micron Semiconductor, Inc. Micro-pillar fabrication utilizing a stereolithographic printing process
US5487471A (en) * 1994-10-19 1996-01-30 Molex Incorporated Packaging container assembly for electrical connector components
US5715592A (en) * 1994-11-08 1998-02-10 Nec Corporation Parts disassembling apparatus
US5719372A (en) * 1994-11-17 1998-02-17 Nec Corporation Laser marking system and method using controlled pulse width of Q-switch
US5590787A (en) * 1995-01-04 1997-01-07 Micron Technology, Inc. UV light sensitive die-pac for securing semiconductor dies during transport
US5858923A (en) * 1995-03-17 1999-01-12 Agro-Kanesho Co., Ltd. Hexahydropyridazine derivative and herbicide containing the same as active ingredient
US5717162A (en) * 1995-04-07 1998-02-10 Yamaichi Electronics Co., Ltd. IC carrier having a movable and stationary corner rulers
US5600181A (en) * 1995-05-24 1997-02-04 Lockheed Martin Corporation Hermetically sealed high density multi-chip package
US5722639A (en) * 1995-05-26 1998-03-03 Matsuo Engineering Co., Ltd. Multistage wedge-shaped jack apparatus
US6028134A (en) * 1995-07-12 2000-02-22 Teijin Limited Thermoplastic resin composition having laser marking ability
US6023042A (en) * 1995-10-09 2000-02-08 Balzers Und Leybold Deutschland Holding Ag Apparatus for the production of exposed and metallized substrates
US5893760A (en) * 1996-03-27 1999-04-13 Kabushiki Kaisha Toshiba Method of heat treating a semiconductor wafer to reduce stress
US5855969A (en) * 1996-06-10 1999-01-05 Infosight Corp. CO2 laser marking of coated surfaces for product identification
US5731954A (en) * 1996-08-22 1998-03-24 Cheon; Kioan Cooling system for computer
US6011315A (en) * 1996-12-13 2000-01-04 Sharp Kabushiki Kaisha Semiconductor device and film carrier tape and respective manufacturing methods thereof
US5856230A (en) * 1996-12-31 1999-01-05 Hyundai Electronics Industries Co., Ltd. Method for making field oxide of semiconductor device
US5870820A (en) * 1997-02-07 1999-02-16 Mitsubishi Denki Kabushiki Kaisha IC mounting/demounting system and mounting/demounting head therefor
US5866644A (en) * 1997-03-17 1999-02-02 General Electric Company Composition for laser marking
US6188129B1 (en) * 1997-03-24 2001-02-13 Hyundai Electronics Industries Co., Ltd. Stacked semiconductor chip package having external terminal pads and stackable chips having a protection layer
US6030485A (en) * 1997-08-25 2000-02-29 Fujitsu Limited Method and apparatus for manufacturing a semiconductor device
US5875544A (en) * 1997-09-18 1999-03-02 Chou; Shu Chun Yu Multifunctional IC hand tool
US6202292B1 (en) * 1998-08-26 2001-03-20 Micron Technology, Inc. Apparatus for removing carrier film from a semiconductor die
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6524861B1 (en) * 1999-01-22 2003-02-25 Medical Laboratory Automation, Inc. Blood coagulation analyzer
US20030017652A1 (en) * 1999-02-15 2003-01-23 Masako Sakaki Semiconductor device, its fabrication method and electronic device
US6352803B1 (en) * 1999-06-07 2002-03-05 The Regents Of The University Of California Coatings on reflective mask substrates
US6692978B2 (en) * 2000-08-25 2004-02-17 Micron Technology, Inc. Methods for marking a bare semiconductor die
US20020048906A1 (en) * 2000-10-20 2002-04-25 Tadahiko Sakai Semiconductor device, method of manufacturing the device and mehtod of mounting the device
US20030017626A1 (en) * 2001-07-23 2003-01-23 Motorola Inc. Method and apparatus for controlling propagation of dislocations in semiconductor structures and devices

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015064B1 (en) * 2004-04-23 2006-03-21 National Semiconductor Corporation Marking wafers using pigmentation in a mounting tape
US7135385B1 (en) 2004-04-23 2006-11-14 National Semiconductor Corporation Semiconductor devices having a back surface protective coating
US7642175B1 (en) 2004-04-23 2010-01-05 National Semiconductor Corporation Semiconductor devices having a back surface protective coating
US20050248004A1 (en) * 2004-05-10 2005-11-10 Mosel Vitelic, Inc. Wafer and the manufacturing and reclaiming methods thereof
US7432204B2 (en) * 2004-05-10 2008-10-07 Mosel Vitelic, Inc. Wafer and the manufacturing and reclaiming methods thereof
US20060030129A1 (en) * 2004-08-05 2006-02-09 Disco Corporation Method and apparatus for dividing an adhesive film mounted on a wafer
US7602071B2 (en) * 2004-08-05 2009-10-13 Disco Corporation Apparatus for dividing an adhesive film mounted on a wafer
US7354802B1 (en) 2004-09-07 2008-04-08 National Semiconductor Corporation Thermal release wafer mount tape with B-stage adhesive
US7101620B1 (en) 2004-09-07 2006-09-05 National Semiconductor Corporation Thermal release wafer mount tape with B-stage adhesive
US20090121347A1 (en) * 2005-06-29 2009-05-14 Rohm Co., Ltd. Semiconductor Device and Semiconductor Device Assembly
US8164201B2 (en) * 2005-06-29 2012-04-24 Rohm Co., Ltd. Semiconductor device with front and back side resin layers having different thermal expansion coefficient and elasticity modulus
US8664779B2 (en) 2005-06-29 2014-03-04 Rohm Co., Ltd. Semiconductor device with front and back side resin layers having different thermal expansion coefficient and elasticity modulus
US8723339B2 (en) 2005-06-29 2014-05-13 Rohm Co., Ltd. Semiconductor device with front and back side resin layers having different thermal expansion coefficient and elasticity modulus
US7535111B2 (en) * 2005-10-10 2009-05-19 Infineon Technologies Ag Semiconductor component with semiconductor chip and adhesive film, and method for its production
US20070187816A1 (en) * 2005-10-10 2007-08-16 Infineon Technologies Ag Semiconductor component with semiconductor chip and adhesive film, and method for its production
US20110079901A1 (en) * 2006-01-11 2011-04-07 Rinne Glenn A Methods of Forming Back Side Layers For Thinned Wafers and Related Structures
US8643177B2 (en) 2006-01-11 2014-02-04 Amkor Technology, Inc. Wafers including patterned back side layers thereon
US7871899B2 (en) * 2006-01-11 2011-01-18 Amkor Technology, Inc. Methods of forming back side layers for thinned wafers
US20070161234A1 (en) * 2006-01-11 2007-07-12 Rinne Glenn A Methods of Forming Back Side Layers for Thinned Wafers and Related Structures
US8829661B2 (en) * 2006-03-10 2014-09-09 Freescale Semiconductor, Inc. Warp compensated package and method
US9107303B2 (en) 2006-03-10 2015-08-11 Freescale Semiconductor, Inc. Warp compensated electronic assemblies
US20070212813A1 (en) * 2006-03-10 2007-09-13 Fay Owen R Perforated embedded plane package and method
US20070210427A1 (en) * 2006-03-10 2007-09-13 Lytle William H Warp compensated package and method
US8030138B1 (en) 2006-07-10 2011-10-04 National Semiconductor Corporation Methods and systems of packaging integrated circuits
US9362208B2 (en) 2007-03-13 2016-06-07 Micron Technology, Inc. Packaged semiconductor components having substantially rigid support members and methods of packaging semiconductor components
US9960094B2 (en) 2007-03-13 2018-05-01 Micron Technology, Inc. Packaged semiconductor components having substantially rigid support members and methods of packaging semiconductor components
US20100255636A1 (en) * 2007-03-13 2010-10-07 Micron Technology, Inc. Packaged semiconductor components having substantially rigid support members and methods of packaging semiconductor components
US10312173B2 (en) 2007-03-13 2019-06-04 Micron Technology, Inc. Packaged semiconductor components having substantially rigid support members and methods of packaging semiconductor components
US10763185B2 (en) 2007-03-13 2020-09-01 Micron Technology, Inc. Packaged semiconductor components having substantially rigid support members
US20080286918A1 (en) * 2007-05-15 2008-11-20 Novellus Systems, Inc. Methods for Fabricating Semiconductor Structures With Backside Stress Layers
US7670931B2 (en) 2007-05-15 2010-03-02 Novellus Systems, Inc. Methods for fabricating semiconductor structures with backside stress layers
US20090152707A1 (en) * 2007-12-17 2009-06-18 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US7863757B2 (en) 2007-12-17 2011-01-04 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US20100237487A1 (en) * 2007-12-17 2010-09-23 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US7749809B2 (en) 2007-12-17 2010-07-06 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US20090189279A1 (en) * 2008-01-24 2009-07-30 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US8048781B2 (en) 2008-01-24 2011-11-01 National Semiconductor Corporation Methods and systems for packaging integrated circuits
US20100015329A1 (en) * 2008-07-16 2010-01-21 National Semiconductor Corporation Methods and systems for packaging integrated circuits with thin metal contacts
US20100102435A1 (en) * 2008-10-28 2010-04-29 Advanced Micro Devices, Inc. Method and apparatus for reducing semiconductor package tensile stress
US8212346B2 (en) * 2008-10-28 2012-07-03 Global Foundries, Inc. Method and apparatus for reducing semiconductor package tensile stress
CN102222623A (en) * 2010-04-14 2011-10-19 富士电机株式会社 Method of manufacturing semiconductor apparatus
CN105810557A (en) * 2014-12-31 2016-07-27 格科微电子(上海)有限公司 Semiconductor wafer, flattening method therefor, and packaging method
US10340227B2 (en) * 2015-03-26 2019-07-02 Infineon Technologies Ag Method for processing a die
CN107946283A (en) * 2017-11-27 2018-04-20 华进半导体封装先导技术研发中心有限公司 A kind of wafer slide glass bonding structure and the method appeared using structure progress TSV

Also Published As

Publication number Publication date
US20060051938A1 (en) 2006-03-09
US20030162368A1 (en) 2003-08-28
US7169685B2 (en) 2007-01-30
US7727785B2 (en) 2010-06-01

Similar Documents

Publication Publication Date Title
US7727785B2 (en) Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US6713366B2 (en) Method of thinning a wafer utilizing a laminated reinforcing layer over the device side
JP4856328B2 (en) Manufacturing method of semiconductor device
USRE38789E1 (en) Semiconductor wafer having a bottom surface protective coating
US8030769B2 (en) Grooving bumped wafer pre-underfill system
US7354802B1 (en) Thermal release wafer mount tape with B-stage adhesive
US6074896A (en) Method of processing semiconductor material wafers and method of forming flip chips and semiconductor chips
US7218003B2 (en) Methods for wafer-level packaging of microelectronic devices and microelectronic devices formed by such methods
EP1195809B1 (en) Process for producing semiconductor device
US20020037631A1 (en) Method for manufacturing semiconductor devices
US6225194B1 (en) Process for producing chip and pressure sensitive adhesive sheet for said process
US9595504B2 (en) Methods and systems for releasably attaching support members to microfeature workpieces
US7772707B2 (en) Methods for wafer-level packaging of microelectronic devices and microelectronic devices formed by such methods
US6777310B2 (en) Method of fabricating semiconductor devices on a semiconductor wafer using a carrier plate during grinding and dicing steps
US8723339B2 (en) Semiconductor device with front and back side resin layers having different thermal expansion coefficient and elasticity modulus
CN109417045A (en) Alignment jig, alignment method and transfer adhering method
US20080200037A1 (en) Method of thinning a wafer
JP3803214B2 (en) Manufacturing method of semiconductor device
CN100383929C (en) Semiconductor processing process
JPH02208954A (en) Manufacture of semiconductor device
JPS6324681A (en) Manufacture of thin film of semiconductor element

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION