US20040097034A1 - Capacitor structure - Google Patents

Capacitor structure Download PDF

Info

Publication number
US20040097034A1
US20040097034A1 US10/613,203 US61320303A US2004097034A1 US 20040097034 A1 US20040097034 A1 US 20040097034A1 US 61320303 A US61320303 A US 61320303A US 2004097034 A1 US2004097034 A1 US 2004097034A1
Authority
US
United States
Prior art keywords
layer
dielectric
providing
capacitor dielectric
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/613,203
Other versions
US8421140B2 (en
Inventor
Gurtej Sandhu
Guy Blalock
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/613,203 priority Critical patent/US8421140B2/en
Publication of US20040097034A1 publication Critical patent/US20040097034A1/en
Priority to US11/460,021 priority patent/US20060258113A1/en
Application granted granted Critical
Publication of US8421140B2 publication Critical patent/US8421140B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC. reassignment MICRON SEMICONDUCTOR PRODUCTS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/312DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with a bit line higher than the capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Definitions

  • the present invention relates generally to a capacitor structure and its method of manufacture. More particularly, the present invention relates to a capacitor structure for high-density memory arrays.
  • high-dielectric-constant it is meant a dielectric constant greater than 10 at room temperature, and preferably a dielectric constant greater than 20.
  • each memory cell comprises a capacitor and a transistor.
  • pairs of memory cells are located within regions (“memory cell areas”) defined by intersecting row lines (“word lines”) and column lines (“bit lines” or “digit lines”). Accordingly, to increase memory cell density of the memory array, row lines and column lines are positioned with minimal spacing (“pitch”). Using minimal pitch in turn constrains memory cell area.
  • a memory array will have a bit line-to-bit line pitch equal to or less than 0.5 microns.
  • Each DRAM memory comprises a capacitor for storing charge.
  • a capacitor is two conductors separated by a dielectric, and its capacitance, C, is mathematically determinable as:
  • ⁇ o is a physical constant
  • dielectric constant, ⁇ r is a material dependant property
  • distance, d is distance between conductors
  • area, A is common surface area of the two conductors.
  • ABO 3 has the chemical formula ABO 3 , where A is one or more monovalent, divalent, or trivalent elements and B is one or more pentavalent, tetravalent, trivalent, or divalent elements.
  • high-dielectric-constant ferroelectric oxides include niobium pentoxide (Nb 2 O 5 ); tantalum pentoxide (Ta 2 O 5 ); and titanates including lead zirconate titanate (PbTiZrO 3 , abbreviated PZT) and barium strontium titanate (BaSrTiO 3 , abbreviated BST).
  • high-dielectric-constant materials include but are not limited to non-oxide ferroelectrics, such as barium fluoride (BaF 2 ) and magnesium fluoride (MgF 2 ).
  • barium fluoride (BaF 2 ) and magnesium fluoride (MgF 2 ) barium fluoride
  • MgF 2 magnesium fluoride
  • ⁇ r of these materials, as well as the application, they may be used in parallel plate capacitor structures, such as stack capacitors or mini-stack capacitors, or vertical capacitor structures including container capacitors and trench capacitors.
  • High-dielectric-constant oxides are conventionally deposited in one step at elevated temperatures (greater than 500 degrees Celsius) in an oxygenated atmosphere (such as O 2 ).
  • oxygenated atmosphere such as O 2
  • processes for depositing high-dielectric-constant oxides are ionbeam sputtering, chemical vapor deposition, and pulsed laser deposition. It has been found that in such an environment, the capacitor electrode layer oxidizes, thereby causing an unwanted reduction in capacitance. Moreover, such high-dielectric-constant oxides are often annealed or re-oxidized after deposition, which further exacerbates the oxidation problem.
  • One option to at least partially prevent these problems is to provide a barrier layer, such as a layer of germanium (Ge) or silicon nitride (Si 3 N 4 ), between the silicon electrode and the high-dielectric-constant oxide.
  • a barrier layer such as a layer of germanium (Ge) or silicon nitride (Si 3 N 4 ), between the silicon electrode and the high-dielectric-constant oxide.
  • the oxidation conditions present after the deposition of this barrier layer may result in the oxidation of that layer, but this is actually preferable in certain circumstances. Regardless, it is desired in the art that this layer will prevent oxidation of the electrode.
  • the oxidation conditions in effect while forming the entirety of the high-K dielectric in one step often still cause oxidation of the silicon electrode despite the presence of the barrier layer.
  • forming a barrier layer adds complexity to the manufacturing process and reduces the effective dielectric constant of the capacitor.
  • high-dielectric-constant oxides may be deposited on nonreactive metals or conductive metal oxides comprising the capacitor electrode.
  • nonreactive when used in context with contact to a high-dielectric-constant oxide herein means a material that provides a stable conductive interface during and after processing.
  • nonreactive metals include noble metals such as lead (Pd) or platinum (Pt).
  • exemplary conductive metal oxides include ruthenium oxide (RuO 2 ). Single and multiple metal layers may used to form the capacitor electrode.
  • RuO 2 ruthenium oxide
  • the present invention provides a dielectric for a capacitor structure or other device, and method of formation thereof. Rather that provide a single layer of dielectric under a particular set of oxidation parameters, one exemplary embodiment of the current invention instead provides a plurality of thinner dielectric layers, wherein providing at least the layer nearest the capacitor electrode is accomplished under less aggressive oxidizing parameters. Such exemplary embodiments allow for greater control over the oxidation that may occur beyond the dielectric.
  • FIGS. 1A and 1B are cross-sectional views of in-process DRAM substrate assemblies of the prior art.
  • FIGS. 2A and 2B are cross-sectional views of exemplary embodiments in accordance with the present invention.
  • FIGS. 3A and 3B are cross-sectional views of exemplary embodiments in accordance with the present invention having undergone additional processing.
  • substrate assembly it is meant a substrate comprising one or more layers formed thereon or therein.
  • substrate or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate also refers to any supporting structure including, but not limited to, the semiconductive substrates described above.
  • Substrate assembly 10 comprises a slice of a single crystalline semiconductor 11 .
  • semiconductor 11 a well 12 is formed.
  • conductive implant regions 14 are formed in well 12 .
  • Shallow trench isolation regions 13 are formed in semiconductor 11 by removing a portion thereof and filling the remaining hole with one or more dielectric materials.
  • Transistor gate stacks 16 are formed on semiconductor 11 , and are separated from conductive studs 15 by spacers 17 .
  • Dielectric layers 18 and 19 are deposited and etched to provide holes for deposition of conductive layer 20 .
  • Conductive layer 20 is then polished to form a planar surface.
  • CMP chemical-mechanical-polishing
  • photosensitive polymer 21 is deposited to protect substrate assembly 10 from potential contaminants associated with CMP.
  • Conductive layer 20 provides bottom electrodes for container capacitor structures.
  • Dashed-lines 5 indicate a proximate location for a contact via for connection to a conductive stud 15 therebelow. Separation 7 between dashed-lines 5 and outer surface 9 of conductive layer 20 bottom electrodes is about or less than 0.1 microns for a high-density DRAM array.
  • a container capacitor structure for a high-density DRAM array may be employed to form container, stack, mini-stack, trench, or other capacitor structures in DRAM devices or in devices other than DRAM.
  • the present invention may be implemented in a variety of other integrated circuit devices including but not limited to memory devices, logic devices having embedded memory, application specific integrated circuits, microprocessors, microcontrollers, digital signal processors, and the like incorporating a memory array which employs one or more capacitors.
  • a memory or a memory module having a capacitor formed in accordance with the present invention may be employed in various types of information handling systems. Such systems include network cards, telephones, scanners, facsimile machines, routers, televisions, video cassette recorders, copy machines, displays, printers, calculators, and personal computers, and the like systems incorporating memory.
  • conductive layer 20 provides a first electrode for a capacitor structure; in the exemplary embodiment illustratively shown, it is a container capacitor structure; however, conductive layer 20 may be thought of as a bottom electrode for any form of capacitor.
  • Conductive layer 20 may be formed of one or more layers of one or more materials.
  • An optional barrier layer 4 may be formed between conductive layer 20 and conductive stud 15 to limit unwanted effects due to diffusion.
  • oxygen diffusion limiting barrier materials that are conductors include metal nitrides, conductively formed semiconductors (e.g., germanium doped with a material designated as an electron acceptor or an electron donor), and conductively formed semiconductor nitrides (e.g., silicon nitride doped with a material designated as an electron acceptor or an electron donor).
  • This barrier layer 4 does not limit or prevent unwanted effects of interaction between conductive layer 20 and a subsequently deposited high-dielectric-constant capacitor dielectric.
  • another barrier layer 3 such as the one described in the background section and pictured in FIG. 1B, may be provided between these layers.
  • oxidation of the conductive layer 20 will occur during or after a one-step formation of the full amount of high-K dielectric that will be used in the completed capacitor.
  • conductive layer 20 comprises silicon and a high-dielectric-constant oxide is deposited on it, then silicon dioxide may be formed.
  • oxygen may reach other layers under the conductive layer 20 and create undesirable effects in other materials. Further, other undesirable reactions or material migrations may also occur.
  • the present invention offers exemplary embodiments that allow for formation of a high-K dielectric under conditions that minimize if not completely prevent oxidation beyond the dielectric.
  • exemplary embodiments teach forming the dielectric in a plurality of steps, wherein each step provides only a portion of the total dielectric to be used in the completed device.
  • at least a first oxidation step involved with providing the dielectric is carried out under parameters that result in less aggressive oxidation in comparison to the prior art parameters.
  • Conductive layer 20 may comprise an acceptor or donor doped semiconductor, platinum, palladium, rhodium, gold, iridium, silver, titanium nitride, tin nitride, ruthenium nitride, zirconium nitride, ruthenium dioxide, tin oxide, titanium monoxide, and combinations thereof.
  • FIG. 2B illustrates an alternative exemplary portion of a substrate assembly 10 B, wherein conductive layer 20 comprises hemispherical-grain-silicon having phosphorous added thereto for conductivity. Also added to the substrate assembly 10 B is a silicon nitride barrier layer 3 .
  • First layer 22 of capacitor dielectric 24 is subsequently formed.
  • First layer 22 may be formed at least in part by chemical-vapor-deposition (CVD), physical-vapor-deposition (PVD), jet-vapor deposition (JVD), liquid deposition (e.g., spin-on, liquid injection, and the like), atomic layer deposition/epitaxy (ALD/ALE), or other means for controlled deposition of a material.
  • CVD chemical-vapor-deposition
  • PVD physical-vapor-deposition
  • JVD jet-vapor deposition
  • liquid deposition e.g., spin-on, liquid injection, and the like
  • ALD/ALE atomic layer deposition/epitaxy
  • at least one oxidation step is used in providing many kinds of capacitor dielectric both in prior art and in exemplary embodiments of the current invention. For instance, oxidation may play an integral part of the initial deposition process so that an oxide is formed as a direct result of that process.
  • a non-oxide may be initially deposited, followed by an oxidation step.
  • Depositing tantalum and then oxidizing that layer into tantalum oxide serves as an example of this alternative process.
  • such an oxide is often later subjected to an anneal in an oxidizing ambient in order to fill oxygen vacancies and burn away impurities in the oxide. Any of these oxidation steps can take place by way of one or a combination of oxidizing methods.
  • oxidizing methods involve subjecting the substrate assembly 10 A/ 10 B to a thermal process in an oxygen containing atmosphere.
  • an atmosphere may contain a gas including O 2 , O 3 , N 2 O, NO, H 2 O, or combinations thereof.
  • the thermal energy can be provided in a furnace, wherein resistance to the conduction of electricity through the furnace coils generates the heat for the substrate assembly 10 A/ 10 B.
  • the substrate assembly 10 A/ 10 B could be placed in a rapid thermal process device such as the Centura HT tool, sold by Applied Materials, set to RTO (rapid thermal oxidation) mode. In such a device, a lamp shines light on the substrate assembly 10 A/ 10 B, which heats in reaction to absorbing the light.
  • a reactor could be used to generate an oxygen-containing plasma, remote or local, for the substrate assembly 10 A/ 10 B.
  • an anodic oxidation process using an electrolytic solution could be used to provide the oxidizing environment.
  • tantalum oxide prior art indicates that it is known to deposit, in one step, 50 to 70 Angstroms of tantalum oxide.
  • Exemplary parameters for doing so involve a CVD process wherein a tantalum precursor such as tantalum chloride (TaCls) is carried by a gas such as argon into a furnace at a rate of 10 sccm to 2000 sccm. Further, an oxygen-containing gas such as N 2 O is introduced to the furnace at a rate of 0.1 SLM to 5 SLM. The pressure inside the furnace is at 0.1 Torr to 10 Torr, and the temperature inside the furnace ranges from 800° C. to 850° C.
  • TaCls tantalum chloride
  • N 2 O oxygen-containing gas
  • the pressure inside the furnace is at 0.1 Torr to 10 Torr, and the temperature inside the furnace ranges from 800° C. to 850° C.
  • an exemplary embodiment of the current invention involves providing a first layer 22 of tantalum oxide whose thickness is within the range of 10 to 40 Angstroms (preferably 20 Angstroms), wherein deposition can occur in the same furnace and with the same atmosphere but at a lower temperature—ranging from 400° C. to less than 800° C. (preferably around 450° C.)—and a process time of about 1 minute.
  • this exemplary embodiment teaches initially providing about 14% to 80% of that total.
  • BST can be deposited as the first layer 22 .
  • Prior art teaches providing a full 200 Angstroms at 650° C.
  • Embodiments of the current invention allow for initially providing a first layer 22 comprising BST, wherein the thickness ranges from 20-80 Angstroms and the temperature is less than 650° C., preferably between 400° C. and less than 650° C. Assuming that it is desired to ultimately have a dielectric thickness of 200 Angstroms, this exemplary embodiment teaches initially providing about 10% to 40% of that total.
  • MIM Metal-Insulator-Metal
  • tantalum pentoxide Ta 2 O 5 serves as the insulator.
  • Prior art involves deposition parameters such as an ozone ambient and a temperature of 500° C., wherein the parameters result in the full amount of high-K dielectric being deposited.
  • Exemplary embodiments of the current invention allow for less than the full amount of high-K dielectric to be initially deposited under less aggressive oxidation conditions in terms of time, temperature, pressure, oxygen source, other ambient gasses, or any other parameter.
  • oxidation environment used during or after the deposition of the fill amount of high-K oxide to be used in the capacitor results from a cooperation of several parameters, including the ones specified above as well as others such as the presence of excitation sources (like plasma or UV radiation) and their associated values.
  • the current invention includes within its scope exemplary embodiments wherein less than the full amount of high-K oxide is initially provided, and oxidation associated with that initial amount is less than the oxidation that occurs in relation to depositing the full amount.
  • the oxidation may occur during the deposition of an oxide dielectric, after the deposition of a non-oxide layer, or after the oxide has been provided.
  • the oxidation may occur by way of any known method of oxidation, such as RTO, furnace oxidation, or other methods described above.
  • the lesser oxidation is achieved by altering the oxidation parameters, such as in the ways described above as well as others.
  • second layer 23 of capacitor dielectric 24 is formed, as illustratively shown in the cross-sectional views of FIGS. 3A and 3B.
  • Second layer 23 can be formed in a manner similar to that of the first layer 22 . However, that is not a requirement under the current invention. Second layer 23 may be deposited with different oxidation parameters in effect during or after deposition. Further, it is not necessary that the oxidation parameters for the second layer 23 be less aggressive than those for the first layer 22 .
  • the second layer 23 of Ta 2 O 5 can be deposited at a temperature ranging from 400° C. to 700° C.
  • second layer 23 may be provided to define a thickness differing from the thickness of the first layer 22 .
  • the type of high-K dielectric may be different from the high-K dielectric forming the first layer 22 in terms of the types of high-K dielectric components or their proportion.
  • High-dielectric-constant materials include perovskites, ferroelectrics, high-dielectric-constant oxides, acceptor doped perovskites, acceptor doped ferroelectrics, acceptor doped high-dielectric-constant oxides, donor doped perovskites, donor doped ferroelectrics, donor doped high-dielectric-constant oxides, and combinations thereof.
  • the high-dielectric-constant material may be doped with a material selected from: Na, Al, Mn, Ca, La, Nb, F, K, Cr, Mn, Co, Ni, Cu, Zn, Li, Mg, Cl, V, Mo, Ce, Pr, Nd, Sn, Eu, Gd, Th, Dy, Ho, Er, Ta, W, and combinations thereof.
  • a desired thickness may be achieved while allowing greater control of the oxidation process than one would have by forming one layer of capacitor dielectric having that thickness.
  • oxidation may be generally restricted to the capacitor dielectric 24 , thereby leaving the conductive layer 20 substantially free of oxide.
  • FIG. 3B A similar result may be achieved in the exemplary embodiment depicted in FIG. 3B, but it would be preferred to allow oxidation to extend to the barrier layer 3 while still leaving the conductive layer 20 substantially free of oxide. The above described methods allow such a result.
  • Conductive layer 25 may be deposited to provide a top electrode portion for capacitor 8 .
  • Conductive layer 25 may comprise acceptor doped silicon, donor doped silicon, copper, aluminum, platinum, palladium, rhodium, gold, iridium, silver, titanium nitride, tin nitride, ruthenium nitride, zirconium nitride, ruthenium dioxide, tin oxide, titanium monoxide, and combinations thereof.
  • substrate assembly 10 A of FIGS. 2A, 2B, 3 A and 3 B may be formed in a single chamber 100 or in a cluster of chambers 100 without breaking vacuum. It should be understood that though only two sub-layers 22 and 23 are described herein, the present invention may be employed to provide two or more sub-layers for forming capacitor dielectric layer 24 .
  • the thickness of the initially deposited dielectric sub-layer can be as little as the thickness of one atom comprising that layer (in which case the sub-layer would be considered to be a “monolayer”) depending upon the tendency of subsequent processing steps to encourage oxygen diffusion.
  • exemplary embodiments of the current invention have applications in metal-insulator-silicon (MIS) structures as well as MIM structures discussed above and other structures known in the art.
  • MIS metal-insulator-silicon
  • at least one exemplary embodiment of the current invention allows for an MIS device comprising a top metal electrode, a top Ta 2 O 5 layer under the top metal electrode, a bottom Ta 2 O 5 layer under the top Ta 2 O 5 layer, a silicon nitride layer under the bottom Ta 2 O 5 layer, and a bottom silicon electrode under the silicon nitride layer.
  • the current invention is not limited to providing a capacitor dielectric. Rather, the invention has applications in any circumstance wherein controlling the oxidation of a dielectric or other insulator layer is beneficial. Accordingly, the present invention is not limited except as by the appended claims.

Abstract

A capacitor structure and method of forming it are described. In particular, a high-K dielectric oxide is provided as the capacitor dielectric. The high-K dielectric is deposited in a series of thin layers and oxidized in a series of oxidation steps, as opposed to a depositing a single thick layer. Further, at least one of the oxidation steps is less aggressive than the oxidation environment or environments that would be used to deposit the single thick layer. This allows greater control over oxidizing the dielectric and other components beyond the dielectric.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to a capacitor structure and its method of manufacture. More particularly, the present invention relates to a capacitor structure for high-density memory arrays. [0001]
  • BACKGROUND OF THE INVENTION
  • Without limiting the scope of the invention, its background is described in connection with prior methods of forming capacitor structures for dynamic random access memory (DRAM) using high-dielectric-constant materials (or high-K dielectrics). By high-dielectric-constant, it is meant a dielectric constant greater than 10 at room temperature, and preferably a dielectric constant greater than 20. [0002]
  • Advances in miniaturization of integrated circuits have led to smaller areas available for devices such as transistors and capacitors. For example, in semiconductor manufacture of a memory array for a DRAM, each memory cell comprises a capacitor and a transistor. In a conventional DRAM, pairs of memory cells are located within regions (“memory cell areas”) defined by intersecting row lines (“word lines”) and column lines (“bit lines” or “digit lines”). Accordingly, to increase memory cell density of the memory array, row lines and column lines are positioned with minimal spacing (“pitch”). Using minimal pitch in turn constrains memory cell area. In high-density memory array architecture, a memory array will have a bit line-to-bit line pitch equal to or less than 0.5 microns. [0003]
  • In conflict with reducing memory cell area is maintaining a sufficient amount of memory cell charge storage capacitance. Each DRAM memory comprises a capacitor for storing charge. A capacitor is two conductors separated by a dielectric, and its capacitance, C, is mathematically determinable as: [0004]
  • C=(εrε0 A)/d,
  • where ε[0005] o is a physical constant; dielectric constant, εr, is a material dependant property; distance, d, is distance between conductors; and area, A, is common surface area of the two conductors.
  • To increase capacitance, C, per unit area, the DRAM industry is pursuing depositing materials with a high permittivity for use as capacitor dielectrics. Many perovskites, ferroelectrics, and other high-dielectric-constant materials have capacitance densities greater than standard silicon dioxide (SiO[0006] 2) and silicon nitride (Si3N4) capacitor dielectrics.
  • Many perovskites have the chemical formula ABO[0007] 3, where A is one or more monovalent, divalent, or trivalent elements and B is one or more pentavalent, tetravalent, trivalent, or divalent elements. Examples of high-dielectric-constant ferroelectric oxides include niobium pentoxide (Nb2O5); tantalum pentoxide (Ta2O5); and titanates including lead zirconate titanate (PbTiZrO3, abbreviated PZT) and barium strontium titanate (BaSrTiO3, abbreviated BST). Other high-dielectric-constant materials include but are not limited to non-oxide ferroelectrics, such as barium fluoride (BaF2) and magnesium fluoride (MgF2). Depending on the dielectric constant, εr, of these materials, as well as the application, they may be used in parallel plate capacitor structures, such as stack capacitors or mini-stack capacitors, or vertical capacitor structures including container capacitors and trench capacitors.
  • High-dielectric-constant oxides are conventionally deposited in one step at elevated temperatures (greater than 500 degrees Celsius) in an oxygenated atmosphere (such as O[0008] 2). Examples of processes for depositing high-dielectric-constant oxides are ionbeam sputtering, chemical vapor deposition, and pulsed laser deposition. It has been found that in such an environment, the capacitor electrode layer oxidizes, thereby causing an unwanted reduction in capacitance. Moreover, such high-dielectric-constant oxides are often annealed or re-oxidized after deposition, which further exacerbates the oxidation problem.
  • In addition, other problems may occur if high-dielectric-constant oxide dielectrics are deposited directly on silicon (Si) capacitor electrodes. In addition to oxidation or other undesirable reactions between the electrode and dielectric, silicon may migrate into the high-dielectric-constant oxide, thereby reducing its permittivity and the capacitor's capacitance. [0009]
  • One option to at least partially prevent these problems is to provide a barrier layer, such as a layer of germanium (Ge) or silicon nitride (Si[0010] 3N4), between the silicon electrode and the high-dielectric-constant oxide. The oxidation conditions present after the deposition of this barrier layer may result in the oxidation of that layer, but this is actually preferable in certain circumstances. Regardless, it is desired in the art that this layer will prevent oxidation of the electrode. Unfortunately, the oxidation conditions in effect while forming the entirety of the high-K dielectric in one step often still cause oxidation of the silicon electrode despite the presence of the barrier layer. In addition, forming a barrier layer adds complexity to the manufacturing process and reduces the effective dielectric constant of the capacitor.
  • As an alternative to depositing dielectric on a silicon electrode, high-dielectric-constant oxides may be deposited on nonreactive metals or conductive metal oxides comprising the capacitor electrode. The term “nonreactive” when used in context with contact to a high-dielectric-constant oxide herein means a material that provides a stable conductive interface during and after processing. Examples of nonreactive metals include noble metals such as lead (Pd) or platinum (Pt). Exemplary conductive metal oxides include ruthenium oxide (RuO[0011] 2). Single and multiple metal layers may used to form the capacitor electrode. However, while this solves some of the problems associated with silicon electrodes, the problem of oxidizing the electrode during or after the formation of the high-K dielectric still exists.
  • As a result, it would be desirable to form a high-dielectric-constant oxide such that the likelihood of decreasing capacitance is reduced. [0012]
  • SUMMARY OF THE INVENTION
  • Accordingly, the present invention provides a dielectric for a capacitor structure or other device, and method of formation thereof. Rather that provide a single layer of dielectric under a particular set of oxidation parameters, one exemplary embodiment of the current invention instead provides a plurality of thinner dielectric layers, wherein providing at least the layer nearest the capacitor electrode is accomplished under less aggressive oxidizing parameters. Such exemplary embodiments allow for greater control over the oxidation that may occur beyond the dielectric.[0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Features and advantages of the present invention will become more apparent from the following description of the preferred embodiments described below in detail with reference to the accompanying drawings where: [0014]
  • FIGS. 1A and 1B are cross-sectional views of in-process DRAM substrate assemblies of the prior art. [0015]
  • FIGS. 2A and 2B are cross-sectional views of exemplary embodiments in accordance with the present invention. [0016]
  • FIGS. 3A and 3B are cross-sectional views of exemplary embodiments in accordance with the present invention having undergone additional processing.[0017]
  • Reference numbers refer to the same or equivalent parts of embodiments of the present invention throughout the several figures of the drawing. [0018]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the following detailed Description of the Preferred Embodiments section, reference is made to the accompanying drawings which form a part of this disclosure, and which, by way of illustration, are provided for facilitating understanding of the exemplary embodiments. It is to be understood that embodiments other than the exemplary embodiments disclosed herein may be practiced without departing from the scope of the present invention. The following exemplary embodiments, directed to manufacture of dynamic random access memories (DRAMs), are provided to facilitate understanding of the present invention. Accordingly, some conventional details with respect to manufacture of DRAMs have been omitted to more clearly describe the exemplary embodiments herein. [0019]
  • Referring to FIG. 1A, there is shown a cross-sectional view of an in-process [0020] DRAM substrate assembly 10 of the prior art. By substrate assembly, it is meant a substrate comprising one or more layers formed thereon or therein. Further, in the current application, the term “substrate” or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). Further, the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above. Substrate assembly 10 comprises a slice of a single crystalline semiconductor 11. In semiconductor 11, a well 12 is formed. In well 12 are formed conductive implant regions 14. Shallow trench isolation regions 13 are formed in semiconductor 11 by removing a portion thereof and filling the remaining hole with one or more dielectric materials. Transistor gate stacks 16 are formed on semiconductor 11, and are separated from conductive studs 15 by spacers 17. Dielectric layers 18 and 19 are deposited and etched to provide holes for deposition of conductive layer 20.
  • [0021] Conductive layer 20 is then polished to form a planar surface. As chemical-mechanical-polishing (CMP) is conventionally used for such polishing, photosensitive polymer 21 is deposited to protect substrate assembly 10 from potential contaminants associated with CMP. Conductive layer 20 provides bottom electrodes for container capacitor structures.
  • Dashed-[0022] lines 5 indicate a proximate location for a contact via for connection to a conductive stud 15 therebelow. Separation 7 between dashed-lines 5 and outer surface 9 of conductive layer 20 bottom electrodes is about or less than 0.1 microns for a high-density DRAM array.
  • Notably, though an exemplary embodiment of the present invention is described herein in terms of a container capacitor structure for a high-density DRAM array, it should be understood that the present invention may be employed to form container, stack, mini-stack, trench, or other capacitor structures in DRAM devices or in devices other than DRAM. The present invention may be implemented in a variety of other integrated circuit devices including but not limited to memory devices, logic devices having embedded memory, application specific integrated circuits, microprocessors, microcontrollers, digital signal processors, and the like incorporating a memory array which employs one or more capacitors. Moreover, a memory or a memory module having a capacitor formed in accordance with the present invention may be employed in various types of information handling systems. Such systems include network cards, telephones, scanners, facsimile machines, routers, televisions, video cassette recorders, copy machines, displays, printers, calculators, and personal computers, and the like systems incorporating memory. [0023]
  • Again, referring to FIG. 1A, [0024] conductive layer 20 provides a first electrode for a capacitor structure; in the exemplary embodiment illustratively shown, it is a container capacitor structure; however, conductive layer 20 may be thought of as a bottom electrode for any form of capacitor. Conductive layer 20 may be formed of one or more layers of one or more materials. An optional barrier layer 4 may be formed between conductive layer 20 and conductive stud 15 to limit unwanted effects due to diffusion. Examples of oxygen diffusion limiting barrier materials that are conductors include metal nitrides, conductively formed semiconductors (e.g., germanium doped with a material designated as an electron acceptor or an electron donor), and conductively formed semiconductor nitrides (e.g., silicon nitride doped with a material designated as an electron acceptor or an electron donor). This barrier layer 4, however, does not limit or prevent unwanted effects of interaction between conductive layer 20 and a subsequently deposited high-dielectric-constant capacitor dielectric. As a result, another barrier layer 3, such as the one described in the background section and pictured in FIG. 1B, may be provided between these layers.
  • With respect to these examples, oxidation of the [0025] conductive layer 20 will occur during or after a one-step formation of the full amount of high-K dielectric that will be used in the completed capacitor. By way of example and not limitation, if conductive layer 20 comprises silicon and a high-dielectric-constant oxide is deposited on it, then silicon dioxide may be formed. In addition, oxygen may reach other layers under the conductive layer 20 and create undesirable effects in other materials. Further, other undesirable reactions or material migrations may also occur.
  • To mitigate unwanted interaction, the present invention offers exemplary embodiments that allow for formation of a high-K dielectric under conditions that minimize if not completely prevent oxidation beyond the dielectric. Rather than form the entire amount of dielectric at once under a given set of parameters, exemplary embodiments teach forming the dielectric in a plurality of steps, wherein each step provides only a portion of the total dielectric to be used in the completed device. Moreover, at least a first oxidation step involved with providing the dielectric is carried out under parameters that result in less aggressive oxidation in comparison to the prior art parameters. [0026]
  • Referring to FIG. 2A, there is shown a cross-sectional view of an in-process [0027] DRAM substrate assembly 10A in accordance with the present invention. Conductive layer 20 may comprise an acceptor or donor doped semiconductor, platinum, palladium, rhodium, gold, iridium, silver, titanium nitride, tin nitride, ruthenium nitride, zirconium nitride, ruthenium dioxide, tin oxide, titanium monoxide, and combinations thereof. FIG. 2B illustrates an alternative exemplary portion of a substrate assembly 10B, wherein conductive layer 20 comprises hemispherical-grain-silicon having phosphorous added thereto for conductivity. Also added to the substrate assembly 10B is a silicon nitride barrier layer 3.
  • In either embodiment, a [0028] first layer 22 of capacitor dielectric 24 is subsequently formed. First layer 22 may be formed at least in part by chemical-vapor-deposition (CVD), physical-vapor-deposition (PVD), jet-vapor deposition (JVD), liquid deposition (e.g., spin-on, liquid injection, and the like), atomic layer deposition/epitaxy (ALD/ALE), or other means for controlled deposition of a material. Further, it should be noted that at least one oxidation step is used in providing many kinds of capacitor dielectric both in prior art and in exemplary embodiments of the current invention. For instance, oxidation may play an integral part of the initial deposition process so that an oxide is formed as a direct result of that process. Directly depositing a tantalum oxide is one example. Alternatively, a non-oxide may be initially deposited, followed by an oxidation step. Depositing tantalum and then oxidizing that layer into tantalum oxide serves as an example of this alternative process. Regardless of the method used to provide the oxide, such an oxide is often later subjected to an anneal in an oxidizing ambient in order to fill oxygen vacancies and burn away impurities in the oxide. Any of these oxidation steps can take place by way of one or a combination of oxidizing methods.
  • Many of these oxidizing methods involve subjecting the [0029] substrate assembly 10A/10B to a thermal process in an oxygen containing atmosphere. Such an atmosphere may contain a gas including O2, O3, N2O, NO, H2O, or combinations thereof. The thermal energy can be provided in a furnace, wherein resistance to the conduction of electricity through the furnace coils generates the heat for the substrate assembly 10A/10B. As another option, the substrate assembly 10A/10B could be placed in a rapid thermal process device such as the Centura HT tool, sold by Applied Materials, set to RTO (rapid thermal oxidation) mode. In such a device, a lamp shines light on the substrate assembly 10A/10B, which heats in reaction to absorbing the light. Alternatively, a reactor could be used to generate an oxygen-containing plasma, remote or local, for the substrate assembly 10A/10B. As yet another option, an anodic oxidation process using an electrolytic solution could be used to provide the oxidizing environment.
  • Returning to the tantalum oxide example, prior art indicates that it is known to deposit, in one step, 50 to 70 Angstroms of tantalum oxide. Exemplary parameters for doing so involve a CVD process wherein a tantalum precursor such as tantalum chloride (TaCls) is carried by a gas such as argon into a furnace at a rate of 10 sccm to 2000 sccm. Further, an oxygen-containing gas such as N[0030] 2O is introduced to the furnace at a rate of 0.1 SLM to 5 SLM. The pressure inside the furnace is at 0.1 Torr to 10 Torr, and the temperature inside the furnace ranges from 800° C. to 850° C. Under parameters such as these, the full amount of tantalum oxide will form after about 30 minutes. In contrast, an exemplary embodiment of the current invention involves providing a first layer 22 of tantalum oxide whose thickness is within the range of 10 to 40 Angstroms (preferably 20 Angstroms), wherein deposition can occur in the same furnace and with the same atmosphere but at a lower temperature—ranging from 400° C. to less than 800° C. (preferably around 450° C.)—and a process time of about 1 minute. Thus, assuming that it is desired to ultimately have a dielectric thickness of 50-70 Angstroms, this exemplary embodiment teaches initially providing about 14% to 80% of that total.
  • As another example, BST can be deposited as the [0031] first layer 22. Prior art teaches providing a full 200 Angstroms at 650° C. Embodiments of the current invention allow for initially providing a first layer 22 comprising BST, wherein the thickness ranges from 20-80 Angstroms and the temperature is less than 650° C., preferably between 400° C. and less than 650° C. Assuming that it is desired to ultimately have a dielectric thickness of 200 Angstroms, this exemplary embodiment teaches initially providing about 10% to 40% of that total.
  • As a third example, it is assumed that a Metal-Insulator-Metal (MIM) capacitor configuration is desired, wherein tantalum pentoxide Ta[0032] 2O5 serves as the insulator. Prior art involves deposition parameters such as an ozone ambient and a temperature of 500° C., wherein the parameters result in the full amount of high-K dielectric being deposited. Exemplary embodiments of the current invention allow for less than the full amount of high-K dielectric to be initially deposited under less aggressive oxidation conditions in terms of time, temperature, pressure, oxygen source, other ambient gasses, or any other parameter.
  • These examples demonstrate that the oxidation environment used during or after the deposition of the fill amount of high-K oxide to be used in the capacitor results from a cooperation of several parameters, including the ones specified above as well as others such as the presence of excitation sources (like plasma or UV radiation) and their associated values. The current invention includes within its scope exemplary embodiments wherein less than the full amount of high-K oxide is initially provided, and oxidation associated with that initial amount is less than the oxidation that occurs in relation to depositing the full amount. The oxidation may occur during the deposition of an oxide dielectric, after the deposition of a non-oxide layer, or after the oxide has been provided. The oxidation may occur by way of any known method of oxidation, such as RTO, furnace oxidation, or other methods described above. The lesser oxidation is achieved by altering the oxidation parameters, such as in the ways described above as well as others. [0033]
  • After depositing and oxidizing [0034] first layer 22, second layer 23 of capacitor dielectric 24 is formed, as illustratively shown in the cross-sectional views of FIGS. 3A and 3B. Second layer 23 can be formed in a manner similar to that of the first layer 22. However, that is not a requirement under the current invention. Second layer 23 may be deposited with different oxidation parameters in effect during or after deposition. Further, it is not necessary that the oxidation parameters for the second layer 23 be less aggressive than those for the first layer 22. Returning to the MIM example, the second layer 23 of Ta2O5 can be deposited at a temperature ranging from 400° C. to 700° C. Thus, the oxidation parameters can exceed even those used in the prior art to oxidize the full amount of high-K dielectric deposited in one step. In addition, second layer 23 may be provided to define a thickness differing from the thickness of the first layer 22. Moreover, the type of high-K dielectric may be different from the high-K dielectric forming the first layer 22 in terms of the types of high-K dielectric components or their proportion.
  • High-dielectric-constant materials that may be used include perovskites, ferroelectrics, high-dielectric-constant oxides, acceptor doped perovskites, acceptor doped ferroelectrics, acceptor doped high-dielectric-constant oxides, donor doped perovskites, donor doped ferroelectrics, donor doped high-dielectric-constant oxides, and combinations thereof. The high-dielectric-constant material may be doped with a material selected from: Na, Al, Mn, Ca, La, Nb, F, K, Cr, Mn, Co, Ni, Cu, Zn, Li, Mg, Cl, V, Mo, Ce, Pr, Nd, Sn, Eu, Gd, Th, Dy, Ho, Er, Ta, W, and combinations thereof. [0035]
  • By repetitively forming sub-layers of [0036] capacitor dielectric 24, a desired thickness may be achieved while allowing greater control of the oxidation process than one would have by forming one layer of capacitor dielectric having that thickness. In embodiments such as the one depicted in FIG. 3A, oxidation may be generally restricted to the capacitor dielectric 24, thereby leaving the conductive layer 20 substantially free of oxide. A similar result may be achieved in the exemplary embodiment depicted in FIG. 3B, but it would be preferred to allow oxidation to extend to the barrier layer 3 while still leaving the conductive layer 20 substantially free of oxide. The above described methods allow such a result.
  • After forming a plurality of sub-layers of [0037] capacitor dielectric 24, conductive layer 25 may be deposited to provide a top electrode portion for capacitor 8. Conductive layer 25 may comprise acceptor doped silicon, donor doped silicon, copper, aluminum, platinum, palladium, rhodium, gold, iridium, silver, titanium nitride, tin nitride, ruthenium nitride, zirconium nitride, ruthenium dioxide, tin oxide, titanium monoxide, and combinations thereof.
  • It should be understood that [0038] substrate assembly 10A of FIGS. 2A, 2B, 3A and 3B may be formed in a single chamber 100 or in a cluster of chambers 100 without breaking vacuum. It should be understood that though only two sub-layers 22 and 23 are described herein, the present invention may be employed to provide two or more sub-layers for forming capacitor dielectric layer 24.
  • The present invention has been particularly shown and described with respect to certain preferred embodiments. However, it will be readily appreciated to those of ordinary skill in the art that a wide variety of alternate embodiments, adaptations or variations of the preferred embodiments, and/or equivalent embodiments may be made without departing from the intended scope of the present invention as set forth in the appended claims. For example, the thickness of the initially deposited dielectric sub-layer can be as little as the thickness of one atom comprising that layer (in which case the sub-layer would be considered to be a “monolayer”) depending upon the tendency of subsequent processing steps to encourage oxygen diffusion. Further, it should be understood that exemplary embodiments of the current invention have applications in metal-insulator-silicon (MIS) structures as well as MIM structures discussed above and other structures known in the art. For instance, at least one exemplary embodiment of the current invention allows for an MIS device comprising a top metal electrode, a top Ta[0039] 2O5 layer under the top metal electrode, a bottom Ta2O5 layer under the top Ta2O5 layer, a silicon nitride layer under the bottom Ta2O5 layer, and a bottom silicon electrode under the silicon nitride layer. In addition, the current invention is not limited to providing a capacitor dielectric. Rather, the invention has applications in any circumstance wherein controlling the oxidation of a dielectric or other insulator layer is beneficial. Accordingly, the present invention is not limited except as by the appended claims.

Claims (59)

What is claimed is:
1. A substrate assembly, comprising:
a support surface; and
a plurality of high-K dielectric layers over said support surface, wherein a common metal is present in at least two adjacent layers of said plurality.
2. The substrate assembly in claim 1, wherein said plurality of high-K dielectric layers comprises a first high-K dielectric layer contacting said support surface.
3. The substrate assembly in claim 1, further comprising a barrier layer between said support surface and said plurality of high-K dielectric layers.
4. The substrate assembly in claim 1, wherein said support surface is a capacitor electrode.
5. The substrate assembly in claim 1, wherein said plurality of high-K dielectric layers defines a thickness of at most 200 Angstroms.
6. The substrate assembly in claim 5, wherein said plurality of high-K dielectric layers comprises a first high-K dielectric layer contacting said support surface and defining a thickness of at least a monolayer.
7. The substrate assembly in claim 6, wherein said first high-K dielectric layer defines a thickness of at least 10 Angstroms.
8. A capacitor dielectric, comprising:
a first high-K capacitor dielectric comprising a metallic element; and
a second high-K capacitor dielectric comprising said metallic element and contacting said first high-K capacitor dielectric.
9. The capacitor dielectric in claim 8, wherein said first high-K capacitor dielectric defines a first thickness; and wherein said second high-K capacitor dielectric defines a second thickness that is different from said first thickness.
10. The capacitor dielectric in claim 8, wherein said first high-K capacitor dielectric and said second high-K capacitor dielectric are oxides.
11. The capacitor dielectric in claim 10, wherein said first high-K capacitor dielectric is a first oxide; and wherein said second high-K capacitor dielectric is a second oxide different from said first oxide.
12. The capacitor dielectric in claim 10, wherein said first high-K capacitor dielectric contains a first amount of oxygen; and wherein said second high-K capacitor dielectric contains a second amount of oxygen different from said first amount.
13. A capacitor structure, comprising:
a first electrode layer;
a dielectric layer disposed over said first electrode layer, wherein said dielectric layer comprises a plurality of consecutively-positioned sub-layers, wherein each of said sub-layers comprises a high-dielectric-constant material, and wherein said dielectric layer comprises oxygen and an additional element common to all sub-layers of said plurality; and
a second electrode layer disposed over said dielectric layer.
14. A method of insulating a semiconductor device, comprising:
providing a series of insulating layers for said semiconductor device under oxidizing conditions; and
controlling oxidation beyond said series of insulating layers by way of said oxidizing conditions.
15. The method in claim 14, wherein said step of providing a series of insulating layers comprises providing a series of insulating layers defining a total thickness; and wherein said step of controlling oxidation comprises ensuring that a cumulative effect on oxidation from providing said series of insulating layers is less than an effect of oxidizing one insulating layer defining said total thickness.
16. The method in claim 15, wherein said step of providing a series of insulating layers comprises providing a series of oxide layers.
17. The method in claim 16, wherein said step of providing a series of oxide layers comprises providing a series of high-K oxide layers.
18. A method of depositing a high-K dielectric onto a surface, comprising:
providing a first layer of said high-K dielectric over said surface using a first group of oxidation parameters that generally insufficient to oxidize said surface; and
providing a second layer of said high-K dielectric over said first layer using a second group of oxidation parameters that generally insufficient to oxidize said surface.
19. The method in claim 18, further comprising a step of depositing a barrier layer onto said surface; and wherein said step of providing a first layer of said high-K dielectric comprises depositing said first layer onto said barrier layer, wherein said first group of oxidation parameters allow oxidation of said barrier layer and are generally insufficient to oxidize said surface.
20. The method in claim 18, wherein said step of providing a first layer comprises:
depositing a material; and
subsequently oxidizing said material using said first group of oxidation parameters.
21. The method in claim 18, wherein said step of providing a first layer comprises depositing a material using said first group of oxidation parameters.
22. The method in claim 18, further comprising a step of reoxidizing a selection of said first layer and said second layer using a third group of oxidation parameters that are generally insufficient to oxidize said surface.
23. A method of providing insulation, comprising:
providing a substrate configured to receive a high-K dielectric material having a thickness and resulting from a first set of oxidizing parameters and only one deposition step; and
depositing said thickness of said high-K dielectric material onto said substrate in a plurality of deposition steps using at least a second set of oxidizing parameters that allow less oxidation than said first set.
24. The method in claim 23, wherein said step of depositing said thickness of said high-K dielectric material comprises additionally using said first set of oxidizing parameters.
25. The method in claim 23, wherein said step of depositing said thickness of said high-K dielectric material comprises additionally using a third set of oxidizing parameters that allow more oxidation than said first set.
26. A method of processing a capacitor electrode configured to oxidize in response to providing an amount of high-K oxide for said electrode using a first set of oxidizing conditions including a process time, an ambient temperature, an ambient pressure, an ambient atmosphere, and excitation source values; wherein said method comprises:
providing a first portion of said amount of high-K oxide for said electrode using a second set of oxidizing conditions that are less aggressive than said first set; and
providing a second portion of said amount of high-K oxide.
27. The method in claim 26, wherein said step of providing a second portion comprises providing said second portion at a temperature differing from a temperature included as part of said second set of oxidizing conditions.
28. The method in claim 26, wherein said step of providing a second portion comprises providing a second portion in an ambient atmosphere differing from an ambient atmosphere included as part of said second set of oxidizing conditions.
29. The method in claim 26, wherein said step of providing a second portion comprises providing a second portion differing in depth from said first portion.
30. A method of forming a capacitor structure for a substrate assembly, comprising:
forming a first electrode layer on said substrate assembly;
forming a first capacitor dielectric layer portion over said first electrode layer, said first capacitor dielectric layer portion comprising a metal element;
forming a second capacitor dielectric layer portion over said first capacitor dielectric layer portion, said second capacitor dielectric layer portion comprising said metal element, wherein said first capacitor dielectric layer portion and said second capacitor dielectric layer portion in combination provide at least a portion of a total capacitor dielectric layer, and wherein said total capacitor dielectric layer exhibits a dielectric constant greater than ten; and
forming a second electrode layer over said total capacitor dielectric layer.
31. The method of claim 30, wherein said total capacitor dielectric layer exhibits a dielectric constant greater than twenty.
32. The method of claim 30, wherein said total capacitor dielectric layer comprises a dielectric material selected from a group consisting of: perovskites, ferroelectrics, high-dielectric-constant oxides, doped versions of each of said preceding materials, and combinations thereof.
33. The method of claim 32, wherein said step of forming a first electrode layer comprises forming said first electrode layer using a first conductive material selected from a group consisting of: doped silicon, platinum, palladium, rhodium, gold, iridium, silver, titanium nitride, tin nitride, ruthenium nitride, zirconium nitride, ruthenium dioxide, tin oxide, titanium monoxide, and combinations thereof.
34. The method of claim 33, wherein said step of forming a second electrode layer comprises forming said second electrode layer using a second conductive material selected from a group consisting of: copper, platinum, palladium, rhodium, gold, iridium, silver, titanium nitride, tin nitride, ruthenium nitride, zirconium nitride, ruthenium dioxide, tin oxide, titanium monoxide, titanium silicide, aluminum, and combinations thereof.
35. The method of claim 30, wherein said total capacitor dielectric layer comprises a dielectric material selected from a group consisting of: (Ba,Sr,Pb,La)(Ti,Zr)O3, bismuth titanate, potassium tantalate, lead niobate, lead zinc niobate, potassium niobate, lead magnesium niobate, tantalum pentoxide, and combinations thereof.
36. The method of claim 35, wherein at least a portion of said total capacitor dielectric layer is doped with a dopant material selected from a group consisting of: Na, Al, Mn, Ca, La, Nb, F, K, Cr, Mn, Co, Ni, Cu, Zn, Li, Mg, Cl, V, Mo, Ce, Pr, Nd, Sn, Eu, Gd, Th, Dy, Ho, Er, Ta, W, and combinations thereof.
37. A method of allowing capacitance to be generated, comprising:
providing a plurality of high-K dielectric layers for a capacitor, said plurality representing a continuous sequence of materials sharing a common non-oxygen component; and
providing an oxidizing environment for said plurality of high-K dielectric layers.
38. The method in claim 37, wherein said step of providing a plurality of high-K dielectric layers comprises depositing a plurality of oxide layers; and wherein said step of providing an oxidizing environment comprises providing said oxidizing environment during said step of depositing a plurality of oxide layers.
39. The method in claim 37, wherein said step of providing a plurality of high-K dielectric layers comprises depositing a plurality of non-oxide layers; and wherein said step of providing an oxidizing environment comprises providing an oxidizing environment after depositing each non-oxide layer of said plurality of non-oxide layers.
40. The method in claim 37, wherein said step of providing a plurality of high-K dielectric layers comprises depositing a plurality of oxide layers; and wherein said step of providing an oxidizing environment comprises providing an oxidizing environment after depositing all of said plurality of oxide layers.
41. The method in claim 37, wherein said step of providing an oxidizing environment comprises providing a rapid thermal oxidation environment.
42. The method in claim 37, wherein said step of providing an oxidizing environment comprises providing a furnace oxidation environment.
43. The method in claim 37, wherein said step of providing an oxidizing environment comprises providing a plasma oxidation environment.
44. The method in claim 37, wherein said step of providing an oxidizing environment comprises providing an anodic oxidation environment.
45. A method of providing a capacitor dielectric defining a total thickness, comprising:
depositing an initial layer of a high-K capacitor dielectric, said initial layer defining an initial thickness that is less than said total thickness; and
depositing an additional amount of said high-K capacitor dielectric onto said initial layer, said additional amount defining an additional thickness, wherein said initial thickness plus said additional thickness equal said total thickness.
46. The method in claim 45, wherein said step of depositing an initial layer comprises depositing an initial layer defining an initial thickness ranging from 10% to 40% of said total thickness.
47. The method in claim 45, wherein said step of depositing an initial layer comprises depositing an initial layer defining an initial thickness ranging from about 14% to 80% of said total thickness.
48. A method of depositing multiple layers of a high-K capacitor dielectric on a workpiece in a furnace, comprising:
establishing deposition parameters within said furnace, comprising:
introducing a tantalum precursor into said furnace at a rate ranging from 10 sccm to 2000 sccm,
introducing an oxygen-containing gas into said furnace at a rate ranging from 0.1 SLM to 5 SLM,
establishing a pressure inside said furnace ranging from 0.1 Torr to 10 Torr, and
establishing a temperature inside said furnace ranging from 400° C. to less than 800° C.;
exposing said workpiece to said deposition parameters for less than 30 minutes;
allowing a first tantalum-based layer to form on said workpiece in response to said exposing step; and
depositing a second tantalum-based layer directly onto said first tantalum-based layer.
49. The method in claim 48, wherein said step of establishing a temperature inside said furnace comprises establishing a temperature inside said furnace of around 450° C.
50. The method in claim 48, wherein said step of exposing said workpiece to said deposition parameters comprises exposing said workpiece to said deposition parameters for about one minute.
51. The method in claim 48, wherein:
said step of introducing a tantalum precursor comprises introducing tantalum chloride; and
said step of introducing an oxygen-containing gas comprises introducing N2O.
52. A capacitor dielectric, comprising a plurality of capacitor dielectric layers defining a total thickness ranging from 50 to 70 angstroms, wherein each layer of said plurality is a high-K dielectric defining an individual thickness ranging from 10 to 40 angstroms in thickness, and wherein each layer of said plurality comprises a metal oxide included within an adjacent layer of said plurality.
53. The capacitor dielectric of claim 52, wherein at least a lowest layer of said plurality defines an individual thickness of about 20 angstroms.
54. A method of providing a BST dielectric, comprising:
forming an initial BST layer at a temperature of less than 650° C. for a time sufficient to define a layer ranging from 20 to 80 Angstroms thick; and
forming a subsequent BST layer over said initial BST layer.
55. The method in claim 54, wherein said step of forming an initial BST layer comprises forming an initial BST layer at a temperature of at least 400° C.
56. The method in claim 55, wherein said step of forming a subsequent BST layer comprises forming a subsequent BST layer onto said initial BST layer.
57. A method of forming an amount of Ta2O5 over a metal electrode, comprising:
providing a first portion of Ta2O5 over said metal electrode at a temperature of less than 500° C., wherein said first portion is less than said amount; and
providing an additional portion of Ta2O5 onto said first portion at a temperature ranging from 400° C. to 700° C.
58. The method in claim 57, wherein said step of providing a first portion of Ta2O5 comprises providing a first portion having a first thickness; and wherein said step of providing an additional portion of Ta2O5 comprises providing an additional portion having a second thickness different from said first thickness.
59. A metal-insulator-silicon device, comprising:
a top metal electrode;
a top Ta2O5 layer under said top metal electrode;
a bottom Ta2O5 layer under said top Ta2O5 layer and contacting said top Ta2O5 layer;
a silicon nitride layer under said bottom Ta2O5 layer; and
a bottom silicon electrode under said silicon nitride layer.
US10/613,203 2000-06-07 2003-07-03 Dielectric structures Expired - Fee Related US8421140B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/613,203 US8421140B2 (en) 2000-06-07 2003-07-03 Dielectric structures
US11/460,021 US20060258113A1 (en) 2000-06-07 2006-07-26 Capacitor structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/589,671 US6617206B1 (en) 2000-06-07 2000-06-07 Method of forming a capacitor structure
US10/613,203 US8421140B2 (en) 2000-06-07 2003-07-03 Dielectric structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/589,671 Division US6617206B1 (en) 2000-06-07 2000-06-07 Method of forming a capacitor structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/460,021 Division US20060258113A1 (en) 2000-06-07 2006-07-26 Capacitor structure

Publications (2)

Publication Number Publication Date
US20040097034A1 true US20040097034A1 (en) 2004-05-20
US8421140B2 US8421140B2 (en) 2013-04-16

Family

ID=27789300

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/589,671 Expired - Lifetime US6617206B1 (en) 2000-06-07 2000-06-07 Method of forming a capacitor structure
US10/613,203 Expired - Fee Related US8421140B2 (en) 2000-06-07 2003-07-03 Dielectric structures
US11/460,021 Abandoned US20060258113A1 (en) 2000-06-07 2006-07-26 Capacitor structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/589,671 Expired - Lifetime US6617206B1 (en) 2000-06-07 2000-06-07 Method of forming a capacitor structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/460,021 Abandoned US20060258113A1 (en) 2000-06-07 2006-07-26 Capacitor structure

Country Status (1)

Country Link
US (3) US6617206B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
US20060151823A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan High dielectric constant materials
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US20060267098A1 (en) * 2005-05-30 2006-11-30 Oki Electric Industry Co., Ltd. Semiconductor device
US7161795B1 (en) * 2005-09-26 2007-01-09 Ferro Corporation COG dielectric composition for use with copper electrodes
US11424318B2 (en) * 2018-09-28 2022-08-23 Semiconductor Manufacturing International (Shanghai) Corporation Capacitor devices and fabrication methods thereof

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617206B1 (en) * 2000-06-07 2003-09-09 Micron Technology, Inc. Method of forming a capacitor structure
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
US20030003665A1 (en) * 2001-06-27 2003-01-02 Nakagawa Osamu Samuel Process for high-dielectric constant metal-insulator metal capacitor in VLSI multi-level metallization systems
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
DE10203674A1 (en) * 2002-01-30 2003-08-14 Infineon Technologies Ag Semiconductor module with an insulation layer and method for producing a semiconductor module with an insulation layer
US7086718B2 (en) * 2002-11-23 2006-08-08 Silverbrook Research Pty Ltd Thermal ink jet printhead with high nozzle areal density
US20050035429A1 (en) * 2003-08-15 2005-02-17 Yeh Chih Chieh Programmable eraseless memory
US7180123B2 (en) * 2003-07-21 2007-02-20 Macronix International Co., Ltd. Method for programming programmable eraseless memory
US7132350B2 (en) * 2003-07-21 2006-11-07 Macronix International Co., Ltd. Method for manufacturing a programmable eraseless memory
KR100519777B1 (en) * 2003-12-15 2005-10-07 삼성전자주식회사 Capacitor of Semiconductor Device and Manucturing Method thereof
US20080253958A1 (en) * 2006-11-15 2008-10-16 Mccracken Colin G Production of high-purity titanium monoxide and capacitor production therefrom
US20080112879A1 (en) * 2006-11-15 2008-05-15 Mccracken Colin G Production of high-purity titanium monoxide and capacitor production therefrom
US20080233420A1 (en) * 2007-03-23 2008-09-25 Mccracken Colin G Production of high-purity tantalum flake powder
KR101526182B1 (en) * 2009-02-16 2015-06-05 삼성전자 주식회사 Semiconductor integrated circuit device and fabficating method the same
CN102446893B (en) * 2011-10-12 2013-10-09 上海华力微电子有限公司 Metal-oxide-metal capacitor
CN102446891B (en) * 2011-10-12 2014-11-19 上海华力微电子有限公司 Manufacturing method of metal-oxide-metal capacitor
CN102446895B (en) * 2011-10-12 2013-12-04 上海华力微电子有限公司 Metal-oxide-metal capacitor and manufacturing method thereof
CN102446894B (en) * 2011-10-12 2014-04-02 上海华力微电子有限公司 High-performance metal-oxide-metal capacitor and manufacturing method thereof
KR20140008965A (en) * 2012-07-13 2014-01-22 에스케이하이닉스 주식회사 Method for forming semiconductor device
US10164003B2 (en) * 2016-01-14 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. MIM capacitor and method of forming the same
CN107154406B (en) * 2017-05-12 2021-01-26 京东方科技集团股份有限公司 Display substrate, manufacturing method thereof and display device
KR20190008050A (en) * 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 Ferroelectric Memory Device
US10861861B2 (en) * 2018-12-14 2020-12-08 Intel Corporation Memory including a perovskite material
US10833092B2 (en) * 2019-01-23 2020-11-10 Micron Technology, Inc. Methods of incorporating leaker-devices into capacitor configurations to reduce cell disturb, and capacitor configurations incorporating leaker-devices
US11476261B2 (en) 2019-02-27 2022-10-18 Kepler Computing Inc. High-density low voltage non-volatile memory with unidirectional plate-line and bit-line and pillar capacitor
US10998025B2 (en) 2019-02-27 2021-05-04 Kepler Computing, Inc. High-density low voltage non-volatile differential memory bit-cell with shared plate-line
US11049864B2 (en) * 2019-05-17 2021-06-29 Micron Technology, Inc. Apparatuses including capacitor structures, and related memory devices, electronic systems, and methods
US11716858B1 (en) 2021-05-07 2023-08-01 Kepler Computing Inc. Ferroelectric device film stacks with texturing layer which is part of a bottom electrode and a barrier, and method of forming such
US11527277B1 (en) 2021-06-04 2022-12-13 Kepler Computing Inc. High-density low voltage ferroelectric memory bit-cell
US11837268B1 (en) 2022-03-07 2023-12-05 Kepler Computing Inc. Multi-element ferroelectric gain memory bit-cell having stacked and folded planar capacitors with lateral offset
US11741428B1 (en) 2022-12-23 2023-08-29 Kepler Computing Inc. Iterative monetization of process development of non-linear polar material and devices

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5187638A (en) * 1992-07-27 1993-02-16 Micron Technology, Inc. Barrier layers for ferroelectric and pzt dielectric on silicon
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US5335138A (en) * 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5440157A (en) * 1992-07-17 1995-08-08 Kabushiki Kaisha Toshiba Semiconductor integrated-circuit capacitor having a carbon film electrode
US5489548A (en) * 1994-08-01 1996-02-06 Texas Instruments Incorporated Method of forming high-dielectric-constant material electrodes comprising sidewall spacers
US5506166A (en) * 1993-04-02 1996-04-09 Micron Technology, Inc. Method for forming capacitor compatible with high dielectric constant materials having a low contact resistance layer
US5576564A (en) * 1993-12-28 1996-11-19 Sharp Kabushiki Kaisha Ferroelectric thin film with intermediate buffer layer
US5654222A (en) * 1995-05-17 1997-08-05 Micron Technology, Inc. Method for forming a capacitor with electrically interconnected construction
US5686748A (en) * 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US5688724A (en) * 1992-07-02 1997-11-18 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
US5837593A (en) * 1995-09-01 1998-11-17 Samsung Electronics Co., Ltd. Methods of fabricating microelectronic capacitors having tantalum pentoxide dielectrics
US5841186A (en) * 1997-08-19 1998-11-24 United Microelectronics Corp. Composite dielectric films
US6172385B1 (en) * 1998-10-30 2001-01-09 International Business Machines Corporation Multilayer ferroelectric capacitor structure
US6174564B1 (en) * 1991-12-13 2001-01-16 Symetrix Corporation Method of making metal polyoxyalkylated precursor solutions
US6177305B1 (en) * 1998-12-17 2001-01-23 Lsi Logic Corporation Fabrication of metal-insulator-metal capacitive structures
US6200874B1 (en) * 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
US6207489B1 (en) * 1999-01-05 2001-03-27 Samsung Electronics Co., Ltd. Method for manufacturing capacitor of semiconductor memory device having tantalum oxide film
US6228702B1 (en) * 1998-11-30 2001-05-08 Nec Corporation Method of manufacturing semiconductor device
US6232167B1 (en) * 1995-06-09 2001-05-15 Sharp Kabushiki Kaisha Method of producing a ferroelectric thin film coated substrate
US6235572B1 (en) * 1998-06-19 2001-05-22 Hitachi, Ltd. Method of making a memory cell having two layered tantalum oxide films
US6307731B1 (en) * 1999-06-02 2001-10-23 Sony Corporation Dielectric capacitor and memory and method of manufacturing the same
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6362068B1 (en) * 1993-03-31 2002-03-26 Texas Instruments Incorporated Electrode interface for high-dielectric-constant materials
US6403441B1 (en) * 1998-06-30 2002-06-11 Oki Electric Industry Co., Ltd. Method for fabricating storage capacitor using high dielectric constant material
US6586790B2 (en) * 1998-07-24 2003-07-01 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6933225B2 (en) * 2000-03-07 2005-08-23 Asm International N.V. Graded thin films
US20060258113A1 (en) * 2000-06-07 2006-11-16 Micron Technology, Inc. Capacitor structure
US7294544B1 (en) * 1999-02-12 2007-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a metal-insulator-metal capacitor in the CMOS process

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19923294C1 (en) * 1999-05-21 2001-02-15 Bruker Analytik Gmbh Sample head in which two different nuclear types are excited has high frequency line bridged on half the length with a resonance element

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US6174564B1 (en) * 1991-12-13 2001-01-16 Symetrix Corporation Method of making metal polyoxyalkylated precursor solutions
US5688724A (en) * 1992-07-02 1997-11-18 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
US5440157A (en) * 1992-07-17 1995-08-08 Kabushiki Kaisha Toshiba Semiconductor integrated-circuit capacitor having a carbon film electrode
US5187638A (en) * 1992-07-27 1993-02-16 Micron Technology, Inc. Barrier layers for ferroelectric and pzt dielectric on silicon
US5335138A (en) * 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
US6362068B1 (en) * 1993-03-31 2002-03-26 Texas Instruments Incorporated Electrode interface for high-dielectric-constant materials
US5506166A (en) * 1993-04-02 1996-04-09 Micron Technology, Inc. Method for forming capacitor compatible with high dielectric constant materials having a low contact resistance layer
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5576564A (en) * 1993-12-28 1996-11-19 Sharp Kabushiki Kaisha Ferroelectric thin film with intermediate buffer layer
US5656852A (en) * 1994-08-01 1997-08-12 Texas Instruments Incorporated High-dielectric-constant material electrodes comprising sidewall spacers
US5489548A (en) * 1994-08-01 1996-02-06 Texas Instruments Incorporated Method of forming high-dielectric-constant material electrodes comprising sidewall spacers
US5686748A (en) * 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US5654222A (en) * 1995-05-17 1997-08-05 Micron Technology, Inc. Method for forming a capacitor with electrically interconnected construction
US6232167B1 (en) * 1995-06-09 2001-05-15 Sharp Kabushiki Kaisha Method of producing a ferroelectric thin film coated substrate
US5837593A (en) * 1995-09-01 1998-11-17 Samsung Electronics Co., Ltd. Methods of fabricating microelectronic capacitors having tantalum pentoxide dielectrics
US5841186A (en) * 1997-08-19 1998-11-24 United Microelectronics Corp. Composite dielectric films
US6200874B1 (en) * 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
US6235572B1 (en) * 1998-06-19 2001-05-22 Hitachi, Ltd. Method of making a memory cell having two layered tantalum oxide films
US6403441B1 (en) * 1998-06-30 2002-06-11 Oki Electric Industry Co., Ltd. Method for fabricating storage capacitor using high dielectric constant material
US6586790B2 (en) * 1998-07-24 2003-07-01 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6172385B1 (en) * 1998-10-30 2001-01-09 International Business Machines Corporation Multilayer ferroelectric capacitor structure
US6228702B1 (en) * 1998-11-30 2001-05-08 Nec Corporation Method of manufacturing semiconductor device
US6177305B1 (en) * 1998-12-17 2001-01-23 Lsi Logic Corporation Fabrication of metal-insulator-metal capacitive structures
US6207489B1 (en) * 1999-01-05 2001-03-27 Samsung Electronics Co., Ltd. Method for manufacturing capacitor of semiconductor memory device having tantalum oxide film
US7294544B1 (en) * 1999-02-12 2007-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a metal-insulator-metal capacitor in the CMOS process
US6307731B1 (en) * 1999-06-02 2001-10-23 Sony Corporation Dielectric capacitor and memory and method of manufacturing the same
US6933225B2 (en) * 2000-03-07 2005-08-23 Asm International N.V. Graded thin films
US20060258113A1 (en) * 2000-06-07 2006-11-16 Micron Technology, Inc. Capacitor structure

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7316962B2 (en) 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
US20060151823A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan High dielectric constant materials
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US20060205143A1 (en) * 2005-01-07 2006-09-14 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US7863202B2 (en) 2005-01-07 2011-01-04 Qimonda Ag High dielectric constant materials
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
US20080096363A1 (en) * 2005-01-07 2008-04-24 Shrinivas Govindarajan High Dielectric Constant Materials
US7663241B2 (en) 2005-05-30 2010-02-16 Oki Electric Industry Co., Ltd. Semiconductor device
US20060267098A1 (en) * 2005-05-30 2006-11-30 Oki Electric Industry Co., Ltd. Semiconductor device
WO2007040671A1 (en) * 2005-09-26 2007-04-12 Ferro Corporation Cog dielectric composition for use with copper electrodes
US7161795B1 (en) * 2005-09-26 2007-01-09 Ferro Corporation COG dielectric composition for use with copper electrodes
KR101288893B1 (en) 2005-09-26 2013-07-23 페로 코포레이션 Cog dielectric composition for use with copper electrodes
US11424318B2 (en) * 2018-09-28 2022-08-23 Semiconductor Manufacturing International (Shanghai) Corporation Capacitor devices and fabrication methods thereof

Also Published As

Publication number Publication date
US8421140B2 (en) 2013-04-16
US20060258113A1 (en) 2006-11-16
US6617206B1 (en) 2003-09-09

Similar Documents

Publication Publication Date Title
US6617206B1 (en) Method of forming a capacitor structure
US6144060A (en) Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
EP1383162B1 (en) Deposition method of dielectric layer
US6396092B1 (en) Semiconductor device and method for manufacturing the same
US6727140B2 (en) Capacitor with high dielectric constant materials and method of making
US20060154382A1 (en) Capacitor with high dielectric constant materials and method of making
US6828190B2 (en) Method for manufacturing capacitor of semiconductor device having dielectric layer of high dielectric constant
KR20090110908A (en) Semiconductor device and process for producing the semiconductor device
US6495428B1 (en) Method of making a capacitor with oxygenated metal electrodes and high dielectric constant materials
KR20010083237A (en) Semiconductor memory device
US20030059959A1 (en) Method for fabricating capacitor
JP3173451B2 (en) Semiconductor device and manufacturing method thereof
US7049650B1 (en) Semiconductor device
JP4109304B2 (en) Semiconductor device and manufacturing method thereof
KR20000014388A (en) Ferroelectric memory capacitor and forming method thereof
US6689623B2 (en) Method for forming a capacitor
KR100443362B1 (en) Method for fabricating capacitor with 2 step annealing in semiconductor device
KR100687433B1 (en) Method for forming a bottom electrode of a capacitor
KR19990080412A (en) High dielectric constant capacitor with double dielectric film and manufacturing method
KR100448242B1 (en) Method for fabricating capacitor top electrode in semiconductor device
KR20040001902A (en) Method for fabricating capacitor in semiconductor device
KR20040060083A (en) Method for forming capacitor having metal oxide bottom electrode in semiconductor

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210416