US20040087091A1 - ULSI MOS with high dielectric constant gate insulator - Google Patents

ULSI MOS with high dielectric constant gate insulator Download PDF

Info

Publication number
US20040087091A1
US20040087091A1 US10/622,484 US62248403A US2004087091A1 US 20040087091 A1 US20040087091 A1 US 20040087091A1 US 62248403 A US62248403 A US 62248403A US 2004087091 A1 US2004087091 A1 US 2004087091A1
Authority
US
United States
Prior art keywords
layer
high dielectric
dielectric constant
ranges
solid solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/622,484
Inventor
Michael Setton
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US10/622,484 priority Critical patent/US20040087091A1/en
Publication of US20040087091A1 publication Critical patent/US20040087091A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the present invention relates generally to methods for fabricating integrated circuits using metal oxide semiconductor (MOS) technology. More particularly, the present invention relates to MOS devices with a gate width of less than 0.3 micron.
  • MOS metal oxide semiconductor
  • Metal oxide semiconductors are well known in the art. With the rapid integration of elements in the device, the thickness of the silicon oxide gate dielectric layer has approached the 2 nm thickness level. Such thin gate oxide layers require stringent protocols during fabrication especially in the gate etching process. In addition, concomitant with this reduction in the thickness of the gate oxide layer is the device's high leakage current caused by direct tunneling effects.
  • the present invention is based in part on the recognition that employing a gate dielectric layer formed at least in part from a high dielectric constant material comprising Ta 2 O 5 will significantly improve the performance of the MOS device by, among other things, reducing or eliminating the current leakage associated with prior art devices.
  • the invention is directed to a method for fabricating an MOS device having a gate width of less than 0.3 micron that includes the steps of:
  • a high dielectric constant layer on the interfacial layer that comprises a material that is selected from the group consisting of Ta 2 O 5 , Ta 2 (O 1 ⁇ x N x ) 5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta 2 O 5 ) r —(TiO 2 ) 1 ⁇ r wherein r ranges from about 0.9 to 1, a solid solution (Ta 2 O 5 ) s —(Al 2 O 3 ) 1 ⁇ s wherein s ranges from 0.9 to 1, a solid solution of (Ta 2 O 5 ) t —(ZrO 2 ) 1 ⁇ t wherein t ranges from about 0.9 to 1, a solid solution of (Ta 2 O 5 ) u —(HfO 2 ) 1 ⁇ u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate;
  • the electrically conductive material comprises metal that is selected from the group consisting of TiN, W, Ta, Mo and mixtures thereof.
  • the electrically conductive material comprises doped polysilicon.
  • the method includes the step of forming second spacers that are adjacent the first spacers and cover portions of the source and drain regions following step (g) and before step (h) and/or the step of forming a silicide layer on the source and drain regions following step (h).
  • the invention is directed to an MOS transistor formed on a semiconductor substrate of a first conductivity type that includes:
  • a high dielectric constant layer covering the interfacial layer that comprises a material that is selected from the group consisting of Ta 2 O 5 , Ta 2 (O 1 ⁇ x N x ) 5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta 2 O 5 ) r —(TiO 2 ) 1 ⁇ r wherein r ranges from about 0.9 to 1, a solid solution (Ta 2 O 5 ) s —(Al 2 O 3 ) 1 ⁇ s wherein s ranges from 0.9 to 1, a solid solution of (Ta 2 O 5 ) t —(ZrO 2 ) 1 ⁇ t wherein t ranges from about 0.9 to 1, a solid solution of (Ta 2 O 5 ) u —(HfO 2 ) 1 ⁇ u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate;
  • the MOS transistor also includes an insulator layer covering the device and defining a first contact hole that is filled with a first contact material and a second contact hole that are filled with a second contact material, wherein the insulator layer has a substantially planar surface.
  • FIGS. 1A through 1H illustrate the steps in fabricating an MOS device according to the present invention.
  • n+ and n ⁇ are used throughout the present disclosure.
  • the short hand notation specifies the electron concentration of various regions of a metal-oxide-semiconductor device. For instance, “n ⁇ ” specifies a region of light electron concentration (on the order of 1 ⁇ 10 18 cm ⁇ 3 ) while “n+” specifies a region of high electron concentration (on the order of 1 ⁇ 10 20 cm ⁇ 3 ).
  • FIGS. 1 A- 1 H illustrate an exemplary method for fabricating an integrated circuit device with the inventive process.
  • a p type semiconductor substrate will be employed for illustrative purposes. Therefore, n ⁇ source and n ⁇ drain regions and n+ source and n+ drain regions are formed in the substrate.
  • silicon substrate 100 has an interfacial layer 105 preferably comprising SiO 2 , Si 3 N 4 , or silicon oxynitride formed on an upper surface of the substrate.
  • the interfacial layer is formed by conventional processes, such as, for example, rapid thermal processing (RTP), thermal annealing, CVD, plasma nitridation or oxidation, or wet chemical treatment, such as immersion into boiling nitric acid.
  • RTP rapid thermal processing
  • CVD chemical nitridation or oxidation
  • wet chemical treatment such as immersion into boiling nitric acid.
  • a preferred method of forming the interfacial layer comprises exposing the silicon substrate in an RF or microwave plasma in an atmosphere containing ozone, oxygen, N 2 O, nitrogen, or mixtures thereof.
  • the interfacial layer serves to prevent reaction of Ta 2 O 5 in layer 110 with the silicon substrate.
  • the interfacial layer will have a thickness that is sufficient to prevent reaction between the high dielectric constant layer and the silicon substrate and the thickness typically ranges from about 1 nm to 5 nm and preferably about 1 nm to 2 nm.
  • the high dielectric constant layer 110 preferably comprises material that is selected from Ta 2 O 5 , Ta 2 (O 1 ⁇ x N x ) 5 wherein x preferably ranges from greater than 0 to 0.6, a solid solution of (Ta 2 O 5 ) r —(TiO 2 ) 1 ⁇ r wherein r preferably ranges from about 0.9 to 1, a solid solution of (Ta 2 O 5 ) s —(Al 2 O 3 ) 1 ⁇ s wherein s preferably ranges from 0.9 to 1, a solid solution of (Ta 2 O 5 ) t —(ZrO 2 ) 1 ⁇ t wherein t preferably ranges from about 0.9 to 1, a solid solution of (Ta 2 O 5 ) u —(HfO 2 ) 1-31 u wherein u ranges from about 0.9 to 1, and mixtures thereof.
  • the high dielectric constant layer will have a thickness that ranges from about 4 nm to 12 nm and preferably from about 5 nm to 10 nm.
  • the high dielectric constant layer will form the gate oxide layer.
  • the particular high dielectric constant materials employed with the present invention allows for a thicker gate oxide layer to be formed, resulting in less stringent requirements on gate etching selectivity during the fabrication process.
  • Ta has already been used in MOS fabrication, Ta 2 O 5 containing gate oxides are expected to be compatible with the materials in the other MOS materials.
  • the high dielectric constant film can be fabricated by conventional means including, for example, LPCVD, PECVD, ECR CVD, UVCVD, and reactive sputtering.
  • Ta 2 O 5 films can be prepared by chemical vapor deposition (CVD) and physical vapor deposition (PVD) as described in Alers et al., “Nitrogen Plasma Annealing for Low Temperature Ta 2 O 5 Films”, Appl. Phys. Lett., Vol. 72, (11), March 1998, pages 1308-1310.
  • Ta 2 (O 1 ⁇ x N x ) 5 films can be prepared by thermal CVD or plasma-assisted CVD as described in U.S. Pat. No. 5,677,015.
  • (Ta 2 O 5 ) r —(TiO 2 ) 1 ⁇ r films can be prepared by RF magnetron sputtering deposition as described in Gan et al.
  • the high dielectric constant material Prior to formation of the electrically conductive layer 120 , the high dielectric constant material is preferably subjected to a densification process comprising, for example, exposing the silicon substrate to a RTP or an RF or microwave plasma in an atmosphere containing ozone, oxygen, N 2 O, nitrogen, or mixtures thereof. Densification is further described in Alers et. al. cited above. Densification improves the high dielectric constant material with respect to the leakage current of the MOS device made.
  • Electrically conductive layer 120 preferably comprises one or more layers of a high melting metal such as, for example, TiN, W, Ta, Mo which can be deposited by sputtering. This layer typically has a thickness that ranges from about 100 nm to 300 nm, and preferably from about 150 nm to 250 nm. As will be described herein, this electrically conductive layer will form the gate electrode in this embodiment.
  • a high melting metal such as, for example, TiN, W, Ta, Mo which can be deposited by sputtering.
  • This layer typically has a thickness that ranges from about 100 nm to 300 nm, and preferably from about 150 nm to 250 nm. As will be described herein, this electrically conductive layer will form the gate electrode in this embodiment.
  • An optional oxide layer can be deposited and patterned over the electrically conductive layer 120 .
  • a layer of photoresist material 160 is applied onto electrically conductive layer 120 before the photoresist is masked and patterned using conventional photoresist techniques to form a gate pattern.
  • the line width (L) of the gate 121 is typically less than 0.3 micron, and preferably equal to or less than about 0.18 micron.
  • Etching down to the top high dielectric constant layer 110 removes the exposed electrically conductive material as shown in FIG. 1B.
  • Source 190 and drain 180 regions are formed by self aligned ion implantation before the remaining photoresist material 160 A is removed to form the device shown in FIG. 1C.
  • interfacial layer 105 shown in FIGS. 1A and 1B is not shown in FIG. 1C or subsequent figures although the layer is present in the structures illustrated.
  • spacers 122 are formed by depositing a phosphosilicate glass (PSG) film 124 over the entire surface of the device of FIG. 1C and then anisotropic etching the glass.
  • the spacers can also be made from oxides or nitrides.
  • the exposed high dielectric constant material is removed by plasma etching using fluorine or chlorine containing etchant gases to yield the structure of FIG. 1E.
  • the remaining layer of high dielectric material 115 serves as the gate oxide.
  • Second spacers 126 are formed by the same procedure as for spacers 122 .
  • Lightly doped source (n ⁇ ) 129 and drain (n ⁇ ) 128 regions are then formed by ion implantation as shown in FIG. 1F with the concomitant formation of source (n+) 290 and drain (n+) 280 regions.
  • Silicide layers 133 and 132 are then formed on the source and drain. regions.
  • One method comprises the steps of (1) depositing a layer of suitable metal preferably titanium, cobalt, or multiple layers of these metals, over the surface of the device of FIG. 1F, (2) allowing the metal and silicon in the substrate to react, and thereafter (3) removing unreacted metal.
  • Another method comprises depositing silicide, e.g., metal x Si y , directly onto source and drain regions using conventional selective deposition techniques, e.g., CVD.
  • a conformal layer of PSG film 40 is deposited on the structure of FIG. 1G, thereafter, the top surface of the PSG film is planarized by conventional techniques such as chemical-mechanical polishing (CMP).
  • CMP is particularly advantageous when small contact holes (less than 0.3 micron) are required.
  • contact holes are etched in the PSG and they then filled with an electrically conductive, e.g., metal, material 42 and 43 as shown in FIG. 1H.
  • the above structure has a metal gate electrode 121 .
  • a doped polysilicon gate electrode can be employed instead of a metal gate electrode.
  • a doped polysilicon layer would be deposited in place of the electrically conductive 120 layer as shown in FIG. 1A.
  • a diffusion barrier layer made from a suitable material such as, for example, TiN, WN, and TaN, can be deposited between layers 110 and 120 . This barrier layer, which is typically 5 nm to 15 nm thick, prevents polysilicon gate material from reacting with the tantalum pentoxide in the gate dielectric.
  • the preferred silicidation procedure entails depositing a metal film over the structure so that a polycide layer on the surface of the doped polysilicon layer is formed as well.
  • n channel transistors have been described in detail herein, the present invention may also be practiced as a p channel transistor.
  • the doping conductives of the p channel device are simply opposite to those of the n channel device.

Abstract

MOS transistor formed on a semiconductor substrate of a first conductivity type and method of fabrication are provided. The device includes (a) an interfacial layer formed on the substrate; (b) a high dielectric constant layer covering the interfacial layer that comprises a material that is selected from the group consisting of Ta2O5, Ta2(O1−xNx)5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r ranges from about 0.9 to 1, a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1, a solid solution of (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate; (b) a gate electrode having a width of less than 0.3 micron covering the high dielectric constant layer; (d) first and second lightly doped regions of a second conductivity type disposed on respective areas of the substrate surface; (e) a source and drain regions of the second conductivity type; and (f) a pair of spacers formed adjacent to the gate electrode and formed on the high dielectric constant layer. The high dielectric layer can be subject to densification. The gate oxide material will significantly improve the performance of an MOS device by reducing or eliminating the current leakage associated with prior art devices.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to methods for fabricating integrated circuits using metal oxide semiconductor (MOS) technology. More particularly, the present invention relates to MOS devices with a gate width of less than 0.3 micron. [0001]
  • BACKGROUND OF THE INVENTION
  • Metal oxide semiconductors are well known in the art. With the rapid integration of elements in the device, the thickness of the silicon oxide gate dielectric layer has approached the 2 nm thickness level. Such thin gate oxide layers require stringent protocols during fabrication especially in the gate etching process. In addition, concomitant with this reduction in the thickness of the gate oxide layer is the device's high leakage current caused by direct tunneling effects. [0002]
  • Shinriki et. al., U.S. Pat. No. 5,292,673 describes a MOSFET that contains a tantalum pentoxide gate insulating film. Although the patent asserts that the device exhibits improved electrical characteristics, nevertheless, it is believed that the device suffers from, among other things, high leakage currents because of the silicon oxide layer, which is formed by reoxidation between the tantalum pentoxide gate insulating film and the silicon substrate, has defects including non-uniformity. [0003]
  • SUMMARY OF THE INVENTION
  • The present invention is based in part on the recognition that employing a gate dielectric layer formed at least in part from a high dielectric constant material comprising Ta[0004] 2O5 will significantly improve the performance of the MOS device by, among other things, reducing or eliminating the current leakage associated with prior art devices.
  • Accordingly, in one aspect the invention is directed to a method for fabricating an MOS device having a gate width of less than 0.3 micron that includes the steps of: [0005]
  • (a) forming an interfacial layer on a semiconductor substrate of a first conductive type wherein the interfacial is preferably sufficiently thin to limit parasitic capacitance of the device; [0006]
  • (b) forming a high dielectric constant layer on the interfacial layer that comprises a material that is selected from the group consisting of Ta[0007] 2O5, Ta2(O1−xNx)5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r ranges from about 0.9 to 1, a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1, a solid solution of (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate;
  • (c) depositing a layer of electrically conductive material on the high dielectric constant layer; [0008]
  • (d) selectively removing portions of the layer of electrically conductive material to form a gate electrode and to expose portions of the high dielectric constant layer; [0009]
  • (e) implanting impurity ions through the exposed portions of the high dielectric constant layer into the substrate to form source and drain regions of a second conductive type; [0010]
  • (f) forming first spacers that are adjacent the gate electrode and cover portions of the source and drain regions of the second conductive type; [0011]
  • (g) removing the exposed portions of the high dielectric constant layer; [0012]
  • (h) implanting a second dose of impurity ions into the source and drain regions; [0013]
  • (i) depositing a layer of insulator material over the surface of the device, wherein the layer of insulator material may have an irregular surface; [0014]
  • (j) optionally, planarizing the surface of the insulator material; [0015]
  • (k) removing portions of the insulator material to form contact holes in the insulator material that are in communication with the source and drain regions; and [0016]
  • (l) filling the contact holes with contact material. [0017]
  • In preferred embodiments, the electrically conductive material comprises metal that is selected from the group consisting of TiN, W, Ta, Mo and mixtures thereof. Alternatively, the electrically conductive material comprises doped polysilicon. [0018]
  • In another embodiment the method includes the step of forming second spacers that are adjacent the first spacers and cover portions of the source and drain regions following step (g) and before step (h) and/or the step of forming a silicide layer on the source and drain regions following step (h). [0019]
  • In another aspect, the invention is directed to an MOS transistor formed on a semiconductor substrate of a first conductivity type that includes: [0020]
  • (a) an interfacial layer formed on the substrate; [0021]
  • (b) a high dielectric constant layer covering the interfacial layer that comprises a material that is selected from the group consisting of Ta[0022] 2O5, Ta2(O1−xNx)5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r ranges from about 0.9 to 1, a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1, a solid solution of (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate;
  • (c) a gate electrode having a width of less than 0.3 micron covering the high dielectric constant layer; [0023]
  • (d) first and second lightly doped regions of a second conductivity type disposed on respective areas of the substrate surface; [0024]
  • (e) a source and drain regions of the second conductivity type; and [0025]
  • (f) a pair of spacers formed adjacent to the gate electrode and formed on the high dielectric constant layer. [0026]
  • In a preferred embodiment, the MOS transistor also includes an insulator layer covering the device and defining a first contact hole that is filled with a first contact material and a second contact hole that are filled with a second contact material, wherein the insulator layer has a substantially planar surface. [0027]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A through 1H illustrate the steps in fabricating an MOS device according to the present invention.[0028]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • It is to be noted that “n+” and “n−” are used throughout the present disclosure. The short hand notation specifies the electron concentration of various regions of a metal-oxide-semiconductor device. For instance, “n−” specifies a region of light electron concentration (on the order of 1×10[0029] 18 cm−3) while “n+” specifies a region of high electron concentration (on the order of 1×1020 cm−3).
  • FIGS. [0030] 1A-1H illustrate an exemplary method for fabricating an integrated circuit device with the inventive process. A p type semiconductor substrate will be employed for illustrative purposes. Therefore, n− source and n− drain regions and n+ source and n+ drain regions are formed in the substrate. Referring to FIG. 1A, silicon substrate 100 has an interfacial layer 105 preferably comprising SiO2, Si3N4, or silicon oxynitride formed on an upper surface of the substrate. The interfacial layer is formed by conventional processes, such as, for example, rapid thermal processing (RTP), thermal annealing, CVD, plasma nitridation or oxidation, or wet chemical treatment, such as immersion into boiling nitric acid. A preferred method of forming the interfacial layer comprises exposing the silicon substrate in an RF or microwave plasma in an atmosphere containing ozone, oxygen, N2O, nitrogen, or mixtures thereof. The interfacial layer serves to prevent reaction of Ta2O5 in layer 110 with the silicon substrate. The interfacial layer will have a thickness that is sufficient to prevent reaction between the high dielectric constant layer and the silicon substrate and the thickness typically ranges from about 1 nm to 5 nm and preferably about 1 nm to 2 nm.
  • Subsequently, high dielectric [0031] constant layer 110 and electrically conductive layer 120 are formed on interfacial layer 105. The high dielectric constant layer 110 preferably comprises material that is selected from Ta2O5, Ta2(O1−xNx)5 wherein x preferably ranges from greater than 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r preferably ranges from about 0.9 to 1, a solid solution of (Ta2O5)s—(Al2O3)1−s wherein s preferably ranges from 0.9 to 1, a solid solution of (Ta2O5)t—(ZrO2)1−t wherein t preferably ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1-31 u wherein u ranges from about 0.9 to 1, and mixtures thereof. Typically, the high dielectric constant layer will have a thickness that ranges from about 4 nm to 12 nm and preferably from about 5 nm to 10 nm. The high dielectric constant layer will form the gate oxide layer. The particular high dielectric constant materials employed with the present invention allows for a thicker gate oxide layer to be formed, resulting in less stringent requirements on gate etching selectivity during the fabrication process. In addition, it is believed that during operation of the MOS transistors, the devices will exhibit a higher transconductance parameter. Further, since Ta has already been used in MOS fabrication, Ta2O5 containing gate oxides are expected to be compatible with the materials in the other MOS materials. The high dielectric constant film can be fabricated by conventional means including, for example, LPCVD, PECVD, ECR CVD, UVCVD, and reactive sputtering.
  • In particular Ta[0032] 2O5 films can be prepared by chemical vapor deposition (CVD) and physical vapor deposition (PVD) as described in Alers et al., “Nitrogen Plasma Annealing for Low Temperature Ta2O5 Films”, Appl. Phys. Lett., Vol. 72, (11), March 1998, pages 1308-1310. Ta2(O1−xNx)5 films can be prepared by thermal CVD or plasma-assisted CVD as described in U.S. Pat. No. 5,677,015. (Ta2O5)r—(TiO2)1−r films can be prepared by RF magnetron sputtering deposition as described in Gan et al. “Dielectric property of (TiO2)x—(Ta2O5)1−x Thin Films”, Appl. Phys. Lett. Vol. 72, (3), January 1998, pages 332-334 or by chemical CVD as described in U.S. Pat. No. 4,734,340. (Ta2O5)s—(Al2O3)1−s films can be prepared by metalorganic solution deposition as described in Joshi et al., “Structural and electrical properties of crystalline (1−x)Ta2O5—xAl2O3 thin films fabricated by metalorganic solution deposition technique”, Appl. Phys. Lett. Vol. 71, (10), September 1997. Each of the above cited references is incorporated herein. Finally, the (Ta2O5)t—(ZrO2)1−t and (Ta2O5)u—(HfO2)1−u thin films can be fabricated by techniques used in fabricating the other solid solution materials. Prior to formation of the electrically conductive layer 120, the high dielectric constant material is preferably subjected to a densification process comprising, for example, exposing the silicon substrate to a RTP or an RF or microwave plasma in an atmosphere containing ozone, oxygen, N2O, nitrogen, or mixtures thereof. Densification is further described in Alers et. al. cited above. Densification improves the high dielectric constant material with respect to the leakage current of the MOS device made.
  • Electrically [0033] conductive layer 120 preferably comprises one or more layers of a high melting metal such as, for example, TiN, W, Ta, Mo which can be deposited by sputtering. This layer typically has a thickness that ranges from about 100 nm to 300 nm, and preferably from about 150 nm to 250 nm. As will be described herein, this electrically conductive layer will form the gate electrode in this embodiment.
  • An optional oxide layer can be deposited and patterned over the electrically [0034] conductive layer 120. Subsequently, a layer of photoresist material 160 is applied onto electrically conductive layer 120 before the photoresist is masked and patterned using conventional photoresist techniques to form a gate pattern. After etching, the line width (L) of the gate 121 is typically less than 0.3 micron, and preferably equal to or less than about 0.18 micron. Etching down to the top high dielectric constant layer 110 removes the exposed electrically conductive material as shown in FIG. 1B. Source 190 and drain 180 regions are formed by self aligned ion implantation before the remaining photoresist material 160A is removed to form the device shown in FIG. 1C. As is apparent, interfacial layer 105 shown in FIGS. 1A and 1B is not shown in FIG. 1C or subsequent figures although the layer is present in the structures illustrated.
  • Referring to FIG. 1D, [0035] spacers 122 are formed by depositing a phosphosilicate glass (PSG) film 124 over the entire surface of the device of FIG. 1C and then anisotropic etching the glass. The spacers can also be made from oxides or nitrides. Subsequently, the exposed high dielectric constant material is removed by plasma etching using fluorine or chlorine containing etchant gases to yield the structure of FIG. 1E. The remaining layer of high dielectric material 115 serves as the gate oxide. Second spacers 126 are formed by the same procedure as for spacers 122. Lightly doped source (n−) 129 and drain (n−) 128 regions are then formed by ion implantation as shown in FIG. 1F with the concomitant formation of source (n+) 290 and drain (n+) 280 regions.
  • Silicide layers [0036] 133 and 132 are then formed on the source and drain. regions. One method comprises the steps of (1) depositing a layer of suitable metal preferably titanium, cobalt, or multiple layers of these metals, over the surface of the device of FIG. 1F, (2) allowing the metal and silicon in the substrate to react, and thereafter (3) removing unreacted metal. Another method comprises depositing silicide, e.g., metalxSiy, directly onto source and drain regions using conventional selective deposition techniques, e.g., CVD.
  • Following formation of the silicide regions, a conformal layer of [0037] PSG film 40 is deposited on the structure of FIG. 1G, thereafter, the top surface of the PSG film is planarized by conventional techniques such as chemical-mechanical polishing (CMP). CMP is particularly advantageous when small contact holes (less than 0.3 micron) are required. Subsequently, contact holes are etched in the PSG and they then filled with an electrically conductive, e.g., metal, material 42 and 43 as shown in FIG. 1H.
  • As is apparent, the above structure has a [0038] metal gate electrode 121. In an alternative embodiment, instead of a metal gate electrode, a doped polysilicon gate electrode can be employed. In this case, a doped polysilicon layer would be deposited in place of the electrically conductive 120 layer as shown in FIG. 1A. Optionally, a diffusion barrier layer made from a suitable material such as, for example, TiN, WN, and TaN, can be deposited between layers 110 and 120. This barrier layer, which is typically 5 nm to 15 nm thick, prevents polysilicon gate material from reacting with the tantalum pentoxide in the gate dielectric. In this scenario, the rest of the process would be essentially the same as above, however, the preferred silicidation procedure entails depositing a metal film over the structure so that a polycide layer on the surface of the doped polysilicon layer is formed as well.
  • It is to be emphasized that although n channel transistors have been described in detail herein, the present invention may also be practiced as a p channel transistor. In fabricating the p channel device, the doping conductives of the p channel device are simply opposite to those of the n channel device. [0039]
  • Although only preferred embodiments of the invention are specifically disclosed and described above, it will be appreciated that many modifications and variations of the present invention are possible in light of the above teachings and within the purview of the appended claims without departing from the spirit and intended scope of the invention. [0040]

Claims (37)

what is claimed is:
1. A method for fabricating a MOS device having a gate width of less than 0.3 micron that comprises the steps of:
(a) forming an interfacial layer on a semiconductor substrate of a first conductivity type;
(b) forming a high dielectric constant layer on the interfacial layer that comprises a material that is selected from the group consisting of Ta2O5, Ta2(O1−xNx)5 wherein x ranges from greater 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r ranges from about 0.9 to 1, a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1, a solid solution of (Ta2O 5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate;
(c) depositing a layer of electrically conductive material on the high dielectric constant layer;
(d) selectively removing portions of the layer of electrically conductive material to form a gate electrode and to expose portions of the high dielectric constant layer;
(e) implanting impurity ions through the exposed portions of the high dielectric constant layer into the substrate to form source and drain regions of a second conductivity type;
(f) forming first spacers that are adjacent the gate electrode and cover portions of the source and drain regions of the second conductivity type;
(g) removing the exposed portions of the high dielectric constant layer;
(h) implanting a second dose of impurity ions into the source and drain regions;
(i) depositing a layer of insulator material over the surface of the device;
(j) optionally, planarizing the surface of the insulator material;
(k) removing portions of the insulator material to form contact holes in the insulator material that are in communication with the source and drain regions; and
(l) filling the contact holes with contact material.
2. The method of claim 1 comprising the step of densifying the high dielectric constant layer.
3. The method of claim 1 wherein the electrically conductive material comprises metal that is selected from the group consisting of TiN, W, Ta, Mo and multilayers thereof.
4. The method of claim 1 wherein the electrically conductive material comprises doped polysilicon.
5. The method of claim 4 further comprising the step of forming a barrier layer between the electrically conductive material and the high dielectric constant layer.
6. The method of claim 1 further comprising the step of forming second spacers that are adjacent the first spacers and cover portions of the source and drain regions following step (g) and before step (h).
7. The method of claim 1 further comprising the step of forming a silicide layer on the source and drain regions following step (h).
8. The method of claim 7 wherein forming the silicide layer comprises the steps of:
depositing a layer of metal over the at least the source and drain regions;
heating the layer of metal to cause the metal to react with the silicon on the surface of the source and drain regions to form metal silicide layers in the source and drain regions; and
removing unreacted metal from the layer of metal.
9. The method of claim 7 wherein forming the silicide layer comprises selectively depositing silicide over the source and drain regions.
10. The method of claim 1 wherein the high dielectric constant material layer has a thickness that ranges from about 4 nm to 12 nm.
11. The method of claim 1 wherein the interfacial layer comprises silicon oxide, silicon nitride, or silicon oxynitride.
12. The method of claim 1 wherein step (h) comprises introducing a light dosage of impurities to form lightly doped source and drain regions.
13. The method of claim 1 wherein the high dielectric constant material is Ta2O5.
14. The method of claim 1 wherein the high dielectric constant material is Ta2(O1−xNx)5 wherein x ranges from greater than 0 to 0.6.
15. The method of claim 1 wherein the high dielectric constant material is a solid solution (Ta2O5)r—(TiO2)1−r wherein r preferably ranges from about 0.9 to 1.
16. The method of claim 1 wherein the high dielectric constant material is a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1.
17. The method of claim 1 wherein the high dielectric constant material is a solid solution (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1.
18. The method of claim 1 wherein the high dielectric constant material is a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1.
19. The method of claim 1 wherein the substrate comprises silicon.
20. The method of claim 1 wherein the first spacers comprise an oxide or nitride material.
21. The method of claim 1 wherein step (i) comprises depositing a conformal layer of insulator material and (j) planarizes the surface of the insulator material by chemical mechanical planarization.
22. An MOS transistor formed on a semiconductor substrate of a first conductivity type comprising:
(a) an interfacial layer formed on the substrate;
(b) a high dielectric constant layer covering the interfacial layer that comprises a material that is selected from the group consisting of Ta2O5, Ta2(O1−xNx)5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r ranges from about 0.9 to 1, a solid solution (Ta2O 5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1, a solid solution of (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate;
(c) a gate electrode having a width of less than 0.3 micron covering the high dielectric constant layer;
(d) first and second lightly doped regions of a second conductivity type disposed on respective areas of the substrate surface;
(e) a source and drain regions of a second conductivity type; and
(f) a pair of spacers formed adjacent to the gate electrode and formed on the high dielectric constant layer.
23. The MOS transistor of claim 22 comprising:
(g) an insulator layer covering the device and defining a first contact hole that is filled with a first contact material and a second contact hole that are filled with a second contact material, wherein the insulator layer has a substantially planar surface.
24. The MOS transistor of claim 22 wherein the gate electrode is formed from a metal that is selected from the group consisting of TiN; W, Ta, MO and multilayers thereof.
25. The MOS transistor claim 22 wherein the gate electrode comprises doped polysilicon.
26. The MOS transistor of claim 25 comprising a barrier layer between the gate electrode and the high dielectric constant layer.
27. The MOS transistor of claim 22 comprising a pair of second spacers that are adjacent to the first spacers and formed on the lightly doped regions.
28. The MOS transistor of claim 22 comprising a silicide layer on the source and drain regions.
29. The MOS transistor of claim 22 wherein the high dielectric constant material layer has a thickness that ranges from about 4 nm to 12 nm.
30. The MOS transistor of claim 22 wherein the high dielectric constant material is Ta2O5.
31. The MOS transistor of claim 22 wherein the high dielectric constant material is Ta2(O1−xNx)5 wherein x ranges from 0 to 0.6.
32. The MOS transistor of claim 22 herein the high dielectric constant material is a solid solution of (Ta2O5)r—(TiO2)1−r wherein r preferably ranges from about 0.9 to 1.
33. The MOS transistor of claim 22 wherein the high dielectric constant material is a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1.
34. The MOS transistor of claim 22 wherein the high dielectric constant material is a solid solution (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1.
35. The MOS transistor of claim 22 wherein the high dielectric constant material is a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1.
36. The MOS transistor of claim 22 wherein the substrate comprises silicon.
37. The MOS transistor of claim 22 wherein the first spacers comprise an oxide or nitride material.
US10/622,484 1998-06-30 2003-07-21 ULSI MOS with high dielectric constant gate insulator Abandoned US20040087091A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/622,484 US20040087091A1 (en) 1998-06-30 2003-07-21 ULSI MOS with high dielectric constant gate insulator

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/109,992 US6727148B1 (en) 1998-06-30 1998-06-30 ULSI MOS with high dielectric constant gate insulator
US10/622,484 US20040087091A1 (en) 1998-06-30 2003-07-21 ULSI MOS with high dielectric constant gate insulator

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/109,992 Continuation US6727148B1 (en) 1998-06-30 1998-06-30 ULSI MOS with high dielectric constant gate insulator

Publications (1)

Publication Number Publication Date
US20040087091A1 true US20040087091A1 (en) 2004-05-06

Family

ID=22330688

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/109,992 Expired - Lifetime US6727148B1 (en) 1998-06-30 1998-06-30 ULSI MOS with high dielectric constant gate insulator
US10/622,652 Expired - Fee Related US7042033B2 (en) 1998-06-30 2003-07-21 ULSI MOS with high dielectric constant gate insulator
US10/622,484 Abandoned US20040087091A1 (en) 1998-06-30 2003-07-21 ULSI MOS with high dielectric constant gate insulator

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/109,992 Expired - Lifetime US6727148B1 (en) 1998-06-30 1998-06-30 ULSI MOS with high dielectric constant gate insulator
US10/622,652 Expired - Fee Related US7042033B2 (en) 1998-06-30 2003-07-21 ULSI MOS with high dielectric constant gate insulator

Country Status (9)

Country Link
US (3) US6727148B1 (en)
EP (1) EP1092236A1 (en)
JP (1) JP2002519865A (en)
KR (1) KR100636856B1 (en)
CN (1) CN1173389C (en)
AU (1) AU4956299A (en)
MY (1) MY135224A (en)
TW (1) TW421821B (en)
WO (1) WO2000001008A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050202627A1 (en) * 2001-11-27 2005-09-15 Hynix Semiconductor Inc. Method for forming a dielectric layer in a semiconductor device
US20080203488A1 (en) * 2007-02-28 2008-08-28 Samsung Electronics Co., Ltd. CMOS semiconductor device and method of fabricating the same
US20090275182A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Method for fabricating a metal high dielectric constant transistor with reverse-t gate
US20090273042A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Metal high dielectric constant transistor with reverse-t gate

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1265279B1 (en) * 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
JP2004501857A (en) 2000-06-26 2004-01-22 ノース・キャロライナ・ステイト・ユニヴァーシティ Novel amorphous oxides for use in microelectronics, optics and other applications
KR100502557B1 (en) * 2000-09-18 2005-07-21 동경 엘렉트론 주식회사 Method for film formation of gate insulator, apparatus for film formation of gate insulator, and cluster tool
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR100379621B1 (en) * 2001-07-10 2003-04-10 광주과학기술원 Gate insulator of MOS transistor and method for fabricating the same
JP3746968B2 (en) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 Insulating film forming method and forming system
JP4257055B2 (en) * 2001-11-15 2009-04-22 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
KR100445407B1 (en) * 2001-12-29 2004-08-25 주식회사 하이닉스반도체 Method for fabricating a gate insulator of a CMOS
CN100438070C (en) * 2002-02-07 2008-11-26 台湾积体电路制造股份有限公司 Gate module and its making process
US7081409B2 (en) * 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
CN1320606C (en) * 2003-03-04 2007-06-06 台湾积体电路制造股份有限公司 Gate dielectric layer, its electric performace improving method and Mos crytsal
US7037849B2 (en) * 2003-06-27 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Process for patterning high-k dielectric material
JP2005085822A (en) * 2003-09-04 2005-03-31 Toshiba Corp Semiconductor device
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20060021980A1 (en) * 2004-07-30 2006-02-02 Lee Sang H System and method for controlling a power distribution within a microwave cavity
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7271363B2 (en) * 2004-09-01 2007-09-18 Noritsu Koki Co., Ltd. Portable microwave plasma systems including a supply line for gas and microwaves
US7189939B2 (en) * 2004-09-01 2007-03-13 Noritsu Koki Co., Ltd. Portable microwave plasma discharge unit
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
EP1794782A1 (en) * 2004-09-21 2007-06-13 Freescale Semiconductor, Inc. Semiconductor device and method of forming the same
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
US20060154425A1 (en) * 2005-01-10 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US7538029B2 (en) * 2005-07-06 2009-05-26 International Business Machines Corporation Method of room temperature growth of SiOx on silicide as an etch stop layer for metal contact open of semiconductor devices
JP2007088122A (en) * 2005-09-21 2007-04-05 Renesas Technology Corp Semiconductor device
US8193586B2 (en) 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US20100044804A1 (en) * 2008-08-25 2010-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Novel high-k metal gate structure and method of making
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
WO2011016741A2 (en) * 2009-08-05 2011-02-10 Faculdade De Ciências E Tecnologia Da Universidade Nova De Lisboa Amorphous multicomponent dielectric based on the mixture of high band gap and high k materials, respective devices and manufacture
US8258588B2 (en) * 2009-08-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing layer of a field effect transistor
JP5757628B2 (en) * 2009-12-01 2015-07-29 国立研究開発法人物質・材料研究機構 Interface layer reduction method, high dielectric constant gate insulating film forming method, high dielectric constant gate insulating film, high dielectric constant gate oxide film, and transistor having high dielectric constant gate oxide film
KR101282343B1 (en) * 2010-07-30 2013-07-04 에스케이하이닉스 주식회사 Semiconductor device with metal gate and method for manufacturing the same
CN107689329B (en) * 2016-08-03 2020-03-13 中芯国际集成电路制造(上海)有限公司 Fin field effect transistor and manufacturing method thereof

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3731163A (en) * 1972-03-22 1973-05-01 United Aircraft Corp Low voltage charge storage memory element
US4670355A (en) * 1984-02-29 1987-06-02 Hoya Corporation Electroluminescent panel comprising a dielectric layer of a mixture of tantalum oxide and aluminum oxide
US4734340A (en) * 1985-07-16 1988-03-29 Sony Corporation Dielectric thin film
US4947081A (en) * 1988-02-26 1990-08-07 Hitachi Maxell, Ltd. Dual insulation oxynitride blocking thin film electroluminescence display device
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5189503A (en) * 1988-03-04 1993-02-23 Kabushiki Kaisha Toshiba High dielectric capacitor having low current leakage
US5200352A (en) * 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5292673A (en) * 1989-08-16 1994-03-08 Hitachi, Ltd Method of manufacturing a semiconductor device
US5316977A (en) * 1991-07-16 1994-05-31 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device comprising metal silicide
US5596214A (en) * 1994-05-30 1997-01-21 Nec Corporation Non-volatile semiconductor memory device having a metal-insulator-semiconductor gate structure and method for fabricating the same
US5677015A (en) * 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
US5688724A (en) * 1992-07-02 1997-11-18 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
US5702972A (en) * 1997-01-27 1997-12-30 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating MOSFET devices
US5880508A (en) * 1997-10-20 1999-03-09 Texas Instruments--Acer Incorporated MOSFET with a high permitivity gate dielectric
US6107656A (en) * 1997-06-06 2000-08-22 Oki Electric Industry Co., Ltd. Ferroelectric transistors, semiconductor storage devices, method of operating ferroelectric transistors and method of manufacturing ferromagnetic transistors
US6458645B2 (en) * 1998-02-26 2002-10-01 Micron Technology, Inc. Capacitor having tantalum oxynitride film and method for making same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60107838A (en) 1983-11-17 1985-06-13 Nec Corp Manufacture of semiconductor device
JPS632363A (en) * 1986-06-20 1988-01-07 Nec Corp Capacity film
JP2816192B2 (en) * 1989-08-16 1998-10-27 株式会社日立製作所 Method for manufacturing semiconductor device
JP2963750B2 (en) * 1990-09-28 1999-10-18 株式会社東芝 Semiconductor device
JPH05275646A (en) * 1992-03-26 1993-10-22 Matsushita Electric Ind Co Ltd High-dielectric constant material and manufacture thereof
US5322809A (en) * 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process
JP3334323B2 (en) * 1994-03-17 2002-10-15 ソニー株式会社 Method of forming high dielectric film
US5439839A (en) * 1994-07-13 1995-08-08 Winbond Electronics Corporation Self-aligned source/drain MOS process
CA2199022A1 (en) * 1994-09-21 1996-03-28 Barbara Madeleine Johnston Method of treating hypertension in pregnant mammals
JPH09199720A (en) * 1996-01-22 1997-07-31 Oki Electric Ind Co Ltd Mos type semiconductor device and its fabrication
KR100282413B1 (en) * 1996-10-24 2001-03-02 김영환 Thin film formation method using nitrous oxide gas
US5969397A (en) 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
JPH10178170A (en) * 1996-12-19 1998-06-30 Fujitsu Ltd Semiconductor device and its manufacture
US6087238A (en) * 1997-12-17 2000-07-11 Advanced Micro Devices, Inc. Semiconductor device having reduced-width polysilicon gate and non-oxidizing barrier layer and method of manufacture thereof
US6027976A (en) * 1997-12-18 2000-02-22 Advanced Micro Devices, Inc. Process for making semiconductor device having nitride at silicon and polysilicon interfaces

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3731163A (en) * 1972-03-22 1973-05-01 United Aircraft Corp Low voltage charge storage memory element
US4670355A (en) * 1984-02-29 1987-06-02 Hoya Corporation Electroluminescent panel comprising a dielectric layer of a mixture of tantalum oxide and aluminum oxide
US4734340A (en) * 1985-07-16 1988-03-29 Sony Corporation Dielectric thin film
US4947081A (en) * 1988-02-26 1990-08-07 Hitachi Maxell, Ltd. Dual insulation oxynitride blocking thin film electroluminescence display device
US5189503A (en) * 1988-03-04 1993-02-23 Kabushiki Kaisha Toshiba High dielectric capacitor having low current leakage
US5292673A (en) * 1989-08-16 1994-03-08 Hitachi, Ltd Method of manufacturing a semiconductor device
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5316977A (en) * 1991-07-16 1994-05-31 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device comprising metal silicide
US5200352A (en) * 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5688724A (en) * 1992-07-02 1997-11-18 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
US5677015A (en) * 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
US5596214A (en) * 1994-05-30 1997-01-21 Nec Corporation Non-volatile semiconductor memory device having a metal-insulator-semiconductor gate structure and method for fabricating the same
US5702972A (en) * 1997-01-27 1997-12-30 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating MOSFET devices
US6107656A (en) * 1997-06-06 2000-08-22 Oki Electric Industry Co., Ltd. Ferroelectric transistors, semiconductor storage devices, method of operating ferroelectric transistors and method of manufacturing ferromagnetic transistors
US5880508A (en) * 1997-10-20 1999-03-09 Texas Instruments--Acer Incorporated MOSFET with a high permitivity gate dielectric
US6458645B2 (en) * 1998-02-26 2002-10-01 Micron Technology, Inc. Capacitor having tantalum oxynitride film and method for making same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050202627A1 (en) * 2001-11-27 2005-09-15 Hynix Semiconductor Inc. Method for forming a dielectric layer in a semiconductor device
US7371670B2 (en) * 2001-11-27 2008-05-13 Hynix Semiconductor Inc. Method for forming a (TaO)1-x(TiO)xN dielectric layer in a semiconductor device
US20080203488A1 (en) * 2007-02-28 2008-08-28 Samsung Electronics Co., Ltd. CMOS semiconductor device and method of fabricating the same
US7919820B2 (en) * 2007-02-28 2011-04-05 Samsung Electronics Co., Ltd. CMOS semiconductor device and method of fabricating the same
US20090275182A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Method for fabricating a metal high dielectric constant transistor with reverse-t gate
US20090273042A1 (en) * 2008-05-01 2009-11-05 International Business Machines Corporation Metal high dielectric constant transistor with reverse-t gate
US20090302400A1 (en) * 2008-05-01 2009-12-10 International Business Machines Corp. Metal high dielectric constant transistor with reverse-t gate
US20090307635A1 (en) * 2008-05-01 2009-12-10 International Business Machines Corp. Metal high dielectric constant transistor with reverse-t gate
US7736981B2 (en) 2008-05-01 2010-06-15 International Business Machines Corporation Metal high dielectric constant transistor with reverse-T gate
US8138052B2 (en) 2008-05-01 2012-03-20 International Business Machines Corporation Metal high dielectric constant transistor with reverse-T gate
US8159028B2 (en) 2008-05-01 2012-04-17 International Business Machines Corporation Metal high dielectric constant transistor with reverse-T gate

Also Published As

Publication number Publication date
AU4956299A (en) 2000-01-17
KR100636856B1 (en) 2006-10-19
US7042033B2 (en) 2006-05-09
WO2000001008A9 (en) 2000-03-30
TW421821B (en) 2001-02-11
KR20010053230A (en) 2001-06-25
CN1173389C (en) 2004-10-27
EP1092236A1 (en) 2001-04-18
MY135224A (en) 2008-02-29
WO2000001008A1 (en) 2000-01-06
US20040070036A1 (en) 2004-04-15
CN1308772A (en) 2001-08-15
JP2002519865A (en) 2002-07-02
US6727148B1 (en) 2004-04-27

Similar Documents

Publication Publication Date Title
US6727148B1 (en) ULSI MOS with high dielectric constant gate insulator
US6607958B2 (en) Semiconductor device and method of manufacturing the same
US6368923B1 (en) Method of fabricating a dual metal gate having two different gate dielectric layers
KR100530401B1 (en) Semiconductor device having a low-resistance gate electrode
US6872627B2 (en) Selective formation of metal gate for dual gate oxide application
US5834353A (en) Method of making deep sub-micron meter MOSFET with a high permitivity gate dielectric
US6964893B2 (en) Semiconductor device and method of fabricating the same
US6713392B1 (en) Nitrogen oxide plasma treatment for reduced nickel silicide bridging
KR100623584B1 (en) Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US20070215951A1 (en) Semiconductor devices having silicided electrodes
US20050130380A1 (en) Semiconductor device structures including metal silicide interconnects and dielectric layers at substantially the same fabrication level
US6784506B2 (en) Silicide process using high K-dielectrics
US20120045876A1 (en) Method for manufacturing a semiconductor device
US20020000623A1 (en) Semiconductor device and method for fabricating the same using damascene process
US6440868B1 (en) Metal gate with CVD amorphous silicon layer and silicide for CMOS devices and method of making with a replacement gate process
US6521529B1 (en) HDP treatment for reduced nickel silicide bridging
US6417056B1 (en) Method to form low-overlap-capacitance transistors by forming microtrench at the gate edge
KR20050094474A (en) Method of manufacturing a semiconductor device with mos transistors comprising gate electrodes formed in a packet of metal layers deposited upon one another
US6528362B1 (en) Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
US20040119128A1 (en) Integration system via metal oxide conversion
US6087248A (en) Method of forming a transistor having thin doped semiconductor gate
US7268088B2 (en) Formation of low leakage thermally assisted radical nitrided dielectrics
KR100806136B1 (en) Method for fabricating semiconductor device having meta-gate electrode
KR100262297B1 (en) Method for fabricating transistor of semiconductor device
JPH11186548A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION