US20040082171A1 - ALD apparatus and ALD method for manufacturing semiconductor device - Google Patents

ALD apparatus and ALD method for manufacturing semiconductor device Download PDF

Info

Publication number
US20040082171A1
US20040082171A1 US10/661,439 US66143903A US2004082171A1 US 20040082171 A1 US20040082171 A1 US 20040082171A1 US 66143903 A US66143903 A US 66143903A US 2004082171 A1 US2004082171 A1 US 2004082171A1
Authority
US
United States
Prior art keywords
gas
reactive gas
peald
plasma
wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/661,439
Inventor
Cheol Shin
Byoung Cho
Sang Sim
Jung Kim
Won Lee
Deo Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MooHan Co Ltd
Original Assignee
MooHan Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MooHan Co Ltd filed Critical MooHan Co Ltd
Assigned to MOOHAN CO., LTD. reassignment MOOHAN CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHO, BYOUNG HA, KIM, DAE SIK, KIM, JUNG SOO, LEE, WON HYUNG, SHIN, CHEOL HO, SIM, SANG TAE
Publication of US20040082171A1 publication Critical patent/US20040082171A1/en
Priority to US11/376,402 priority Critical patent/US7435445B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Definitions

  • the present invention relates to an atomic layer deposition (hereinafter, referred to as “ALD”) apparatus and method for manufacturing a semiconductor device, and more particularly to an ALD apparatus and an ALD method for manufacturing a semiconductor device, in which a gas feed unit has an improved structure, thus enhancing the productivity of a depositing process, allowing gases to be uniformly supplied into a reactor, achieving the depositing process at a low temperature, and improving the physiochemical properties of an obtained thin film.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • Such a CVD method for depositing a thin film using a CVD apparatus has excellent step coverage of an obtained thin film and high productivity of the thin film deposition process.
  • the CVD method has problems in that it requires a high temperature for depositing the thin film and has difficulty in finely controlling the thickness of the thin film to be several A. Further, two or more kinds of reactive gases are simultaneously supplied into a reactor and chemically reacted with each other in a gaseous state, thus creating particles of contaminants.
  • an ALD method in which an atomic layer of an ultra-thin film is deposited to produce a dielectric layer of a semiconductor device, a transparent conductive layer of an LCD, or a protective layer of an electroluminescent thin film display.
  • the thin film is obtained by repeating cycles, in which reactants are separately injected into the reactor including a substrate (wafer), and chemically absorbed onto the surface of the substrate in a saturated state.
  • FIGS. 1 a to 1 e are schematic views illustrating the ALD method, in which a thin film is obtained by repeating the ALD cycle twice.
  • a first reactive gas 12 is supplied onto the upper surface of a wafer 10 serving as a semiconductor substrate located within a rector.
  • the first reactive gas 12 is chemically absorbed onto the upper surface of the wafer 10 until the reaction reaches a saturated state (FIGS. 1 a and 1 b ).
  • a second reactive gas 14 is supplied onto the upper surface of the wafer 10 , and then chemically absorbed onto the upper surface of the wafer 10 .
  • the first and second reactive gases 12 and 14 are chemically reacted on the upper surface of the wafer 10 , thereby being formed into a desired thin film of an atomic layer (FIG. 1 d ).
  • valve control unit In order to alternately supply the reactive gases, which are fed onto and chemically react with the upper surface of the wafer 10 , a valve control unit is generally used.
  • FIG. 2 is a schematic cross-sectional view of a conventional ALD apparatus 20 . Here, the movement of a wafer 24 is not shown.
  • the conventional ALD apparatus comprises a vacuum chamber 20 as a reactor, a base 22 , for mounting the wafer 24 thereon, while moving upward and downward within the vacuum chamber 20 , a gas suction port 26 installed at one end of the vacuum chamber 20 , a gas exhaust port 28 installed at the other end of the vacuum chamber 20 , and a gas feed unit 30 connected to the gas suction port 26 .
  • a heater is installed within the base 22 .
  • the gas feed unit 30 can comprise a first reactive gas container 32 , a second reactive gas container 34 , and a purge gas container 36 containing an inert gas depending on the types of thin films to be formed.
  • First, second and third valves 37 , 38 and 39 for controlling the flow rate of the corresponding gases are provided in the respective containers 32 , 34 and 36 .
  • first valve 37 in the first reactive gas container 32 is opened so as to supply a first reactive gas into the vacuum chamber 20 .
  • the first valve 37 is closed and the third valve 39 is opened so as to supply a purge gas (inert gas) into the vacuum chamber 20 .
  • the third valve 39 is closed and the second valve 38 in the second reactive gas container 34 is opened so as to supply a second reactive gas into the vacuum chamber 20 .
  • the second valve 38 is closed and the third valve 39 is re-opened so as to supply the purge gas into the vacuum chamber 20 .
  • one cycle of the ALD process is completed.
  • the thin film with a desired thickness is formed on the wafer 24 by repeating the cycle several times (FIG. 3).
  • a step of chemically reacting the second reactive gas with the first reactive gas absorbed onto the wafer must be performed in the low temperature range in which the first reactive gas is not dissolved on the substrate.
  • the second reactive gas In order to achieve the chemical reaction between the first and second reactive gases in such low temperatures, the second reactive gas must have a high reactivity, thus being limited in terms of materials to be selected.
  • the second reactive gas with a high reactivity which is used to form a metallic oxide thin film serving as a dielectric or electrode, is selected from vapor, ozone, etc.
  • the use of these materials as the second reactive gas causes some unwanted problems.
  • ammonia (NH 3 ) is mainly used as the second reactive gas to form a metallic nitride thin film.
  • Ammonia is easily absorbed onto other parts other than the substrate within the reaction chamber, thus not being easily exhausted to the outside.
  • ammonia reacts with a reactive gas of the next cycle, thus generating particles of a contaminant and increasing the amount of impurities in the thin film.
  • PEALD plasma-enhanced ALD
  • a second reactive gas excited by plasma is supplied to a reaction chamber.
  • FIG. 3 is a graph illustrating gas supply in accordance with respective steps of the conventional ALD method.
  • a first reactive gas is supplied into the reaction chamber provided with a wafer, and absorbed onto the surface of the wafer. Then, a purge gas is supplied into the reaction chamber to remove the residual first reactive gas from the reaction chamber. Next, a second reactive gas excited by plasma generated by a plasma generator is supplied into the reaction chamber, and then acceleratedly reacts with the first reactive gas absorbed onto the substrate.
  • the power supply to the plasma generator is synchronized with the gas supply cycle, such that the plasma generator is turned off when the first reactive gas is supplied into the reaction chamber, and turned on when the second reactive gas is supplied into the reaction chamber.
  • the PEALD method In order to supply the first reactive gas and the second reactive gas excited by plasma into the reaction chamber at a predetermined interval, the PEALD method employs a mode in which a plurality of valves are controlled by complicated steps. Such a complicated mode shortens the service life of the valves due to the frequent manipulation of the valves, and reduces the stability of the PEALD process due to the variation in the pressure in the reaction chamber caused by the disparity in the amount of the reactive gases and the purge gas supplied thereto.
  • a PEALD apparatus used in the PEALD method comprises valves and a plasma system having a complicated structure and shortens the service life of the valves and the plasma system due to frequent manipulation, thereby increasing the maintenance cost and lengthening the shutdown time.
  • the PEALD apparatus provided with the plasma generator does not comprise a mass flow controller (MFC) for electronically controlling the flow rates of the reactive gases, and has several problems such as the delay in time and speed required for manipulation of the valves.
  • MFC mass flow controller
  • the PEALD method since the flow rates of the reactive gases cannot be precisely controlled, the PEALD method has an unstable process.
  • the present invention is designed to solve the above problems, and it is an object of the present invention to provide an ALD apparatus for stably supplying reactive gases, thus improving the uniformity and quality of an obtained thin film.
  • a PEALD plasma enhanced atomic layer deposition
  • a housing including a reaction chamber in which a deposition reaction is performed; a rotary disk unit installed in the housing and provided with a plurality of susceptors for receiving wafers thereon so as to move the wafers; a gas spray unit mounted on the upper end of the housing above the rotary disk unit, and provided with first reactive gas sprayers, second reactive gas sprayers and inert gas sprayers on a lower surface of a circular disk for spraying respective gases into the housing; a gas feed unit connected to the gas spray unit for supplying first and second reactive gases and a purge gas into the housing; a gas exhaust port formed around the rotary disk unit; and a plasma generator for generating plasma to excite the second reactive gas.
  • PEALD plasma enhanced atomic layer deposition
  • the first reactive gas sprayers, the second reactive gas sprayers and the inert gas sprayers of the gas spray unit may be alternately arranged, and a purge gas exhaust port may be formed at the central portion of the gas spray unit.
  • each sprayer of the first reactive gas sprayers, the second reactive gas sprayers and the inert gas sprayers may be made of a bar-shaped member with a predetermined length corresponding to the size of the wafer, include through holes for spraying the respective gases formed in the central portion thereof along a longitudinal direction, and be opposite one another with respect to the center of the gas spray unit.
  • the exciting of the second reactive gas by plasma generated from the plasma generator may be performed at the outside or inside of the reactor.
  • a PEALD method using the present PEALD apparatus comprising the steps of: (a) mounting a plurality of wafers to be deposited with a thin film on susceptors of a rotary disk unit; (b) maintaining a deposition temperature by controlling the temperature in the housing; (c) vertically moving the rotary disk unit to a position corresponding to a gas spray unit; (d) rotating the rotary disk unit; and (e) depositing the thin film on the upper surfaces of the wafers by spraying a first reactive gas, a second reactive gas excited by plasma and an inert gas through spray holes of the gas spray unit.
  • the rotary disk unit may have a rotational speed of 5 rpm ⁇ 100 rpm, and the inside of the housing may be maintained such that it has a pressure of 10 mTorr ⁇ 100 Torr and a temperature of 25° C. ⁇ 500° C.
  • the first reactive gas may be one selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds.
  • the second reactive gas may comprise hydrogen gas so as to deposit a unit-element thin film on the wafers, one selected from the group consisting of N 2 and NH 3 gases so as to deposit a nitride thin film on the wafers, one selected from the group consisting of oxygen and N 2 O gases so as to deposit an oxide thin film on the wafers, and one selected from the group consisting of methane, ethane, and propane gases so as to deposit a carbide thin film on the wafers; and the second reactive gas may be exited by plasma and then supplied into the reactor.
  • the PEALD method may further comprise an in-situ plasma-processing step after the above step (e).
  • a gas for using at the in-situ plasma-processing step is one selected from the group consisting of Ar, N 2 , O 2 and H 2 .
  • the PEALD method may further comprise the step of clearing the wafers with a clearing gas excited by plasma so as to remove particles or foreign substances from the surfaces of the wafers, prior to the step (e), or the in-situ clearing step of removing the thin film deposited on the inside of the reactor using the plasma system.
  • FIGS. 1 a to 1 e are schematic views illustrating an ALD method
  • FIG. 2 is a schematic cross-sectional view of a conventional ALD apparatus
  • FIG. 3 is a graph illustrating flow rates of gases in accordance with respective steps of a conventional PEALD method
  • FIG. 4 is a schematic view of an ALD apparatus in accordance with the present invention.
  • FIG. 5 is a schematic view of a rotary disk unit of the ALD apparatus of FIG. 4;
  • FIG. 6 is a schematic view of a gas spray unit of the ALD apparatus of FIG. 4;
  • FIG. 7 is an assembled schematic view of the rotary disk unit and the gas spray unit in an operating state of the ALD apparatus of FIG. 4;
  • FIG. 8 is a flow chart of an ALD process in accordance with the present invention.
  • FIG. 9 is a graph illustrating flow rates of gases in accordance with respective steps of the ALD process in accordance with the present invention.
  • FIGS. 10 a to 10 d are plan views of the rotary disk unit of FIG. 5 during the ALD process in accordance with the present invention.
  • FIG. 11 is a plan view of a gas spray unit of the ALD apparatus in accordance with another embodiment or the present invention.
  • FIG. 12 is a schematic view of an electric showerhead connected to an electronic spray arm shown in FIG. 11.
  • FIG. 4 is a schematic view of a PEALD apparatus in accordance with the present invention.
  • the PEALD apparatus comprises a housing 100 , a rotary disk unit 120 , a disk driving unit 126 , a gas spray unit 140 , a gas feed unit 160 , a plasma system 180 , and a gas exhaust port 190 .
  • the housing 100 provides a space of a predetermined size in which a deposition reaction is induced.
  • the rotary disk unit 120 is rotatably installed within the housing 100 and includes a plurality of wafer susceptors 122 for receiving wafers 124 .
  • the disk driving unit 126 serves to drive the rotary disk unit 120 .
  • the gas spray unit 140 is mounted on the upper part of the housing 100 so that the gas spray unit 140 is located above the rotary disk unit 120 , and serves to uniformly supply reactive or purge gases through spray holes 147 of arms 144 , 145 and 146 serving as gas sprayers.
  • the gas feed unit 160 is connected to the gas spray unit 140 , and serves to feed the reactive or purge gases to the arms 144 , 145 and 146 .
  • the plasma system 180 serves to generate plasma for exciting one reactive gas.
  • the gas exhaust port 190 is formed such that the gases are exhausted from the lower part of the housing 100 to the outside through a gap surrounding the rotary disk unit 120 .
  • FIG. 5 is a plan view of the rotary disk unit 120 in FIG. 4.
  • the rotary disk unit 120 is located below the gas spray unit 140 , and provided with a plurality of wafer susceptors 122 for receiving wafers 124 .
  • 4 wafer susceptors 122 may be fixed to the upper surface of the rotary disk unit 120 .
  • the rotary disk unit 120 includes the plural wafer susceptors 122 fixed to the upper surface of the rotary disk unit 120 , the disk driving unit 126 located at the central portion of the rotary disk unit 120 for inducing the vertical and rotary movements of the rotary disk unit 120 , and a heater installed within the rotary disk unit 120 .
  • the rotation of the rotary disk unit 120 serves to uniformly feed the reactive gases to the entire surface of the wafers 124 , and to control a cycle for supplying various reactive gases and removing the remaining gases after the reaction formerly controlled by complicated manipulation using a plurality of valves in a conventional PEALD apparatus.
  • FIG. 6 is a plan view of the gas spray unit 140 in FIG. 4.
  • the gas spray unit 140 includes eight arms for forming a thin film using two gases.
  • the eight arms serving as gas sprayers, are installed on the lower surface of a disk 142 mounted on the upper part of the housing 100 .
  • Two arms 144 which are shown vertically on the FIG. 6, serve to spray a first reactive gas
  • two arms 145 shown horizontally in perpendicular to the arms 144 serve to spray a second reactive gas.
  • Four arms 146 which are interposed between the arms 144 and 145 , serve to spray a purge gas.
  • a purge gas exhaust port 149 for preventing the mixing of the first and second reactive gases is formed at the central portion of the disk 142 .
  • a plurality of the spray holes 147 of a predetermined size are formed on each of the arms 144 , 145 , 146 so that the spray holes 147 are spaced apart each other by a predetermined distance.
  • the arms 144 , 145 and 146 , and the purge gas exhaust port 149 are connected to the gas feed unit 160 by connection pipes, respectively.
  • the purge gas exhaust port 149 is formed along the entire circumference of the disk 142 , and serves to induce the reactive gases to be exhausted to the outside and to flow uniformly.
  • the gas spray unit 140 located at the upper end of the PEALD apparatus is mounted on the upper part of the housing 100 , and includes a plurality of gas sprayers (not shown) for spraying the first reactive gas, the second reactive gas, and an inert gas.
  • the gas spray unit 140 is located at the upper end of the PEALD apparatus.
  • the arms 144 , 145 and 146 for respectively spraying the first and second reactive gases and the inert gas are alternately arranged on the disk 142 , thus preventing the first and second reactive gases from being mixed and allowing the remaining amount of the first and second reactive gases to be effectively removed from the upper surfaces of the wafers.
  • the total number of the arms 144 , 145 and 146 is eight, and the arms 144 , 145 and 146 are installed such that the arms 144 , 145 and 146 are respectively opposed to each other with respect to the center of the disk 142 .
  • each of the arms 144 , 145 and 146 is made of a bar-shaped member having a predetermined length corresponding to the size of the wafer, as shown in the FIG. 6.
  • the plasma system 180 for generating plasma to excite the second reactive gas is installed at the outside of the housing 100 .
  • the second reactive gas passes through the plasma system 180 at the connection pipe for the second reactive gas. Thereafter, the second reactive gas in the plasma state is sprayed onto the wafer through the second reactive gas spray arms 145 .
  • the second reactive gas may be converted into the plasma state between the second reactive gas spraying arms 145 and the wafer.
  • the arms 144 , 145 and 146 need not be formed in a bar shape, but may be formed in other shapes suitable for a PEALD process, for example, a funnel shape.
  • FIG. 7 is an assembled schematic view of the rotary disk unit 120 and the gas spray unit 140 in an operating state of the PEALD apparatus of the present invention.
  • the arms 144 , 145 and 146 are formed so that the sizes of the arms 144 , 145 and 146 correspond to the sizes of the wafers, and four wafers are mounted at respective areas on the disk 142 for the convenience of the PEALD process.
  • the gas feed unit 160 includes the first reactive gas container 161 and the second reactive gas container 162 and the purge gas container 163 depending on the types of the desired thin films to be deposited on the wafers.
  • the gas feed unit 160 further includes valves 164 , 165 and 166 for controlling the flow rate of the gas on the respective containers 161 , 162 and 163 .
  • the plasma system 180 is located between the second reactive gas container 162 and the gas spray unit 140 .
  • FIG. 8 is a flow chart of the PEALD process in accordance with the present invention.
  • wafers to be deposited with a thin film are transferred into a housing serving as a reactor, and mounted on respective wafer susceptors. Then, a temperature in the reactor is controlled so that a deposition temperature is maintained.
  • the rotary disk unit 120 moves such that the susceptors having the wafers mounted thereon are located at optimal positions, and then is rotated at a predetermined speed.
  • a deposition step is performed by supplying a first reactive gas, a second reactive gas excited by plasma, and an inert gas simultaneously to the upper surface of the wafers, thus allowing a thin film to be deposited thereon. Then, the supply of the first and second reactive gases and the inert gas is stopped, and the upper surfaces of the wafers are purged. The wafers having thin film deposited thereon are transferred to the outside and loaded outdoors.
  • the rotational speed of the rotary disk unit 120 is in the range of 1 rpm ⁇ 100 rpm so that the thin film deposited on the upper surfaces of the wafers has a uniform thickness.
  • the inside of the housing 100 adapted as the reactor is maintained so that it has a pressure of 10 mTorr ⁇ 100 Torr and a temperature of 20° C. ⁇ 500° C.
  • an in-situ plasma procedure can be performed.
  • This plasma procedure is a step of processing the surface of the thin film, and serves to decrease defects and improve the quality of the thin film.
  • a gas such as oxygen, argon, nitrogen, hydrogen, etc, which is excited by the plasma system, is used.
  • FIGS. 10 a to 10 d are plan views of the rotary disk unit 120 during the PEALD process in accordance with the present invention.
  • FIG. 9 is a graph illustrating flow rates of gases in accordance with respective steps of the PEALD process of a first wafer in accordance with the present invention.
  • the initial location of the rotary disk unit 120 loaded with wafers 124 a ⁇ 124 d is the angle of 0 degree.
  • the first wafer 124 a and the third wafer 124 c are positioned where the first reactive gas sprayers are located, thus reacting with the first reactive gas (FIG. 10 a ).
  • the rotary disk unit 120 is rotated from an angle of 90 degrees to reach to an angle of 135 degrees. Then, the first and third wafers 124 a and 124 c are positioned where the inert gas sprayers are located, thus allowing the excess amount of the second reactive gas on the upper surfaces of the first and third wafers 124 a and 124 c to be removed by such inert gas (FIG. 10 d ).
  • the first reactive gas and the second reactive gas excited by plasma are alternately supplied to the second and fourth wafers 124 b and 124 d.
  • first, second, third and fourth wafers 124 a, 124 b, 124 c and 124 d are rotated by an angle of 135 degrees in the above-described manner, one cycle of the PEALD process is completed.
  • a thin film with a desired thickness can be deposited on the first, second, third and fourth wafers 124 a, 124 b, 124 c and 124 d by repeating the above cycle.
  • a stable and uniform thin film with an adjustably fine thickness can be obtained by controlling the rotational speed of the rotary disk unit, the amount of the supplied gases, and the number of repetitions of the cycle.
  • a clearing step can be performed before the thin film is deposited on the wafers 124 a, 124 b, 124 c and 124 d. More specifically, after the wafers 124 a, 124 b , 124 c and 124 d are placed on the rotary disk units 120 , a clearing gas excited by plasma is supplied into the reactor, thus removing particles or foreign substances from the upper surfaces of the wafers 124 a, 124 b, 124 c and 124 d. Further, an in-situ clearing step may be performed by supplying the clearing gas excited by plasma into the reactor, after removing the wafers 124 a, 124 b, 124 c and 124 d from the reactor.
  • an electronic showerhead can be installed in the PEALD apparatus so that the second reactive gas can be imparted with energy only in a certain area.
  • FIGS. 11 and 12 illustrate another embodiment of the present invention.
  • Arms 152 for an electronic showerhead 150 are installed on both sides of the second reactive gas spray arms 145 of the disk 142 , and electronic exhaust holes 154 of a predetermined size are formed on the electronic shower arms 152 so that the electronic exhaust holes 154 are spaced apart each other The electronic shower arms 152 are connected to an external electronic generator 156 .
  • the electronic showerhead 150 continuously discharges electrons through the electronic exhaust holes 154 during the deposition of the thin film, thus converting the second reactive gas into neutrons before the second reactive gas excited by plasma is mixed with the first reactive gas. Therefore, since the second reactive gas has lost its energy, even when the second reactive gas is mixed with the first reactive gas, the reaction between the first and second reactive gases does not occur. Accordingly, it is possible to realize thin films of atomic layers under controlled gas reactions.
  • the PEALD process of the present invention achieved by the PEALD apparatus comprises several examples, such as unit element thin film deposition, oxide thin film deposition, nitride thin film deposition, carbide thin film deposition, etc.
  • the first reactive gas is one selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds.
  • the second reactive gas varies depending on the types of the thin film to be deposited, such as single element, carbide, nitride and oxide.
  • hydrogen gas is used as the second reactive gas.
  • the hydrogen gas activated by plasma reduces the first reactive gas absorbed into the upper surface of the wafer, thereby allowing an atomic layer of unit element to be deposited on the wafer.
  • nitrogen or ammonia gas is used as the second reactive gas.
  • the second reactive gas excited by plasma is supplied to the wafer, and the supplied second reactive gas converts the first reactive gas absorbed onto the upper surface of the wafer into a nitride layer, thereby allowing a nitride thin film to be deposited on the wafer.
  • hydrocarbon gas such as methane, ethane, propane, etc. is used as the second reactive gas.
  • the second reactive gas excited by plasma is supplied to the wafer, and the supplied second reactive gas converts the first reactive gas absorbed onto the upper surface of the wafer into a carbide layer, thereby allowing a carbide thin film to be deposited on the wafer.
  • the gas spray unit includes first gas sprayers, second gas sprayers, third gas sprayers, and inert gas sprayers arranged alternately on a disk, instead of gas spray unit of eight arms.
  • the first and third reactive gases are respectively selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds, and gas excited by plasma is used as the second reactive gas.
  • one or more gases selected from the group consisting of He, N 2 , and Ar gases may be mixed with the second reactive gas.
  • the first reactive gas and the second reactive gas excited by plasma are always supplied to the wafers at a constant amount during the PEALD process. Further, with the rotation of the disk, the first reactive gas, the purge gas, the second reactive gas excited by plasma, the purge gas, the third reactive gas, and the purge gas are sequentially supplied to the surfaces of the wafers.
  • the present invention provides a PEALD apparatus comprising a plurality of gas sprayers arranged radially and a rotary disk having wafers loaded thereon and located below the gas sprayers, in which reactive gases are supplied simultaneously to the wafers not by controlling valves of a gas supply line but by rotating the rotary disk, thereby controlling the repetition number of the cycle of a thin film deposition process according to the rotational speed of the rotary disk.
  • the PEALD apparatus of the present invention is advantageous in solving such problems as system instability, difficulty in adjusting the thickness of the thin film to be obtained, and increase in the production cost due to the short service life of the valves in an conventional PEALD apparatus for depositing a thin film using valve control means, and improves the quality of the thin film obtained.
  • the PEALD apparatus of the present invention controls reactive gases and a purge gas to be supplied into a reactor at a constant speed and a precise flow rate, thus ensuring the stability in the PEALD process.
  • the PEALD apparatus of the present invention simultaneously supplies all reactive gases to the reactor during the atomic layer deposition process, thereby preventing the degradation of stability resulting from the variations of a pressure occurring when the gases are sequentially supplied to the reactor by the conventional valve control means.
  • the PEALD apparatus of the present invention simultaneously supplies all reactive gases into the reactor during the atomic layer deposition process, thereby preventing the delay of the process time caused by the limited speed and delay of the valve manipulation and ensuring increased process speed.
  • the PEALD apparatus of the present invention continuously generates plasma for exciting the second reactive gases, thereby preventing the decrease in the service life of a plasma system and the instability of the plasma system caused by the frequent power ON/OFF manipulation of the conventional plasma system.
  • the PEALD apparatus of the present invention simultaneously deposits a thin film on each of the plural wafers, thereby overcoming problems caused by a conventional ALD method having low productivity.
  • the PEALD apparatus of the present invention performs an in-situ plasma procedure on the wafers having thin films deposited thereon, thereby improving the physiochemical properties of the deposited thin film of an atomic layer.

Abstract

Disclosed are PEALD (plasma-enhanced atomic layer deposition) apparatus and PEALD method for manufacturing a semiconductor device, the PEALD apparatus comprising: a housing including a reaction chamber in which a deposition reaction is performed; a rotary disk unit installed in the housing and provided with a plurality of susceptors for receiving wafers thereon so as to move the wafers; a gas spray unit mounted on the upper end of the housing above the rotary disk unit, and provided with first reactive gas sprayers, second reactive gas sprayers and inert gas sprayers on a lower surface of a circular disk for spraying respective gases into the housing; a gas feed unit connected to the gas spray unit for supplying first and second reactive gases and a purge gas into the housing; a gas exhaust port formed around the rotary disk unit; and a plasma generator for generating plasma to excite the second reactive gas.

Description

  • The present invention relates to an atomic layer deposition (hereinafter, referred to as “ALD”) apparatus and method for manufacturing a semiconductor device, and more particularly to an ALD apparatus and an ALD method for manufacturing a semiconductor device, in which a gas feed unit has an improved structure, thus enhancing the productivity of a depositing process, allowing gases to be uniformly supplied into a reactor, achieving the depositing process at a low temperature, and improving the physiochemical properties of an obtained thin film. [0001]
  • BACKGROUND OF THE INVENTION
  • Generally, various thin films are deposited on a semiconductor substrate by a sputtering method, which is a physical deposition process. However, when the surface of the substrate is stepped, a thin film deposited by the sputtering method has low step coverage. Accordingly, a chemical vapor deposition (hereinafter, referred to as “CVD”) method using an organo-metallic precursor has been widely used. [0002]
  • Such a CVD method for depositing a thin film using a CVD apparatus has excellent step coverage of an obtained thin film and high productivity of the thin film deposition process. However, the CVD method has problems in that it requires a high temperature for depositing the thin film and has difficulty in finely controlling the thickness of the thin film to be several A. Further, two or more kinds of reactive gases are simultaneously supplied into a reactor and chemically reacted with each other in a gaseous state, thus creating particles of contaminants. [0003]
  • Since semiconductor devices have been miniaturized recently, the thickness of thin films required by the semiconductor devices has decreased and it is necessary to finely control the thickness of the thin films. Particularly, in order to solve the above problems of the CVD method, there is proposed an ALD method, in which an atomic layer of an ultra-thin film is deposited to produce a dielectric layer of a semiconductor device, a transparent conductive layer of an LCD, or a protective layer of an electroluminescent thin film display. [0004]
  • In the above ALD method, the thin film is obtained by repeating cycles, in which reactants are separately injected into the reactor including a substrate (wafer), and chemically absorbed onto the surface of the substrate in a saturated state. [0005]
  • Hereinafter, the process and principle of the thin film deposition using the above ALD method will be described in detail. [0006]
  • FIGS. 1[0007] a to 1 e are schematic views illustrating the ALD method, in which a thin film is obtained by repeating the ALD cycle twice.
  • First, a first [0008] reactive gas 12 is supplied onto the upper surface of a wafer 10 serving as a semiconductor substrate located within a rector. Here, the first reactive gas 12 is chemically absorbed onto the upper surface of the wafer 10 until the reaction reaches a saturated state (FIGS. 1a and 1 b).
  • When the reaction between the first [0009] reactive gas 12 and the upper surface of the wafer 10 reaches the saturated state, the excess amount of the first reactive gas 12 no longer reacts with the upper surface of the wafer 10. Under this condition, an inert gas (not shown) reacts with the excess amount of the first reactive gas 12, thereby allowing the excess amount of the first reactive gas 12 to be exhausted to the outside (FIG. 1c).
  • After the first [0010] reactive gas 12 is completely removed from the reactor, a second reactive gas 14 is supplied onto the upper surface of the wafer 10, and then chemically absorbed onto the upper surface of the wafer 10. Here, the first and second reactive gases 12 and 14 are chemically reacted on the upper surface of the wafer 10, thereby being formed into a desired thin film of an atomic layer (FIG. 1d).
  • When the reaction between the second [0011] reactive gas 14 and the upper surface of the wafer 10 reaches a saturated state, the excess amount of the second reactive gas 14 does not react with the upper surface of the wafer 10 any more. Under this condition, an inert gas (not shown) reacts with the excess amount of the second reactive gas 12, thereby allowing the excess amount of the second reactive gas 12 to be exhausted to the outside (FIG. 1e).
  • The above-described steps shown in FIGS. 1[0012] a to 1 e form one cycle, and the thin film of the atomic layer with a desired thickness can be grown on the wafer 10 by repeating the cycle.
  • In order to alternately supply the reactive gases, which are fed onto and chemically react with the upper surface of the [0013] wafer 10, a valve control unit is generally used.
  • FIG. 2 is a schematic cross-sectional view of a [0014] conventional ALD apparatus 20. Here, the movement of a wafer 24 is not shown.
  • The conventional ALD apparatus comprises a [0015] vacuum chamber 20 as a reactor, a base 22, for mounting the wafer 24 thereon, while moving upward and downward within the vacuum chamber 20, a gas suction port 26 installed at one end of the vacuum chamber 20, a gas exhaust port 28 installed at the other end of the vacuum chamber 20, and a gas feed unit 30 connected to the gas suction port 26. A heater is installed within the base 22.
  • Here, the [0016] gas feed unit 30 can comprise a first reactive gas container 32, a second reactive gas container 34, and a purge gas container 36 containing an inert gas depending on the types of thin films to be formed. First, second and third valves 37, 38 and 39 for controlling the flow rate of the corresponding gases are provided in the respective containers 32, 34 and 36.
  • In order to perform the steps shown in FIGS. 1[0017] a to 1 e using the above ALD apparatus, a process cycle shown in FIG. 3 is carried out.
  • First, only the [0018] first valve 37 in the first reactive gas container 32 is opened so as to supply a first reactive gas into the vacuum chamber 20. When the absorption of the first reactive gas onto the wafer 24 is completed, the first valve 37 is closed and the third valve 39 is opened so as to supply a purge gas (inert gas) into the vacuum chamber 20.
  • After the first reactive gas is completely removed, the [0019] third valve 39 is closed and the second valve 38 in the second reactive gas container 34 is opened so as to supply a second reactive gas into the vacuum chamber 20. When the reaction between the second reactive gas and the wafer 24 is completed and a thin film is grown on the wafer 24, the second valve 38 is closed and the third valve 39 is re-opened so as to supply the purge gas into the vacuum chamber 20. Thereby, one cycle of the ALD process is completed. The thin film with a desired thickness is formed on the wafer 24 by repeating the cycle several times (FIG. 3).
  • The ALD process using the above conventional ALD apparatus is inevitably restricted by various requirements. [0020]
  • That is, a step of chemically reacting the second reactive gas with the first reactive gas absorbed onto the wafer must be performed in the low temperature range in which the first reactive gas is not dissolved on the substrate. In order to achieve the chemical reaction between the first and second reactive gases in such low temperatures, the second reactive gas must have a high reactivity, thus being limited in terms of materials to be selected. [0021]
  • For example, the second reactive gas with a high reactivity, which is used to form a metallic oxide thin film serving as a dielectric or electrode, is selected from vapor, ozone, etc. The use of these materials as the second reactive gas causes some unwanted problems. [0022]
  • Water is absorbed onto the inner wall of the reaction chamber and is not easily exhausted to the outside, thus lengthening the purging time and reducing the productivity of the ALD process. Further, water creates particles of contaminants, thereby deteriorating the uniformity and reliability of the obtained thin film. In case that ozone is used as the second reactive gas, since ozone has a high reactivity, it is difficult to induce ozone into the reaction chamber. [0023]
  • Further, ammonia (NH[0024] 3) is mainly used as the second reactive gas to form a metallic nitride thin film. Ammonia is easily absorbed onto other parts other than the substrate within the reaction chamber, thus not being easily exhausted to the outside. In case that ammonia is not completely removed from the reaction chamber, ammonia reacts with a reactive gas of the next cycle, thus generating particles of a contaminant and increasing the amount of impurities in the thin film.
  • Moreover, with the ALD method, it is difficult to deposit a thin film made of a single element such as W, Al, Cu, Pt, Ir, Ru, etc. on the wafer. [0025]
  • Accordingly, in order to solve the above problems, there has been developed a plasma-enhanced ALD (hereinafter, referred to as “PEALD”) method. [0026]
  • In the PEALD method, a second reactive gas excited by plasma is supplied to a reaction chamber. [0027]
  • FIG. 3 is a graph illustrating gas supply in accordance with respective steps of the conventional ALD method. [0028]
  • First, a first reactive gas is supplied into the reaction chamber provided with a wafer, and absorbed onto the surface of the wafer. Then, a purge gas is supplied into the reaction chamber to remove the residual first reactive gas from the reaction chamber. Next, a second reactive gas excited by plasma generated by a plasma generator is supplied into the reaction chamber, and then acceleratedly reacts with the first reactive gas absorbed onto the substrate. [0029]
  • Thereafter, the plasma generation by the plasma generator is stopped and the supply of the second reactive gas is stopped. Then, a purge gas is re-supplied into the reaction chamber so that the purge gas reacts with the remaining amount of the second reactive gas, thereby removing the remaining amount of the second reactive gas from the reaction chamber. [0030]
  • Although the reactivity between the first and second reactive gases is low, since the second reactive gas of the PEALD method is excited by plasma, the reaction between the first and second reactive gases is easily achieved. However, in case that the plasma generator is turned on when the first reactive gas is supplied into the reaction chamber, the first reactive gas is dissolved, thus contaminating the particles of the first reactive gas or deteriorating the step coverage. Accordingly, the power supply to the plasma generator is synchronized with the gas supply cycle, such that the plasma generator is turned off when the first reactive gas is supplied into the reaction chamber, and turned on when the second reactive gas is supplied into the reaction chamber. [0031]
  • In order to supply the first reactive gas and the second reactive gas excited by plasma into the reaction chamber at a predetermined interval, the PEALD method employs a mode in which a plurality of valves are controlled by complicated steps. Such a complicated mode shortens the service life of the valves due to the frequent manipulation of the valves, and reduces the stability of the PEALD process due to the variation in the pressure in the reaction chamber caused by the disparity in the amount of the reactive gases and the purge gas supplied thereto. [0032]
  • That is, a PEALD apparatus used in the PEALD method comprises valves and a plasma system having a complicated structure and shortens the service life of the valves and the plasma system due to frequent manipulation, thereby increasing the maintenance cost and lengthening the shutdown time. [0033]
  • Further, the PEALD apparatus provided with the plasma generator does not comprise a mass flow controller (MFC) for electronically controlling the flow rates of the reactive gases, and has several problems such as the delay in time and speed required for manipulation of the valves. [0034]
  • Accordingly, since the flow rates of the reactive gases cannot be precisely controlled, the PEALD method has an unstable process. [0035]
  • SUMMARY OF THE INVENTION
  • Therefore, the present invention is designed to solve the above problems, and it is an object of the present invention to provide an ALD apparatus for stably supplying reactive gases, thus improving the uniformity and quality of an obtained thin film. [0036]
  • It is a further object of the present invention to provide an ALD apparatus for preventing frequent on/off manipulations of valves or a plasma generator, thus preventing damage to the apparatus and reducing the maintenance time and the production cost. [0037]
  • It is another object of the present invention to provide an ALD apparatus for simultaneously and continuously supplying all necessary gases, thus preventing time delay due to valve manipulation and the variation in flow rates of the gases, increasing the speed of an ALD process, improving the stability of the ALD process, minimizing the on/off manipulation of the plasma generator, and preventing damage to the apparatus and the quality deterioration of an obtained thin film. [0038]
  • It is yet another object of the present invention to provide an ALD apparatus for simultaneously depositing a thin film of an atomic layer on a plurality of substrates, thus increasing the productivity of the ALD process. [0039]
  • In accordance with one aspect of the present invention, the above and other objects can be accomplished by the provision of a PEALD (plasma enhanced atomic layer deposition) apparatus comprising: a housing including a reaction chamber in which a deposition reaction is performed; a rotary disk unit installed in the housing and provided with a plurality of susceptors for receiving wafers thereon so as to move the wafers; a gas spray unit mounted on the upper end of the housing above the rotary disk unit, and provided with first reactive gas sprayers, second reactive gas sprayers and inert gas sprayers on a lower surface of a circular disk for spraying respective gases into the housing; a gas feed unit connected to the gas spray unit for supplying first and second reactive gases and a purge gas into the housing; a gas exhaust port formed around the rotary disk unit; and a plasma generator for generating plasma to excite the second reactive gas. [0040]
  • Preferably, the first reactive gas sprayers, the second reactive gas sprayers and the inert gas sprayers of the gas spray unit may be alternately arranged, and a purge gas exhaust port may be formed at the central portion of the gas spray unit. Further, preferably, each sprayer of the first reactive gas sprayers, the second reactive gas sprayers and the inert gas sprayers may be made of a bar-shaped member with a predetermined length corresponding to the size of the wafer, include through holes for spraying the respective gases formed in the central portion thereof along a longitudinal direction, and be opposite one another with respect to the center of the gas spray unit. Moreover, preferably, the exciting of the second reactive gas by plasma generated from the plasma generator may be performed at the outside or inside of the reactor. [0041]
  • In accordance with another aspect of the present invention, there is provided a PEALD method using the present PEALD apparatus, comprising the steps of: (a) mounting a plurality of wafers to be deposited with a thin film on susceptors of a rotary disk unit; (b) maintaining a deposition temperature by controlling the temperature in the housing; (c) vertically moving the rotary disk unit to a position corresponding to a gas spray unit; (d) rotating the rotary disk unit; and (e) depositing the thin film on the upper surfaces of the wafers by spraying a first reactive gas, a second reactive gas excited by plasma and an inert gas through spray holes of the gas spray unit. [0042]
  • Preferably, the rotary disk unit may have a rotational speed of 5 rpm˜100 rpm, and the inside of the housing may be maintained such that it has a pressure of 10 mTorr˜100 Torr and a temperature of 25° C.˜500° C. Further, preferably, the first reactive gas may be one selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds. Moreover, preferably, the second reactive gas may comprise hydrogen gas so as to deposit a unit-element thin film on the wafers, one selected from the group consisting of N[0043] 2 and NH3 gases so as to deposit a nitride thin film on the wafers, one selected from the group consisting of oxygen and N2O gases so as to deposit an oxide thin film on the wafers, and one selected from the group consisting of methane, ethane, and propane gases so as to deposit a carbide thin film on the wafers; and the second reactive gas may be exited by plasma and then supplied into the reactor.
  • Preferably, the PEALD method may further comprise an in-situ plasma-processing step after the above step (e). A gas for using at the in-situ plasma-processing step is one selected from the group consisting of Ar, N[0044] 2, O2 and H2.
  • Further, the PEALD method may further comprise the step of clearing the wafers with a clearing gas excited by plasma so as to remove particles or foreign substances from the surfaces of the wafers, prior to the step (e), or the in-situ clearing step of removing the thin film deposited on the inside of the reactor using the plasma system. [0045]
  • Additional features and advantages of the present invention are described in, and will be apparent from, the following Detailed Description of the Invention and the figures.[0046]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects, features and advantages of the present invention will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings, in which: [0047]
  • FIGS. 1[0048] a to 1 e are schematic views illustrating an ALD method;
  • FIG. 2 is a schematic cross-sectional view of a conventional ALD apparatus; [0049]
  • FIG. 3 is a graph illustrating flow rates of gases in accordance with respective steps of a conventional PEALD method; [0050]
  • FIG. 4 is a schematic view of an ALD apparatus in accordance with the present invention; [0051]
  • FIG. 5 is a schematic view of a rotary disk unit of the ALD apparatus of FIG. 4; [0052]
  • FIG. 6 is a schematic view of a gas spray unit of the ALD apparatus of FIG. 4; [0053]
  • FIG. 7 is an assembled schematic view of the rotary disk unit and the gas spray unit in an operating state of the ALD apparatus of FIG. 4; [0054]
  • FIG. 8 is a flow chart of an ALD process in accordance with the present invention; [0055]
  • FIG. 9 is a graph illustrating flow rates of gases in accordance with respective steps of the ALD process in accordance with the present invention; [0056]
  • FIGS. 10[0057] a to 10 d are plan views of the rotary disk unit of FIG. 5 during the ALD process in accordance with the present invention;
  • FIG. 11 is a plan view of a gas spray unit of the ALD apparatus in accordance with another embodiment or the present invention; and [0058]
  • FIG. 12 is a schematic view of an electric showerhead connected to an electronic spray arm shown in FIG. 11.[0059]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Now, preferred embodiments of the present invention will be described in detail with reference to the annexed drawings. [0060]
  • <PEALD apparatus>[0061]
  • FIG. 4 is a schematic view of a PEALD apparatus in accordance with the present invention. The PEALD apparatus comprises a [0062] housing 100, a rotary disk unit 120, a disk driving unit 126, a gas spray unit 140, a gas feed unit 160, a plasma system 180, and a gas exhaust port 190. The housing 100 provides a space of a predetermined size in which a deposition reaction is induced. The rotary disk unit 120 is rotatably installed within the housing 100 and includes a plurality of wafer susceptors 122 for receiving wafers 124. The disk driving unit 126 serves to drive the rotary disk unit 120. The gas spray unit 140 is mounted on the upper part of the housing 100 so that the gas spray unit 140 is located above the rotary disk unit 120, and serves to uniformly supply reactive or purge gases through spray holes 147 of arms 144, 145 and 146 serving as gas sprayers. The gas feed unit 160 is connected to the gas spray unit 140, and serves to feed the reactive or purge gases to the arms 144, 145 and 146. The plasma system 180 serves to generate plasma for exciting one reactive gas. The gas exhaust port 190 is formed such that the gases are exhausted from the lower part of the housing 100 to the outside through a gap surrounding the rotary disk unit 120.
  • FIG. 5 is a plan view of the [0063] rotary disk unit 120 in FIG. 4. The rotary disk unit 120 is located below the gas spray unit 140, and provided with a plurality of wafer susceptors 122 for receiving wafers 124. For example, 4 wafer susceptors 122 may be fixed to the upper surface of the rotary disk unit 120. More specifically, the rotary disk unit 120 includes the plural wafer susceptors 122 fixed to the upper surface of the rotary disk unit 120, the disk driving unit 126 located at the central portion of the rotary disk unit 120 for inducing the vertical and rotary movements of the rotary disk unit 120, and a heater installed within the rotary disk unit 120.
  • The rotation of the [0064] rotary disk unit 120 serves to uniformly feed the reactive gases to the entire surface of the wafers 124, and to control a cycle for supplying various reactive gases and removing the remaining gases after the reaction formerly controlled by complicated manipulation using a plurality of valves in a conventional PEALD apparatus.
  • FIG. 6 is a plan view of the [0065] gas spray unit 140 in FIG. 4. The gas spray unit 140 includes eight arms for forming a thin film using two gases.
  • First, the eight arms, serving as gas sprayers, are installed on the lower surface of a [0066] disk 142 mounted on the upper part of the housing 100. Two arms 144, which are shown vertically on the FIG. 6, serve to spray a first reactive gas, and two arms 145 shown horizontally in perpendicular to the arms 144 serve to spray a second reactive gas. Four arms 146, which are interposed between the arms 144 and 145, serve to spray a purge gas. A purge gas exhaust port 149 for preventing the mixing of the first and second reactive gases is formed at the central portion of the disk 142.
  • A plurality of the spray holes [0067] 147 of a predetermined size are formed on each of the arms 144, 145, 146 so that the spray holes 147 are spaced apart each other by a predetermined distance. The arms 144, 145 and 146, and the purge gas exhaust port 149 are connected to the gas feed unit 160 by connection pipes, respectively.
  • The purge [0068] gas exhaust port 149 is formed along the entire circumference of the disk 142, and serves to induce the reactive gases to be exhausted to the outside and to flow uniformly.
  • The [0069] gas spray unit 140 located at the upper end of the PEALD apparatus is mounted on the upper part of the housing 100, and includes a plurality of gas sprayers (not shown) for spraying the first reactive gas, the second reactive gas, and an inert gas.
  • The [0070] gas spray unit 140 is located at the upper end of the PEALD apparatus. The arms 144, 145 and 146 for respectively spraying the first and second reactive gases and the inert gas are alternately arranged on the disk 142, thus preventing the first and second reactive gases from being mixed and allowing the remaining amount of the first and second reactive gases to be effectively removed from the upper surfaces of the wafers.
  • In this embodiment of the present invention, the total number of the [0071] arms 144, 145 and 146 is eight, and the arms 144, 145 and 146 are installed such that the arms 144, 145 and 146 are respectively opposed to each other with respect to the center of the disk 142. As shown in FIG. 6, each of the arms 144, 145 and 146 is made of a bar-shaped member having a predetermined length corresponding to the size of the wafer, as shown in the FIG. 6.
  • The [0072] plasma system 180 for generating plasma to excite the second reactive gas is installed at the outside of the housing 100. In order to convert the second reactive gas into a plasma state, the second reactive gas passes through the plasma system 180 at the connection pipe for the second reactive gas. Thereafter, the second reactive gas in the plasma state is sprayed onto the wafer through the second reactive gas spray arms 145.
  • Here, the second reactive gas may be converted into the plasma state between the second reactive [0073] gas spraying arms 145 and the wafer. Further, the arms 144, 145 and 146 need not be formed in a bar shape, but may be formed in other shapes suitable for a PEALD process, for example, a funnel shape.
  • FIG. 7 is an assembled schematic view of the [0074] rotary disk unit 120 and the gas spray unit 140 in an operating state of the PEALD apparatus of the present invention. Here, the arms 144, 145 and 146 are formed so that the sizes of the arms 144, 145 and 146 correspond to the sizes of the wafers, and four wafers are mounted at respective areas on the disk 142 for the convenience of the PEALD process.
  • In FIG. 4, the [0075] gas feed unit 160 includes the first reactive gas container 161 and the second reactive gas container 162 and the purge gas container 163 depending on the types of the desired thin films to be deposited on the wafers. The gas feed unit 160 further includes valves 164, 165 and 166 for controlling the flow rate of the gas on the respective containers 161, 162 and 163. The plasma system 180 is located between the second reactive gas container 162 and the gas spray unit 140.
  • <PEALD process>[0076]
  • Hereinafter, a process using the above PEALD in accordance with the present invention will be described in detail. [0077]
  • FIG. 8 is a flow chart of the PEALD process in accordance with the present invention. [0078]
  • First, wafers to be deposited with a thin film are transferred into a housing serving as a reactor, and mounted on respective wafer susceptors. Then, a temperature in the reactor is controlled so that a deposition temperature is maintained. The [0079] rotary disk unit 120 moves such that the susceptors having the wafers mounted thereon are located at optimal positions, and then is rotated at a predetermined speed.
  • Thereafter, a deposition step is performed by supplying a first reactive gas, a second reactive gas excited by plasma, and an inert gas simultaneously to the upper surface of the wafers, thus allowing a thin film to be deposited thereon. Then, the supply of the first and second reactive gases and the inert gas is stopped, and the upper surfaces of the wafers are purged. The wafers having thin film deposited thereon are transferred to the outside and loaded outdoors. Here, preferably, the rotational speed of the [0080] rotary disk unit 120 is in the range of 1 rpm˜100 rpm so that the thin film deposited on the upper surfaces of the wafers has a uniform thickness. That is, in case that the rotational speed of the rotary disk unit 120 is too high, it is difficult to allow the gas to be absorbed onto the wafer to a saturated state, thus decreasing the uniformity in the thickness of the obtained thin film. On the other hand, in case that the rotational speed of the rotary disk unit 120 is too low, the obtained thin film has a uniform thickness, but the gases are unnecessarily wasted. Further, in this case, the excess gases are absorbed onto neighboring wafers, thus lowering the quality of the deposited thin film and contaminating the reactor. Accordingly, the inside of the housing 100 adapted as the reactor is maintained so that it has a pressure of 10 mTorr˜100 Torr and a temperature of 20° C.˜500° C.
  • In accordance with another embodiment of the present invention, after a thin film is formed on a substrate (i.e., a wafer) using the above PEALD apparatus, an in-situ plasma procedure can be performed. This plasma procedure is a step of processing the surface of the thin film, and serves to decrease defects and improve the quality of the thin film. For such plasma procedure, a gas such as oxygen, argon, nitrogen, hydrogen, etc, which is excited by the plasma system, is used. [0081]
  • FIGS. 10[0082] a to 10 d are plan views of the rotary disk unit 120 during the PEALD process in accordance with the present invention. FIG. 9 is a graph illustrating flow rates of gases in accordance with respective steps of the PEALD process of a first wafer in accordance with the present invention.
  • Four [0083] wafers 124 a, 124 b, 124 c and 124 d are positioned on the rotary disk unit 120, and the gases are sprayed onto the four wafers 124 a, 124 b, 124 c and 124 d via respective arms. Now, the PEALD process for the first wafer 124 a as a representative wafer shown by hatched lines will be described.
  • First, the initial location of the [0084] rotary disk unit 120 loaded with wafers 124 a˜124 d is the angle of 0 degree. At this time, the first wafer 124 a and the third wafer 124 c are positioned where the first reactive gas sprayers are located, thus reacting with the first reactive gas (FIG. 10a).
  • When the [0085] rotary disk unit 120 is rotated from an angle of 0 degree to reach to an angle of 45 degrees, then the first and third wafers 124 a and 124 c are positioned where the inert gas sprayers are located, thus allowing the excess amount of the first reactive gas on the upper surfaces of the first and third wafers 124 a and 124 c to be removed (FIG. 10b).
  • Subsequently, when the [0086] rotary disk unit 120 is rotated from an angle of 45 degrees to reach to an angle of 90 degrees in clockwise sense. Then the first and third wafers 124 a and 124 c are positioned where the second reactive gas sprayers are located, thus reacting with the second reactive gas excited by plasma (FIG. 10c).
  • Next, the [0087] rotary disk unit 120 is rotated from an angle of 90 degrees to reach to an angle of 135 degrees. Then, the first and third wafers 124 a and 124 c are positioned where the inert gas sprayers are located, thus allowing the excess amount of the second reactive gas on the upper surfaces of the first and third wafers 124 a and 124 c to be removed by such inert gas (FIG. 10d).
  • In this way, as the [0088] rotary disk unit 120 rotates, the first reactive gas and the second reactive gas excited by plasma are alternately supplied to the first and third wafers 124 a and 124 c.
  • Further, in the same manner as for the first and [0089] third wafers 124 a and 124 c, the first reactive gas and the second reactive gas excited by plasma are alternately supplied to the second and fourth wafers 124 b and 124 d.
  • When the first, second, third and [0090] fourth wafers 124 a, 124 b, 124 c and 124 d are rotated by an angle of 135 degrees in the above-described manner, one cycle of the PEALD process is completed. A thin film with a desired thickness can be deposited on the first, second, third and fourth wafers 124 a, 124 b, 124 c and 124 d by repeating the above cycle.
  • In the present PEALD process, a stable and uniform thin film with an adjustably fine thickness can be obtained by controlling the rotational speed of the rotary disk unit, the amount of the supplied gases, and the number of repetitions of the cycle. [0091]
  • In addition, before the thin film is deposited on the [0092] wafers 124 a, 124 b, 124 c and 124 d, a clearing step can be performed. More specifically, after the wafers 124 a, 124 b, 124 c and 124 d are placed on the rotary disk units 120, a clearing gas excited by plasma is supplied into the reactor, thus removing particles or foreign substances from the upper surfaces of the wafers 124 a, 124 b, 124 c and 124 d. Further, an in-situ clearing step may be performed by supplying the clearing gas excited by plasma into the reactor, after removing the wafers 124 a, 124 b, 124 c and 124 d from the reactor.
  • In the PEALD process of the present invention, since the first reactive gas and the second reactive gas excited by plasma are simultaneously supplied to the reactor, these gases may be mixed due to the diffusion of gases, thus causing the reaction of these gases. Accordingly, in order to suppress the reaction of the gases while allowing the thin film of an atomic layer to be deposited on the surface of the wafer, an electronic showerhead can be installed in the PEALD apparatus so that the second reactive gas can be imparted with energy only in a certain area. [0093]
  • FIGS. 11 and 12 illustrate another embodiment of the present invention. [0094]
  • [0095] Arms 152 for an electronic showerhead 150 are installed on both sides of the second reactive gas spray arms 145 of the disk 142, and electronic exhaust holes 154 of a predetermined size are formed on the electronic shower arms 152 so that the electronic exhaust holes 154 are spaced apart each other The electronic shower arms 152 are connected to an external electronic generator 156.
  • The [0096] electronic showerhead 150 continuously discharges electrons through the electronic exhaust holes 154 during the deposition of the thin film, thus converting the second reactive gas into neutrons before the second reactive gas excited by plasma is mixed with the first reactive gas. Therefore, since the second reactive gas has lost its energy, even when the second reactive gas is mixed with the first reactive gas, the reaction between the first and second reactive gases does not occur. Accordingly, it is possible to realize thin films of atomic layers under controlled gas reactions.
  • <Embodiments of PEALD process>[0097]
  • The PEALD process of the present invention achieved by the PEALD apparatus comprises several examples, such as unit element thin film deposition, oxide thin film deposition, nitride thin film deposition, carbide thin film deposition, etc. [0098]
  • Here, the first reactive gas is one selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds. The second reactive gas varies depending on the types of the thin film to be deposited, such as single element, carbide, nitride and oxide. [0099]
  • Unit Element Thin Film Deposition [0100]
  • In case that a thin film of a unit element is deposited on a wafer, hydrogen gas is used as the second reactive gas. The hydrogen gas activated by plasma reduces the first reactive gas absorbed into the upper surface of the wafer, thereby allowing an atomic layer of unit element to be deposited on the wafer. [0101]
  • Oxide Thin Film Deposition [0102]
  • In case that an oxide thin film is deposited on a wafer, hydrogen, N[0103] 2O or their compound gas is used as the second reactive gas. The second reactive gas excited by plasma is supplied to the wafer, and the supplied second reactive gas converts the first reactive gas absorbed onto the upper surface of the wafer into an oxide layer, thereby allowing an oxide thin film to be deposited on the wafer.
  • Nitride Thin Film Deposition [0104]
  • In case that a nitride thin film is deposited on a wafer, nitrogen or ammonia gas is used as the second reactive gas. The second reactive gas excited by plasma is supplied to the wafer, and the supplied second reactive gas converts the first reactive gas absorbed onto the upper surface of the wafer into a nitride layer, thereby allowing a nitride thin film to be deposited on the wafer. [0105]
  • Carbide Thin Film Deposition [0106]
  • In case that a carbide thin film is deposited on a wafer, hydrocarbon gas such as methane, ethane, propane, etc. is used as the second reactive gas. The second reactive gas excited by plasma is supplied to the wafer, and the supplied second reactive gas converts the first reactive gas absorbed onto the upper surface of the wafer into a carbide layer, thereby allowing a carbide thin film to be deposited on the wafer. [0107]
  • Three Component Thin Film Deposition [0108]
  • In case that a thin film of three components is deposited on a wafer, the gas spray unit includes first gas sprayers, second gas sprayers, third gas sprayers, and inert gas sprayers arranged alternately on a disk, instead of gas spray unit of eight arms. In order to deposit the thin film of three components on the wafer, the first and third reactive gases are respectively selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds, and gas excited by plasma is used as the second reactive gas. [0109]
  • In order to maintain the stable plasma state of the second reactive gas, when the second reactive gas is supplied to the wafer, one or more gases selected from the group consisting of He, N[0110] 2, and Ar gases may be mixed with the second reactive gas.
  • In this embodiment, the first reactive gas and the second reactive gas excited by plasma are always supplied to the wafers at a constant amount during the PEALD process. Further, with the rotation of the disk, the first reactive gas, the purge gas, the second reactive gas excited by plasma, the purge gas, the third reactive gas, and the purge gas are sequentially supplied to the surfaces of the wafers. [0111]
  • As apparent from the above description, the present invention provides a PEALD apparatus comprising a plurality of gas sprayers arranged radially and a rotary disk having wafers loaded thereon and located below the gas sprayers, in which reactive gases are supplied simultaneously to the wafers not by controlling valves of a gas supply line but by rotating the rotary disk, thereby controlling the repetition number of the cycle of a thin film deposition process according to the rotational speed of the rotary disk. Accordingly, the PEALD apparatus of the present invention is advantageous in solving such problems as system instability, difficulty in adjusting the thickness of the thin film to be obtained, and increase in the production cost due to the short service life of the valves in an conventional PEALD apparatus for depositing a thin film using valve control means, and improves the quality of the thin film obtained. [0112]
  • The PEALD apparatus of the present invention controls reactive gases and a purge gas to be supplied into a reactor at a constant speed and a precise flow rate, thus ensuring the stability in the PEALD process. [0113]
  • The PEALD apparatus of the present invention simultaneously supplies all reactive gases to the reactor during the atomic layer deposition process, thereby preventing the degradation of stability resulting from the variations of a pressure occurring when the gases are sequentially supplied to the reactor by the conventional valve control means. [0114]
  • The PEALD apparatus of the present invention simultaneously supplies all reactive gases into the reactor during the atomic layer deposition process, thereby preventing the delay of the process time caused by the limited speed and delay of the valve manipulation and ensuring increased process speed. [0115]
  • The PEALD apparatus of the present invention continuously generates plasma for exciting the second reactive gases, thereby preventing the decrease in the service life of a plasma system and the instability of the plasma system caused by the frequent power ON/OFF manipulation of the conventional plasma system. [0116]
  • The PEALD apparatus of the present invention simultaneously deposits a thin film on each of the plural wafers, thereby overcoming problems caused by a conventional ALD method having low productivity. [0117]
  • The PEALD apparatus of the present invention performs an in-situ plasma procedure on the wafers having thin films deposited thereon, thereby improving the physiochemical properties of the deposited thin film of an atomic layer. [0118]
  • Although the preferred embodiments of the present invention have been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. [0119]

Claims (13)

What is claimed is:
1. A PEALD (plasma enhanced atomic layer deposition) apparatus comprising:
a housing including a reaction chamber in which a deposition reaction is performed;
a rotary disk unit installed in the housing and provided with a plurality of susceptors for receiving wafers thereon so as to move the wafers;
a gas spray unit mounted on the upper end of the housing above the rotary disk unit, and provided with first reactive gas sprayers, second reactive gas sprayers and inert gas sprayers on a lower surface of a circular disk for spraying respective gases into the housing;
a gas feed unit connected to the gas spray unit for supplying first and second reactive gases and a purge gas into the housing;
a gas exhaust port formed around the rotary disk unit; and
a plasma generator for generating plasma to excite the second reactive gas.
2. The PEALD apparatus as set forth in claim 1,
wherein the first reactive gas sprayers, the second reactive gas sprayers and the inert gas sprayers of the gas spray unit are alternately arranged, and a purge gas exhaust port is formed at the central portion of the gas spray unit.
3. The PEALD apparatus as set forth in claim 1,
wherein each sprayer of the first reactive gas sprayers, the second reactive gas sprayers and the inert gas sprayers is made of a bar-shaped member with a predetermined length corresponding to the size of the wafer, includes through holes for spraying the respective gases formed in the central portion thereof along a longitudinal direction, and is opposite one another with respect to the center of the gas spray unit.
4. The PEALD apparatus as set forth in claim 1,
wherein the exciting of the second reactive gas by plasma generated from the plasma generator is performed at the outside or inside of the reactor.
5. The PEALD apparatus as set forth in claim 1, further comprising electronic showerhead including arm installed at both sides of each of the second reactive gas sprayers.
6. A PEALD (plasma enhanced atomic layer deposition) method using the PEALD apparatus of claim 1, comprising the steps of:
(a) mounting a plurality of wafers to be deposited with a thin film on susceptors of a rotary disk unit;
(b) maintaining a deposition temperature by controlling the temperature in the housing;
(c) vertically moving the rotary disk unit to a position corresponding to a gas spray unit;
(d) rotating the rotary disk unit; and
(e) depositing the thin film on the upper surfaces of the wafers by spraying a first reactive gas, a second reactive gas excited by plasma and an inert gas through spray holes of the gas spray unit.
7. The PEALD method as set forth in claim 6,
wherein the rotary disk unit has a rotational speed of 5 rpm˜100 rpm, and the inside of the housing is maintained such that it has a pressure of 10 mTorr˜100 Torr and a temperature of 25° C.˜500° C.
8. The PEALD method as set forth in claim 6,
wherein the first reactive gas is one selected from the group consisting of Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W, and their compounds.
9. The PEALD method as set forth in claim 6, wherein:
the second reactive gas comprises hydrogen gas so as to deposit a unit element thin film on the wafers, one selected from the group consisting of N2 and NH3 gases so as to deposit a nitride thin film on the wafers, one selected from the group consisting of oxygen and N2O gases so as to deposit an oxide thin film on the wafers, and one selected from the group consisting of methane, ethane, and propane gases so as to deposit a carbide thin film on the wafers; and
the second reactive gas is exited by plasma and then supplied into the reactor.
10. The PEALD method as set forth in claim 6, further comprising an in-situ plasma-processing step after the step (e).
11. The PEALD method as set forth in claim 10,
wherein a gas for using at the in-situ plasma-processing step is one selected from the group consisting of Ar, N2, O2 and H2.
12. The PEALD method as set forth in claim 7, further comprising the step of clearing the wafers with a clearing gas excited by plasma so as to remove particles or foreign substances from the surfaces of the wafers, prior to the step (e).
13. The PEALD method as set forth in claim 7, further comprising an in-situ clearing step of removing the thin film deposited on the inside of the reactor using the plasma system.
US10/661,439 2002-09-17 2003-09-11 ALD apparatus and ALD method for manufacturing semiconductor device Abandoned US20040082171A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/376,402 US7435445B2 (en) 2002-09-17 2006-03-15 Method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2002-56390 2002-09-17
KR20020056390A KR100497748B1 (en) 2002-09-17 2002-09-17 ALD equament and ALD methode

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/376,402 Division US7435445B2 (en) 2002-09-17 2006-03-15 Method for manufacturing semiconductor device
US11/376,402 Continuation US7435445B2 (en) 2002-09-17 2006-03-15 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20040082171A1 true US20040082171A1 (en) 2004-04-29

Family

ID=36780275

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/661,439 Abandoned US20040082171A1 (en) 2002-09-17 2003-09-11 ALD apparatus and ALD method for manufacturing semiconductor device
US11/376,402 Expired - Lifetime US7435445B2 (en) 2002-09-17 2006-03-15 Method for manufacturing semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/376,402 Expired - Lifetime US7435445B2 (en) 2002-09-17 2006-03-15 Method for manufacturing semiconductor device

Country Status (2)

Country Link
US (2) US20040082171A1 (en)
KR (1) KR100497748B1 (en)

Cited By (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040137672A1 (en) * 2003-01-14 2004-07-15 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled cmos transistors
US20050142712A1 (en) * 2003-12-24 2005-06-30 Jung Wook Lim Method for forming gate dielectric layer
WO2005112082A1 (en) * 2004-05-18 2005-11-24 Ips Ltd. Cyclic pulsed two-level plasma atomic layer deposition apparatus and method
WO2006034130A2 (en) * 2004-09-21 2006-03-30 Air Products And Chemicals, Inc. Apparatus and process for surface treatment of substrate using an activated reactive gas
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070026688A1 (en) * 2005-07-16 2007-02-01 Samsung Electronics Co., Ltd. Method of forming a ZrO2 thin film using plasma enhanced atomic layer deposition and method of fabricating a capacitor of a semiconductor memory device having the thin film
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
WO2007061273A1 (en) * 2005-11-28 2007-05-31 Aet Co., Ltd. Method of forming silicon film by two step deposition
US20070234957A1 (en) * 2006-04-06 2007-10-11 Jusung Engineering Co., Ltd. Method of forming oxide film and oxide deposition apparatus
US20080133161A1 (en) * 2005-10-11 2008-06-05 Chiang Tony P Methods for discretized processing and process sequence integration of regions of a substrate
US20080216742A1 (en) * 2007-03-09 2008-09-11 Yuji Takebayashi Substrate processing apparatus
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090107403A1 (en) * 2007-10-31 2009-04-30 Moshtagh Vahid S Brazed cvd shower head
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
WO2011009681A1 (en) * 2009-07-24 2011-01-27 Q-Cells Se Plasma enhanced deposition method, semiconductor device, and deposition device
WO2011026064A1 (en) * 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
US20110091648A1 (en) * 2007-01-12 2011-04-21 Veeco Instruments Inc. Gas treatment systems
US20110155056A1 (en) * 2009-12-25 2011-06-30 Tokyo Electron Limited Film deposition apparatus
CN101755073B (en) * 2007-07-30 2011-10-12 Ips股份有限公司 Reactor for depositing thin film on wafer
US20110254072A1 (en) * 2010-04-19 2011-10-20 Micron Technology, Inc. Charge storage structures and methods
JP2011222960A (en) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc Substrate processor and method of manufacturing semiconductor device
US20120152168A1 (en) * 2005-01-21 2012-06-21 Kabushiki Kaisha Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20120312231A1 (en) * 2011-06-08 2012-12-13 Zilan Li Apparatus for thin-film deposition
US20130005154A1 (en) * 2011-06-29 2013-01-03 Woo-Jin Lee Method of forming a dielectric layer having an ono structure using an in-situ process
US20130171838A1 (en) * 2011-10-07 2013-07-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and non-transitory computer-readable recording medium
DE102012111218A1 (en) * 2012-11-21 2014-05-22 Emdeoled Gmbh Material discharge head of material discharge device comprises material discharge surface comprising material discharge openings, and connecting channel for direct connection to the material discharge opening with material storage container
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US20150050421A1 (en) * 2013-08-14 2015-02-19 Samsung Display Co., Ltd. Method of depositing an atomic layer and atomic layer deposition apparatus
JP2015526595A (en) * 2012-06-29 2015-09-10 ジュスン エンジニアリング カンパニー リミテッド Substrate processing apparatus and substrate processing method
US20150332895A1 (en) * 2014-05-15 2015-11-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US20160153085A1 (en) * 2014-11-28 2016-06-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN106555174A (en) * 2015-09-28 2017-04-05 超科技公司 High production capacity multi-chamber atomic layer deposition system and method
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170141408A1 (en) * 2015-11-16 2017-05-18 Hyundai Motor Company Separator for fuel cell and method for manufacturing the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101828989B1 (en) 2012-04-20 2018-02-14 주식회사 원익아이피에스 Substrate processing apparatus
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20180277400A1 (en) * 2017-03-23 2018-09-27 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
JP2019087618A (en) * 2017-11-06 2019-06-06 東京エレクトロン株式会社 Film deposition apparatus and film deposition method
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN111653566A (en) * 2019-03-04 2020-09-11 美光科技公司 Gate noble metal nanoparticles
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
CN111816584A (en) * 2019-04-11 2020-10-23 三星电子株式会社 Shower head, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN112739850A (en) * 2019-01-31 2021-04-30 株式会社Lg化学 Coating apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040035360A1 (en) * 2002-05-17 2004-02-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100574569B1 (en) * 2004-04-30 2006-05-03 주성엔지니어링(주) Methode for depositing atomic layer and ALD system having separate jet orifice for spouting purge-gas
DE102004056170A1 (en) * 2004-08-06 2006-03-16 Aixtron Ag Apparatus and method for high throughput chemical vapor deposition
KR100589206B1 (en) * 2004-09-30 2006-06-14 주식회사 하이닉스반도체 Method for depositiion of thin film in semiconductor device using rotating injector
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR20070004151A (en) * 2005-07-01 2007-01-09 주성엔지니어링(주) Gas injection system and apparatus for fabricating thin film comprising the same
KR101213392B1 (en) * 2006-03-08 2012-12-18 주성엔지니어링(주) Substrate processing apparatus and method for processing substrate
KR100757855B1 (en) 2006-09-11 2007-09-11 세메스 주식회사 Apparatus and method for treating substrates
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
JP4299863B2 (en) * 2007-01-22 2009-07-22 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
KR100905278B1 (en) * 2007-07-19 2009-06-29 주식회사 아이피에스 Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same
KR100967881B1 (en) * 2007-07-30 2010-07-05 주식회사 아이피에스 Reactor for depositing thin film on wafer
KR100967882B1 (en) * 2007-07-30 2010-07-05 주식회사 아이피에스 Reactor for depositing thin film on wafer
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
KR100949914B1 (en) * 2007-11-28 2010-03-30 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100960958B1 (en) * 2007-12-24 2010-06-03 주식회사 케이씨텍 Apparatus for making thin film and method for making thin film
KR100936695B1 (en) * 2007-12-26 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100936694B1 (en) * 2007-12-27 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus having palasma generating portion
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR100982842B1 (en) * 2008-04-25 2010-09-16 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100978569B1 (en) 2008-06-02 2010-08-27 삼성엘이디 주식회사 Susceptor and apparatus for chemical vapor deposition including the same
WO2010019007A2 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5423205B2 (en) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 Deposition equipment
JP5253933B2 (en) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and storage medium
JP5280964B2 (en) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and storage medium
JP5276387B2 (en) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and recording medium recording program for executing this film forming method
CN101665920A (en) * 2008-09-04 2010-03-10 东京毅力科创株式会社 Film deposition apparatus, substrate process apparatus, and turntable
CN101665923A (en) * 2008-09-04 2010-03-10 东京毅力科创株式会社 Film deposition apparatus, substrate processing apparatus and film deposition method
JP5107185B2 (en) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and recording medium recording program for executing this film forming method
JP5062144B2 (en) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 Gas injector
JP5445044B2 (en) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 Deposition equipment
KR101485506B1 (en) * 2008-11-19 2015-01-28 주식회사 원익아이피에스 Method for depositing thin film on wafer
KR101504138B1 (en) * 2008-11-19 2015-03-19 주식회사 원익아이피에스 Apparatus for depositing thin film on wafer and method for cleaning the apparatus
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
JP5107285B2 (en) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 Film forming apparatus, film forming method, program, and computer-readable storage medium
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
KR101334643B1 (en) * 2009-07-02 2013-12-02 주식회사 원익아이피에스 Reactor for depositing thin film on wafer
KR101311362B1 (en) * 2009-07-02 2013-09-25 주식회사 원익아이피에스 Reactor for depositing thin film on wafer
JP5444961B2 (en) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
JP5257328B2 (en) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP5310512B2 (en) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 Substrate processing equipment
JP5553588B2 (en) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 Deposition equipment
JP5396264B2 (en) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 Deposition equipment
JP5497423B2 (en) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 Deposition equipment
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
JP5423529B2 (en) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
KR100996210B1 (en) * 2010-04-12 2010-11-24 세메스 주식회사 Gas injection unit and apparatus and method for depositing thin layer with the same
KR101145118B1 (en) * 2010-06-01 2012-05-15 주성엔지니어링(주) Thin film manufacturing apparatus and thin film deposition method using the same
JP2012054508A (en) * 2010-09-03 2012-03-15 Tokyo Electron Ltd Film deposition apparatus
EP2441860A1 (en) * 2010-10-13 2012-04-18 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition on a surface
JP5870568B2 (en) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 Film forming apparatus, plasma processing apparatus, film forming method, and storage medium
TWI505400B (en) * 2011-08-26 2015-10-21 Lg Siltron Inc Susceptor
JP5712874B2 (en) 2011-09-05 2015-05-07 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
KR101145119B1 (en) * 2011-11-09 2012-05-15 주성엔지니어링(주) Thin film manufacturing apparatus and thin film deposition method using the same
KR101286034B1 (en) * 2011-12-09 2013-07-19 주식회사 테스 Substrate processing apparatus
JP6150506B2 (en) * 2011-12-27 2017-06-21 東京エレクトロン株式会社 Deposition method
JP5882777B2 (en) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 Deposition equipment
KR102002042B1 (en) * 2012-05-29 2019-07-19 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
KR102014877B1 (en) * 2012-05-30 2019-08-27 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
US20150167162A1 (en) * 2012-07-13 2015-06-18 Gallium Enterprises Pty Ltd Apparatus and method for film formation
KR101397162B1 (en) * 2012-08-23 2014-05-19 주성엔지니어링(주) Apparatus and method of processing substrate
JP5939147B2 (en) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, and film forming method
KR102115337B1 (en) * 2013-07-31 2020-05-26 주성엔지니어링(주) Substrate processing apparatus
JP6338462B2 (en) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 Plasma processing equipment
JP6262115B2 (en) 2014-02-10 2018-01-17 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
KR102268959B1 (en) 2014-03-31 2021-06-24 삼성디스플레이 주식회사 Atomic layer deposition apparatus and method of atomic layer deposition using the same
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
KR102477302B1 (en) * 2015-10-05 2022-12-13 주성엔지니어링(주) Substrate treatment apparatus having exhaust gas cracker and exhaust gas treatment method of the same
KR102462931B1 (en) * 2015-10-30 2022-11-04 삼성전자주식회사 Gas Supply Unit and Substrate Treating Apparatus
JP6548586B2 (en) 2016-02-03 2019-07-24 東京エレクトロン株式会社 Deposition method
RU2633894C1 (en) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Method of plasma-stimulated atomic-layer deposition of insulating dielectric coatings on heterostructures of nitrid-gallium semiconductor devices
KR101939278B1 (en) * 2016-08-09 2019-01-18 에이피시스템 주식회사 Apparatus for depositing thin film
JP6733516B2 (en) 2016-11-21 2020-08-05 東京エレクトロン株式会社 Method of manufacturing semiconductor device
CN109182999B (en) * 2018-09-29 2024-03-26 北京北方华创微电子装备有限公司 Air inlet system and control method for atomic layer deposition process
KR20200056273A (en) * 2018-11-14 2020-05-22 주성엔지니어링(주) Apparatus and method for processing substrate
JP7253972B2 (en) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 Substrate processing equipment
JP6987821B2 (en) * 2019-09-26 2022-01-05 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods and programs
US20210320017A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Bottom purge for semiconductor processing system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010842A (en) * 1988-10-25 1991-04-30 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100319494B1 (en) * 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
KR20020065245A (en) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 thin film deposition method using Plasma Enhanced Atomic Layer Deposition method
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010842A (en) * 1988-10-25 1991-04-30 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate

Cited By (492)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800530B2 (en) 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US20040137672A1 (en) * 2003-01-14 2004-07-15 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled cmos transistors
US20050142712A1 (en) * 2003-12-24 2005-06-30 Jung Wook Lim Method for forming gate dielectric layer
US7662683B2 (en) * 2003-12-24 2010-02-16 Electronics And Telecommunications Research Institute Method for forming gate dielectric layer
WO2005112082A1 (en) * 2004-05-18 2005-11-24 Ips Ltd. Cyclic pulsed two-level plasma atomic layer deposition apparatus and method
WO2006034130A2 (en) * 2004-09-21 2006-03-30 Air Products And Chemicals, Inc. Apparatus and process for surface treatment of substrate using an activated reactive gas
WO2006034130A3 (en) * 2004-09-21 2006-08-03 Air Prod & Chem Apparatus and process for surface treatment of substrate using an activated reactive gas
US20070218204A1 (en) * 2004-09-21 2007-09-20 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20070095286A1 (en) * 2004-12-16 2007-05-03 Yong-Ku Baek Apparatus and method for thin film deposition
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
US8092598B2 (en) * 2004-12-16 2012-01-10 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
US20120152168A1 (en) * 2005-01-21 2012-06-21 Kabushiki Kaisha Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2006101886A3 (en) * 2005-03-21 2007-10-04 Tokyo Electron Ltd A plasma enhanced atomic layer deposition system and method
WO2006101886A2 (en) * 2005-03-21 2006-09-28 Tokyo Electron Limited A plasma enhanced atomic layer deposition system and method
US20100224130A1 (en) * 2005-06-08 2010-09-09 Jacob Smith Rotating substrate support and methods of use
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7491654B2 (en) * 2005-07-16 2009-02-17 Samsung Electronics Co., Ltd. Method of forming a ZrO2 thin film using plasma enhanced atomic layer deposition and method of fabricating a capacitor of a semiconductor memory device having the thin film
US20070026688A1 (en) * 2005-07-16 2007-02-01 Samsung Electronics Co., Ltd. Method of forming a ZrO2 thin film using plasma enhanced atomic layer deposition and method of fabricating a capacitor of a semiconductor memory device having the thin film
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20120048829A1 (en) * 2005-10-11 2012-03-01 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US8389445B2 (en) * 2005-10-11 2013-03-05 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20080133161A1 (en) * 2005-10-11 2008-06-05 Chiang Tony P Methods for discretized processing and process sequence integration of regions of a substrate
US8067340B2 (en) * 2005-10-11 2011-11-29 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
WO2007061273A1 (en) * 2005-11-28 2007-05-31 Aet Co., Ltd. Method of forming silicon film by two step deposition
US20070234957A1 (en) * 2006-04-06 2007-10-11 Jusung Engineering Co., Ltd. Method of forming oxide film and oxide deposition apparatus
US8105647B2 (en) * 2006-04-06 2012-01-31 Jusung Engineering Co., Ltd. Method of forming oxide film and oxide deposition apparatus
CN102174693A (en) * 2007-01-12 2011-09-07 威科仪器有限公司 Gas treatment systems
US9273395B2 (en) 2007-01-12 2016-03-01 Veeco Instruments Inc. Gas treatment systems
US20110091648A1 (en) * 2007-01-12 2011-04-21 Veeco Instruments Inc. Gas treatment systems
US8012259B2 (en) * 2007-03-09 2011-09-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US20080216742A1 (en) * 2007-03-09 2008-09-11 Yuji Takebayashi Substrate processing apparatus
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
CN101755073B (en) * 2007-07-30 2011-10-12 Ips股份有限公司 Reactor for depositing thin film on wafer
US8668775B2 (en) 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090107403A1 (en) * 2007-10-31 2009-04-30 Moshtagh Vahid S Brazed cvd shower head
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011009681A1 (en) * 2009-07-24 2011-01-27 Q-Cells Se Plasma enhanced deposition method, semiconductor device, and deposition device
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110183079A1 (en) * 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process
WO2011026064A1 (en) * 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
US20110155056A1 (en) * 2009-12-25 2011-06-30 Tokyo Electron Limited Film deposition apparatus
JP2011222960A (en) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc Substrate processor and method of manufacturing semiconductor device
US8530305B2 (en) * 2010-04-19 2013-09-10 Micron Technology, Inc. Nanodot charge storage structures and methods
US9397105B2 (en) 2010-04-19 2016-07-19 Micron Technology, Inc. Nanodot charge storage structures
US20110254072A1 (en) * 2010-04-19 2011-10-20 Micron Technology, Inc. Charge storage structures and methods
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8840726B2 (en) * 2011-06-08 2014-09-23 Asm Technology Singapore Pte Ltd Apparatus for thin-film deposition
US20120312231A1 (en) * 2011-06-08 2012-12-13 Zilan Li Apparatus for thin-film deposition
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8932964B2 (en) * 2011-06-29 2015-01-13 Samsung Electronics Co., Ltd. Method of forming a dielectric layer having an ONO structure using an in-situ process
US20130005154A1 (en) * 2011-06-29 2013-01-03 Woo-Jin Lee Method of forming a dielectric layer having an ono structure using an in-situ process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US8956984B2 (en) * 2011-10-07 2015-02-17 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and non-transitory computer-readable recording medium
US20130171838A1 (en) * 2011-10-07 2013-07-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and non-transitory computer-readable recording medium
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
KR101828989B1 (en) 2012-04-20 2018-02-14 주식회사 원익아이피에스 Substrate processing apparatus
JP2015526595A (en) * 2012-06-29 2015-09-10 ジュスン エンジニアリング カンパニー リミテッド Substrate processing apparatus and substrate processing method
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
DE102012111218A1 (en) * 2012-11-21 2014-05-22 Emdeoled Gmbh Material discharge head of material discharge device comprises material discharge surface comprising material discharge openings, and connecting channel for direct connection to the material discharge opening with material storage container
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US20150050421A1 (en) * 2013-08-14 2015-02-19 Samsung Display Co., Ltd. Method of depositing an atomic layer and atomic layer deposition apparatus
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9556520B2 (en) * 2013-08-14 2017-01-31 Samsung Display Co., Ltd. Method of depositing an atomic layer
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP2015220293A (en) * 2014-05-15 2015-12-07 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US20150332895A1 (en) * 2014-05-15 2015-11-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9601318B2 (en) * 2014-05-15 2017-03-21 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US20160153085A1 (en) * 2014-11-28 2016-06-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP2016102242A (en) * 2014-11-28 2016-06-02 株式会社日立国際電気 Substrate processing device, production method of semiconductor, and program
US10546761B2 (en) 2014-11-28 2020-01-28 Kokusai Electric Corporation Substrate processing apparatus
CN105990083A (en) * 2014-11-28 2016-10-05 株式会社日立国际电气 Substrate processing apparatus, and method for manufacturing semiconductor device
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN106555174A (en) * 2015-09-28 2017-04-05 超科技公司 High production capacity multi-chamber atomic layer deposition system and method
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20170141408A1 (en) * 2015-11-16 2017-05-18 Hyundai Motor Company Separator for fuel cell and method for manufacturing the same
CN106711473A (en) * 2015-11-16 2017-05-24 现代自动车株式会社 Separator for fuel cell and method for manufacturing the same
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US20180174826A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US20180277400A1 (en) * 2017-03-23 2018-09-27 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP2019087618A (en) * 2017-11-06 2019-06-06 東京エレクトロン株式会社 Film deposition apparatus and film deposition method
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
CN112739850A (en) * 2019-01-31 2021-04-30 株式会社Lg化学 Coating apparatus
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US10818666B2 (en) 2019-03-04 2020-10-27 Micron Technology, Inc. Gate noble metal nanoparticles
US11557592B2 (en) 2019-03-04 2023-01-17 Micron Technology, Inc. Gate noble metal nanoparticles
CN111653566A (en) * 2019-03-04 2020-09-11 美光科技公司 Gate noble metal nanoparticles
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
CN111816584A (en) * 2019-04-11 2020-10-23 三星电子株式会社 Shower head, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Also Published As

Publication number Publication date
KR100497748B1 (en) 2005-06-29
US7435445B2 (en) 2008-10-14
KR20040025791A (en) 2004-03-26
US20060177579A1 (en) 2006-08-10

Similar Documents

Publication Publication Date Title
US7435445B2 (en) Method for manufacturing semiconductor device
KR101610773B1 (en) Method for manufacturing thin film and apparatus for the same
US10490413B2 (en) Selective growth of silicon nitride
KR102542125B1 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
TWI644359B (en) Chamber undercoat preparation method for low temperature ald films
US20040129212A1 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP2020191474A (en) Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system
US20040224504A1 (en) Apparatus and method for plasma enhanced monolayer processing
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
US20040101622A1 (en) Method of depositing thin film using aluminum oxide
US20090304924A1 (en) Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
US20060110533A1 (en) Methods and apparatus for forming a titanium nitride layer
KR20010096229A (en) Apparatus and method for forming ultra-thin film of semiconductor device
US10535501B2 (en) Film forming apparatus, film forming method and non-transitory storage medium
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US11404275B2 (en) Selective deposition using hydrolysis
KR20180071980A (en) Particle removal method and substrate processing method
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR100422398B1 (en) Apparatus for depositing a thin film
KR20030063635A (en) Method for depositing thin film using magnetic field
KR100476299B1 (en) Atomic layer deposition apparatus for manufacturing Semiconductor device and atomic layer deposition method thereof
KR20230079221A (en) Substrate processing method and substrate processing apparatus
US20200149164A1 (en) Thermal metal chemical vapor deposition apparatus and process
TWI471453B (en) Thin film deposition method and thin film deposition apparatus
KR101513541B1 (en) Method for manufacturing metalnitride and apparatus for the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: MOOHAN CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIN, CHEOL HO;CHO, BYOUNG HA;SIM, SANG TAE;AND OTHERS;REEL/FRAME:014498/0831

Effective date: 20030903

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION