US20040079633A1 - Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing - Google Patents

Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing Download PDF

Info

Publication number
US20040079633A1
US20040079633A1 US10/686,486 US68648603A US2004079633A1 US 20040079633 A1 US20040079633 A1 US 20040079633A1 US 68648603 A US68648603 A US 68648603A US 2004079633 A1 US2004079633 A1 US 2004079633A1
Authority
US
United States
Prior art keywords
substrate
wafer
mainframe
loading station
electrolyte
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/686,486
Inventor
Robin Cheung
Ashok Sinha
Avi Tepman
Dan Carl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/686,486 priority Critical patent/US20040079633A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SINHA, ASHOK, CARL, DAN, CHEUNG, ROBIN, TEPMAN, AVI
Publication of US20040079633A1 publication Critical patent/US20040079633A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

The present invention generally provides an electro-chemical deposition system that is designed with a flexible architecture that is expandable to accommodate future designs rules and gap fill requirements and provides satisfactory throughput to meet the demands of other processing systems. The electro-chemical deposition system generally comprises a mainframe having a mainframe wafer transfer robot, a loading station disposed in connection with the mainframe, a rapid thermal anneal chamber disposed adjacent the loading station, one or more processing cells disposed in connection with the mainframe, and an electrolyte supply fluidly connected to the one or more electrical processing cells. One aspect of the invention provides a post electrochemical deposition treatment, such as a rapid thermal anneal treatment, for enhancing deposition results. Preferably, the electro-chemical deposition system includes a system controller adapted to control the electro-chemical deposition process and the components of the electro-chemical deposition system, including the rapid thermal anneal chamber disposed adjacent the loading station.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 09/609,347, filed Jul. 5, 2000, which claims benefit of United States. Each of the aforementioned related patent applications is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention generally relates to deposition of a metal layer onto a wafer/substrate. More particularly, the present invention relates to an electro-chemical deposition system or electroplating system for forming a metal layer on a wafer/substrate. [0003]
  • 2. Description of the Related Art [0004]
  • Sub-quarter micron, multi-level metallization is one of the key technologies for the next generation of ultra large scale integration (ULSI). The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die. [0005]
  • As circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to less than 250 nanometers, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many traditional deposition processes, such as physical vapor deposition (PVD) and chemical vapor deposition (CVD), have difficulty filling structures where the aspect ratio exceed 4:1, and particularly where it exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of void-free, nanometer-sized features having high aspect ratios wherein the ratio of feature height to feature width can be 4:1 or higher. Additionally, as the feature widths decrease, the device current remains constant or increases, which results in an increased current density in the feature. [0006]
  • Elemental aluminum (Al) and its alloys have been the traditional metals used to form lines and plugs in semiconductor processing because of aluminum's perceived low electrical resistivity, its superior adhesion to silicon dioxide (SiO[0007] 2), its ease of patterning, and the ability to obtain it in a highly pure form. However, aluminum has a higher electrical resistivity than other more conductive metals such as copper, and aluminum also can suffer from electromigration leading to the formation of voids in the conductor.
  • Copper and its alloys have lower resistivities than aluminum and significantly higher electromigration resistance as compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increase device speed. Copper also has good thermal conductivity and is available in a highly pure state. Therefore, copper is becoming a choice metal for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates. [0008]
  • Despite the desirability of using copper for semiconductor device fabrication, choices of fabrication methods for depositing copper into very high aspect ratio features, such as a 4:1, having 0.35μ (or less) wide vias are limited. As a result of these process limitations, plating, which had previously been limited to the fabrication of lines on circuit boards, is just now being used to fill vias and contacts on semiconductor devices. [0009]
  • Metal electroplating is generally known and can be achieved by a variety of techniques. A typical method generally comprises physical vapor depositing a barrier layer over the feature surfaces, physical vapor depositing a conductive metal seed layer, preferably copper, over the barrier layer, and then electroplating a conductive metal over the seed layer to fill the structure/feature. Finally, the deposited layers and the dielectric layers are planarized, such as by chemical mechanical polishing (CMP), to define a conductive interconnect feature. [0010]
  • FIG. 1 is a cross sectional view of a simplified [0011] typical fountain plater 10 incorporating contact pins. Generally, the fountain plater 10 includes an electrolyte container 12 having a top opening, a substrate holder 14 disposed above the electrolyte container 12, an anode 16 disposed at a bottom portion of the electrolyte container 12 and a contact ring 20 contacting the substrate 22. A plurality of grooves 24 are formed in the lower surface of the substrate holder 14. A vacuum pump (not shown) is coupled to the substrate holder 14 and communicates with the grooves 24 to create a vacuum condition capable of securing the substrate 22 to the substrate holder 14 during processing. The contact ring 20 comprises a plurality of metallic or semi-metallic contact pins 26 distributed about the peripheral portion of the substrate 22 to define a central substrate plating surface. The plurality of contact pins 26 extend radially inwardly over a narrow perimeter portion of the substrate 22 and contact a conductive seed layer of the substrate 22 at the tips of the contact pins 26. A power supply (not shown) is attached to the pins 26 thereby providing an electrical bias to the substrate 22. The substrate 22 is positioned above the cylindrical electrolyte container 12 and electrolyte flow impinges perpendicularly on the substrate plating surface during operation of the cell 10.
  • While present day electroplating cells, such as the one shown in FIG. 1, achieve acceptable results on larger scale substrates, a number of obstacles impair consistent reliable electroplating onto substrates having micron-sized, high aspect ratio features. Generally, these obstacles include providing uniform power distribution and current density across the substrate plating surface to form a metal layer having uniform thickness, preventing unwanted edge and backside deposition to control contamination to the substrate being processed as well as subsequent substrates, and maintaining a vacuum condition which secures the substrate to the substrate holder during processing. Also, the present day electroplating cells have not provided satisfactory throughput to meet the demands of other processing systems and are not designed with a flexible architecture that is expandable to accommodate future designs rules and gap fill requirements. Furthermore, current electroplating system platforms have not provided post electrochemical deposition treatment, such as a rapid thermal anneal treatment, for enhancing deposition results within the same system platform. [0012]
  • Therefore, there remains a need for an electro-chemical deposition system that is designed with a flexible architecture that is expandable to accommodate future designs rules and gap fill requirements and provides satisfactory throughput to meet the demands of other processing systems. There is also a need for an electro-chemical deposition system that provides uniform power distribution and current density across the substrate plating surface to form a metal layer having uniform thickness and maintain a vacuum condition which secures the substrate to the substrate holder during processing. It would be desirable for the system to prevent and/or remove unwanted edge and backside deposition to control contamination to the substrate being processed as well as subsequent substrates. It would be further desirable for the electro-chemical deposition system to provide a post electrochemical deposition treatment, such as a rapid thermal anneal treatment, for enhancing deposition results. [0013]
  • SUMMARY OF THE INVENTION
  • The present invention generally provides an electro-chemical deposition system that is designed with a flexible architecture that is expandable to accommodate future designs rules and gap fill requirements and provides satisfactory throughput to meet the demands of other processing systems. The electro-chemical deposition system generally comprises a mainframe having a mainframe wafer transfer robot, a loading station disposed in connection with the mainframe, a rapid thermal anneal chamber disposed adjacent the loading station, one or more processing cells disposed in connection with the mainframe, and an electrolyte supply fluidly connected to the one or more electrical processing cells. Preferably, the electro-chemical deposition system includes a system controller adapted to control the electro-chemical deposition process and the components of the electro-chemical deposition system, including the rapid thermal anneal chamber disposed adjacent the loading station. [0014]
  • One aspect of the invention provides an electro-chemical deposition system that provides uniform power distribution and current density across the substrate plating surface to form a metal layer having uniform thickness and maintain a vacuum condition which secures the substrate to the substrate holder during processing. [0015]
  • Another aspect of the invention provides an electro-chemical deposition system that prevents and/or remove unwanted edge and backside deposition to control contamination to the substrate being processed as well as subsequent substrates. [0016]
  • Yet another aspect of the invention provides a post electrochemical deposition treatment, such as a rapid thermal anneal treatment, for enhancing deposition results. The apparatus for rapid thermal anneal treatment preferably comprises a rapid thermal anneal chamber disposed adjacent the loading station of the electrochemical deposition system. [0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0018]
  • So that the manner in which the above recited features, advantages and objects of the present invention are attained can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.[0019]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0020]
  • FIG. 1 is a cross sectional view of a simplified [0021] typical fountain plater 10 incorporating contact pins.
  • FIG. 2 is a perspective view of an [0022] electroplating system platform 200 of the invention.
  • FIG. 3 is a schematic view of an [0023] electroplating system platform 200 of the invention.
  • FIG. 4 is a schematic perspective view of a spin-rinse-dry (SRD) module of the present invention, incorporating rinsing and dissolving fluid inlets. [0024]
  • FIG. 5 is a side cross sectional view of the spin-rinse-dry (SRD) module of FIG. 4 and shows a substrate in a processing position vertically disposed between fluid inlets. [0025]
  • FIG. 6 is a cross sectional view of an [0026] electroplating process cell 400 according to the invention.
  • FIG. 7 is a partial cross sectional perspective view of a cathode contact ring. [0027]
  • FIG. 8 is a cross sectional perspective view of the cathode contact ring showing an alternative embodiment of contact pads. [0028]
  • FIG. 9 is a cross sectional perspective view of the cathode contact ring showing an alternative embodiment of the contact pads and an isolation gasket. [0029]
  • FIG. 10 is a cross sectional perspective view of the cathode contact ring showing the isolation gasket. [0030]
  • FIG. 11 is a simplified schematic diagram of the electrical circuit representing the electroplating system through each contact pin. [0031]
  • FIG. 12 is a cross sectional view of a [0032] wafer assembly 450 of the invention.
  • FIG. 12A is an enlarged cross sectional view of the bladder area of FIG. 12. [0033]
  • FIG. 13 is a partial cross sectional view of a wafer holder plate. [0034]
  • FIG. 14 is a partial cross sectional view of a manifold. [0035]
  • FIG. 15 is a partial cross sectional view of a bladder. [0036]
  • FIG. 16 is a schematic diagram of an electrolyte replenishing system [0037] 600.
  • FIG. 17 is a cross sectional view of a rapid thermal anneal chamber.[0038]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 2 is a perspective view of an [0039] electroplating system platform 200 of the invention. FIG. 3 is a schematic view of an electroplating system platform 200 of the invention. Referring to both FIGS. 2 and 3, the electroplating system platform 200 generally comprises a loading station 210, a thermal anneal chamber 211, a spin-rinse-dry (SRD) station 212 and a mainframe 214. Preferably, the electroplating system platform 200 is enclosed in a clean environment using panels such as plexiglass panels. The mainframe 214 generally comprises a mainframe transfer station 216 and a plurality of processing stations 218. Each processing station 218 includes one or more processing cells 240. An electrolyte replenishing system 220 is positioned adjacent the electroplating system platform 200 and connected to the process cells 240 individually to circulate electrolyte used for the electroplating process. The electroplating system platform 200 also includes a control system 222, typically comprising a programmable microprocessor.
  • The [0040] loading station 210 preferably includes one or more wafer cassette receiving areas 224, one or more loading station transfer robots 228 and at least one wafer orientor 230. The number of wafer cassette receiving areas, loading station transfer robots 228 and wafer orientor included in the loading station 210 can be configured according to the desired throughput of the system. As shown for one embodiment in FIGS. 2 and 3, the loading station 210 includes two wafer cassette receiving areas 224, two loading station transfer robots 228 and one wafer orientor 230. A wafer cassette 232 containing wafers 234 is loaded onto the wafer cassette receiving area 224 to introduce wafers 234 into the electroplating system platform. The loading station transfer robot 228 transfers wafers 234 between the wafer cassette 232 and the wafer orientor 230. The loading station transfer robot 228 comprises a typical transfer robot commonly known in the art. The wafer orientor 230 positions each wafer 234 in a desired orientation to ensure that the wafer is properly processed. The loading station transfer robot 228 also transfers wafers 234 between the loading station 210 and the SRD station 212 and between the loading station 210 and the thermal anneal chamber 211.
  • FIG. 4 is a schematic perspective view of a spin-rinse-dry (SRD) module of the present invention, incorporating rinsing and dissolving fluid inlets. FIG. 5 is a side cross sectional view of the spin-rinse-dry (SRD) module of FIG. 4 and shows a substrate in a processing position vertically disposed between fluid inlets. Preferably, the [0041] SRD station 212 includes one or more SRD modules 236 and one or more wafer pass-through cassettes 238. Preferably, the SRD station 212 includes two SRD modules 236 corresponding to the number of loading station transfer robots 228, and a wafer pass-through cassette 238 is positioned above each SRD module 236. The wafer pass-through cassette 238 facilitates wafer transfer between the loading station 210 and the mainframe 214. The wafer pass-through cassette 238 provides access to and from both the loading station transfer robot 228 and a robot in the mainframe transfer station 216.
  • Referring to FIGS. 4 and 5, the [0042] SRD module 238 comprises a bottom 330 a and a sidewall 330 b, and an upper shield 330 c which collectively define a SRD module bowl 330 d, where the shield attaches to the sidewall and assists in retaining the fluids within the SRD module. Alternatively, a removable cover could also be used. A pedestal 336, located in the SRD module, includes a pedestal support 332 and a pedestal actuator 334. The pedestal 336 supports the substrate 338 (shown in FIG. 5) on the pedestal upper surface during processing. The pedestal actuator 334 rotates the pedestal to spin the substrate and raises and lowers the pedestal as described below. The substrate may be held in place on the pedestal by a plurality of clamps 337. The clamps pivot with centrifugal force and engage the substrate preferably in the edge exclusion zone of the substrate. In a preferred embodiment, the clamps engage the substrate only when the substrate lifts off the pedestal during the processing. Vacuum passages (not shown) may also be used as well as other holding elements. The pedestal has a plurality of pedestal arms 336 a and 336 b, so that the fluid through the second nozzle may impact as much surface area on the lower surface of the substrate as is practical. An outlet 339 allows fluid to be removed from the SRD module. The terms “below”, “above”, “bottom”, “top”, “up”, “down”, “upper”, and “lower” and other positional terms used herein are shown with respect to the embodiments in the figures and may be varied depending on the relative orientation of the processing apparatus.
  • A [0043] first conduit 346, through which a first fluid 347 flows, is connected to a valve 347 a. The conduit may be hose, pipe, tube, or other fluid containing conduits. The valve 347 a controls the flow of the first fluid 347 and may be selected from a variety of valves including a needle, globe, butterfly, or other valve types and may include a valve actuator, such as a solenoid, that can be controlled with a controller 362. The conduit 346 connects to a first fluid inlet 340 that is located above the substrate and includes a mounting portion 342 to attach to the SRD module and a connecting portion 344 to attach to the conduit 346. The first fluid inlet is shown with a single first nozzle 348 to deliver a first fluid 347 under pressure onto the substrate upper surface. However, multiple nozzles could be used and multiple fluid inlets could be positioned about the inner perimeter of the SRD module. Preferably, nozzles placed above the substrate should be outside the diameter of the substrate to lessen the risk of the nozzles dripping on the substrate. The first fluid inlet could be mounted in a variety of locations, including through a cover positioned above the substrate. Additionally, the nozzle may articulate to a variety of positions using an articulating member 343, such as a ball and socket joint.
  • Similar to the first conduit and related elements described above, a [0044] second conduit 352 is connected to a control valve 349 a and a second fluid inlet 350 with a second nozzle 351. The second fluid inlet 350 is shown below the substrate and angled upward to direct a second fluid under the substrate through the second nozzle 351. Similar to the first fluid inlet, the second fluid inlet may include a plurality of nozzles, a plurality of fluid inlets and mounting locations, and a plurality of orientations including using the articulating member 353. Each fluid inlet could be extended into the SRD module at a variety of positions. For instance, if the flow is desired to be a certain angle that is directed back toward the SRD module periphery along the edge of the substrate, the nozzles could be extended radially inward and the discharge from the nozzles be directed back toward the SRD module periphery.
  • The [0045] controller 362 could individually control the two fluids and their respective flow rates, pressure, and timing, and any associated valving, as well as the spin cycle(s). The controller could be remotely located, for instance, in a control panel or control room and the plumbing controlled with remote actuators. An alternative embodiment, shown in dashed lines, provides an auxiliary fluid inlet 346 a connected to the first conduit 346 with a conduit 346 b and having a control valve 346 c, which may be used to flow a rinsing fluid on the backside of the substrate after the dissolving fluid is flown without having to reorient the substrate or switch the flow through the second fluid inlet to a rinsing fluid.
  • In one embodiment, the substrate is mounted with the deposition surface of the disposed face up in the SRD module bowl. As will be explained below, for such an arrangement, the first fluid inlet would generally flow a rinsing fluid, typically deionized water or alcohol. Consequently, the backside of the substrate would be mounted facing down and a fluid flowing through the second fluid inlet would be a dissolving fluid, such as an acid, including hydrochloric acid, sulfuric acid, phosphoric acid, hydrofluoric acid, or other dissolving liquids or fluids, depending on the material to be dissolved. Alternatively, the first fluid and the second fluid are both rinsing fluids, such as deionized water or alcohol, when the desired process is to rinse the processed substrate. [0046]
  • In operation, the pedestal is in a raised position, shown in FIG. 4, and a robot (not shown) places the substrate, front side up, onto the pedestal. The pedestal lowers the substrate to a processing position where the substrate is vertically disposed between the first and the second fluid inlets. Generally, the pedestal actuator rotates the pedestal between about 5 to about 5000 rpm, with a typical range between about 20 to about 2000 rpm for a 200 mm substrate. The rotation causes the [0047] lower end 337 a of the clamps to rotate outward about pivot 337 b, toward the periphery of the SRD module sidewall, due to centrifugal force. The clamp rotation forces the upper end 337 c of the clamp inward and downward to center and hold the substrate 338 in position on the pedestal 336, preferably along the substrate edge. The clamps may rotate into position without touching the substrate and hold the substrate in position on the pedestal only if the substrate significantly lifts off the pedestal during processing. With the pedestal rotating the substrate, a rinsing fluid is delivered onto the substrate front side through the first fluid inlet 340. The second fluid, such as an acid, is delivered to the backside surface through the second fluid inlet to remove any unwanted deposits. The dissolving fluid chemically reacts with the deposited material and dissolves and then flushes the material away from the substrate backside and other areas where any unwanted deposits are located. In a preferred embodiment, the rinsing fluid is adjusted to flow at a greater rate than the dissolving fluid to help protect the front side of the substrate from the dissolving fluid. The first and second fluid inlets are located for optimal performance depending on the size of the substrate, the respective flow rates, spray patterns, and amount and type of deposits to be removed, among other factors. In some instances, the rinsing fluid could be routed to the second fluid inlet after a dissolving fluid has dissolved the unwanted deposits to rinse the backside of the substrate. In other instances, an auxiliary fluid inlet connected to flow rinsing fluid on the backside of the substrate could be used to rinse any dissolving fluid residue from the backside. After rinsing the front side and/or backside of the substrate, the fluid(s) flow is stopped and the pedestal continues to rotate, spinning the substrate, and thereby effectively drying the surface.
  • The fluid(s) is generally delivered in a spray pattern, which may be varied depending on the particular nozzle spray pattern desired and may include a fan, jet, conical, and other patterns. One spray pattern for the first and second fluids through the respective fluid inlets, when the first fluid is a rinsing fluid, is fan pattern with a pressure of about 10 to about 15 pounds per square inch (psi) and a flow rate of about 1 to about 3 gallons per minute (gpm) for a 200 mm wafer. [0048]
  • The invention could also be used to remove the unwanted deposits along the edge of the substrate to create an edge exclusion zone. By adjustment of the orientation and placement of the nozzles, the flow rates of the fluids, the rotational speed of the substrate, and the chemical composition of the fluids, the unwanted deposits could be removed from the edge and/or edge exclusion zone of the substrate as well. Thus, substantially preventing dissolution of the deposited material on the front side surface may not necessarily include the edge or edge exclusion zone of the substrate. Also, preventing dissolution of the deposited material on the front side surface is intended to include at least preventing the dissolution so that the front side with the deposited material is not impaired beyond a commercial value. [0049]
  • One method of accomplishing the edge exclusion zone dissolution process is to rotate the disk at a slower speed, such as about 100 to about 1000 rpm, while dispensing the dissolving fluid on the backside of the substrate. The centrifugal force moves the dissolving fluid to the edge of the substrate and forms a layer of fluid around the edge due to surface tension of the fluid, so that the dissolving fluid overlaps from the backside to the front side in the edge area of the substrate. The rotational speed of the substrate and the flow rate of the dissolving fluid may be used to determine the extent of the overlap onto the front side. For instance, a decrease in rotational speed or an increase in flow results in a less overlap of fluid to the opposing side, e.g., the front side. Additionally, the flow rate and flow angle of the rinsing fluid delivered to the front side can be adjusted to offset the layer of dissolving fluid onto the edge and/or frontside of the substrate. In some instances, the dissolving fluid may be used initially without the rinsing fluid to obtain the edge and/or edge exclusion zone removal, followed by the rinsing/dissolving process of the present invention described above. [0050]
  • The [0051] SRD module 238 is connected between the loading station 210 and the mainframe 214. The mainframe 214 generally comprises a mainframe transfer station 216 and a plurality of processing stations 218. Referring to FIGS. 2 and 3, the mainframe 214, as shown, includes two processing stations 218, each processing station 218 having two processing cells 240. The mainframe transfer station 216 includes a mainframe transfer robot 242. Preferably, the mainframe transfer robot 242 comprises a plurality of individual robot arms 244 that provides independent access of wafers in the processing stations 218 and the SRD stations 212. As shown in FIG. 3, the mainframe transfer robot 242 comprises two robot arms 244, corresponding to the number of processing cells 240 per processing station 218. Each robot arm 244 includes a robot blade 246 for holding a wafer during a wafer transfer. Preferably, each robot arm 244 is operable independently of the other arm to facilitate independent transfers of wafers in the system. Alternatively, the robot arms 244 operate in a linked fashion such that one robot extends as the other robot arm retracts.
  • Preferably, the [0052] mainframe transfer station 216 includes a flipper robot 248 that facilitates transfer of a wafer from a face-up position on the robot blade 246 of the mainframe transfer robot 242 to a face down position for a process cell 240 that requires face-down processing of wafers. The flipper robot 248 includes a main body 250 that provides both vertical and rotational movements with respect to a vertical axis of the main body 250 and a flipper robot arm 252 that provides rotational movement along a horizontal axis along the flipper robot arm 252. Preferably, a vacuum suction gripper 254, disposed at the distal end of the flipper robot arm 252, holds the wafer as the wafer is flipped and transferred by the flipper robot 248. The flipper robot 248 positions a wafer 234 into the processing cell 240 for face-down processing. The details of the electroplating processing cell according to the invention will be discussed below.
  • FIG. 6 is a cross sectional view of an [0053] electroplating process cell 400 according to the invention. The electroplating process cell 400 as shown in FIG. 6 is the same as the electroplating process cell 240 as shown in FIGS. 2 and 3. The processing cell 400 generally comprises a head assembly 410, a process kit 420 and an electrolyte collector 440. Preferably, the electrolyte collector 440 is secured onto the body 442 of the mainframe 214 over an opening 443 that defines the location for placement of the process kit 420. The electrolyte collector 440 includes an inner wall 446, an outer wall 448 and a bottom 447 connecting the walls. An electrolyte outlet 449 is disposed through the bottom 447 of the electrolyte collector 440 and connected to the electrolyte replenishing system 220 (shown in FIG. 2) through tubes, hoses, pipes or other fluid transfer connectors.
  • The [0054] head assembly 410 is mounted onto a head assembly frame 452. The head assembly frame 452 includes a mounting post 454 and a cantilever arm 456. The mounting post 454 is mounted onto the body 442 of the mainframe 214, and the cantilever arm 456 extends laterally from an upper portion of the mounting post 454. Preferably, the mounting post 454 provides rotational movement with respect to a vertical axis along the mounting post to allow rotation of the head assembly 410. The head assembly 410 is attached to a mounting plate 460 disposed at the distal end of the cantilever arm 456. The lower end of the cantilever arm 456 is connected to a cantilever arm actuator 457, such as a pneumatic cylinder, mounted on the mounting post 454. The cantilever arm actuator 457 provides pivotal movement of the cantilever arm 456 with respect to the joint between the cantilever arm 456 and the mounting post 454. When the cantilever arm actuator 457 is retracted, the cantilever arm 456 moves the head assembly 410 away from the process kit 420 to provide the spacing required to remove and/or replace the process kit 420 from the electroplating process cell 400. When the cantilever arm actuator 457 is extended, the cantilever arm 456 moves the head assembly 410 toward the process kit 420 to position the wafer in the head assembly 410 in a processing position.
  • The [0055] head assembly 410 generally comprises a wafer holder assembly 450 and a wafer assembly actuator 458. The wafer assembly actuator 458 is mounted onto the mounting plate 460, and includes a head assembly shaft 462 extending downwardly through the mounting plate 460. The lower end of the head assembly shaft 462 is connected to the wafer holder assembly 450 to position the wafer holder assembly 450 in a processing position and in a wafer loading position.
  • The [0056] wafer holder assembly 450 generally comprises a wafer holder 464 and a cathode contact ring 466. FIG. 7 is a cross sectional view of one embodiment of a cathode contact ring 466 of the present invention. In general, the contact ring 466 comprises an annular body having a plurality of conducting members disposed thereon. The annular body is constructed of an insulating material to electrically isolate the plurality of conducting members. Together the body and conducting members form a diametrically interior substrate seating surface which, during processing, supports a substrate and provides a current thereto.
  • Referring now to FIG. 7 in detail, the [0057] contact ring 466 generally comprises a plurality of conducting members 765 at least partially disposed within an annular insulative body 770. The insulative body 770 is shown having a flange 762 and a downward sloping shoulder portion 764 leading to a substrate seating surface 768 located below the flange 762 such that the flange 762 and the substrate seating surface 768 lie in offset and substantially parallel planes. Thus, the flange 762 may be understood to define a first plane while the substrate seating surface 768 defines a second plane parallel to the first plane wherein the shoulder 764 is disposed between the two planes. However, contact ring design shown in FIG. 7 is intended to be merely illustrative. In another embodiment, the shoulder portion 764 may be of a steeper angle including a substantially vertical angle so as to be substantially normal to both the flange 762 and the substrate seating surface 768. Alternatively, the contact ring 466 may be substantially planar thereby eliminating the shoulder portion 764. However, for reasons described below, a preferred embodiment comprises the shoulder portion 764 shown in FIG. 6 or some variation thereof.
  • The conducting [0058] members 765 are defined by a plurality of outer electrical contact pads 780 annularly disposed on the flange 762, a plurality of inner electrical contact pads 772 disposed on a portion of the substrate seating surface 768, and a plurality of embedded conducting connectors 776 which link the pads 772, 780 to one another. The conducting members 765 are isolated from one another by the insulative body 770 which may be made of a plastic such as polyvinylidenefluoride (PVDF), perfluoroalkoxy resin (PFA), Teflon™, and Tefzel™, or any other insulating material such as Alumina (Al2O3) or other ceramics. The outer contact pads 780 are coupled to a power supply (not shown) to deliver current and voltage to the inner contact pads 772 via the connectors 776 during processing. In turn, the inner contact pads 772 supply the current and voltage to a substrate by maintaining contact around a peripheral portion of the substrate. Thus, in operation the conducting members 765 act as discrete current paths electrically connected to a substrate.
  • Low resistivity, and conversely high conductivity, are directly related to good plating. To ensure low resistivity, the conducting [0059] members 765 are preferably made of copper (Cu), platinum (Pt), tantalum (Ta), titanium (Ti), gold (Au), silver (Ag), stainless steel or other conducting materials. Low resistivity and low contact resistance may also be achieved by coating the conducting members 765 with a conducting material. Thus, the conducting members 765 may, for example, be made of copper (resistivity for copper is approximately 2×10−8 Ω.m) and be coated with platinum (resistivity for platinum is approximately 10.6×10−8 Ω.m). Coatings such as tantalum nitride (TaN), titanium nitride (TiN), rhodium (Rh), Au, Cu, or Ag on a conductive base materials such as stainless steel, molybdenum (Mo), Cu, and Ti are also possible. Further, since the contact pads 772, 780 are typically separate units bonded to the conducting connectors 776, the contact pads 772, 780 may comprise one material, such as Cu, and the conducting members 765 another, such as stainless steel. Either or both of the pads 772, 180 and conducting connectors 776 may be coated with a conducting material. Additionally, because plating repeatability may be adversely affected by oxidation which acts as an insulator, the inner contact pads 772 preferably comprise a material resistant to oxidation such as Pt, Ag, or Au.
  • In addition to being a function of the contact material, the total resistance of each circuit is dependent on the geometry, or shape, of the inner contact [0060] inner contact pads 772 and the force supplied by the contact ring 466. These factors define a constriction resistance, RCR, at the interface of the inner contact pads 772 and the substrate seating surface 768 due to asperities between the two surfaces. Generally, as the applied force is increased the apparent area is also increased. The apparent area is, in turn, inversely related to RCR so that an increase in the apparent area results in a decreased RCR. Thus, to minimize overall resistance it is preferable to maximize force. The maximum force applied in operation is limited by the yield strength of a substrate which may be damaged under excessive force and resulting pressure. However, because pressure is related to both force and area, the maximum sustainable force is also dependent on the geometry of the inner contact pads 772. Thus, while the contact pads 772 may have a flat upper surface as in FIG. 7, other shapes may be used to advantage. For example, two preferred shapes are shown in FIGS. 8 and 9. FIG. 8 shows a knife-edge contact pad and FIG. 9 shows a hemispherical contact pad. A person skilled in the art will readily recognize other shapes which may be used to advantage. A more complete discussion of the relation between contact geometry, force, and resistance is given in Ney Contact Manual, by Kenneth E. Pitney, The J. M. Ney Company, 1973, which is hereby incorporated by reference in its entirety.
  • The number of [0061] connectors 776 may be varied depending on the particular number of contact pads 772 (shown in FIG. 7) desired. For a 200 mm substrate, preferably at least twenty-four connectors 776 are spaced equally over 360°. However, as the number of connectors reaches a critical level, the compliance of the substrate relative to the contact ring 466 is adversely affected. Therefore, while more than twenty-four connectors 776 may be used, contact uniformity may eventually diminish depending on the topography of the contact pads 772 and the substrate stiffness. Similarly, while less than twenty-four connectors 776 may be used, current flow is increasingly restricted and localized, leading to poor plating results. Since the dimensions of the present invention are readily altered to suit a particular application (for example, a 300 mm substrate), the optimal number may easily be determined for varying scales and embodiments.
  • As shown in FIG. 10, the [0062] substrate seating surface 768 comprises an isolation gasket 782 disposed on the insulative body 770 and extending diametrically interior to the inner contact pads 772 to define the inner diameter of the contact ring 466. The isolation gasket 782 preferably extends slightly above the inner contact pads 772 (e.g., a few mils) and preferably comprises an elastomer such as Viton™, Teflon™, buna rubber and the like. Where the insulative body 770 also comprises an elastomer the isolation gasket 782 may be of the same material. In the latter embodiment, the isolation gasket 782 and the insulative body 770 may be monolithic, i.e., formed as a single piece. However, the isolation gasket 782 is preferably separate from the insulative body 770 so that it may be easily removed for replacement or cleaning.
  • While FIG. 10 shows a preferred embodiment of the [0063] isolation gasket 782 wherein the isolation gasket is seated entirely on the insulative body 770, FIGS. 8 and 9 show an alternative embodiment. In the latter embodiment, the insulative body 770 is partially machined away to expose the upper surface of the connecting member 776 and the isolation gasket 782 is disposed thereon. Thus, the isolation gasket 782 contacts a portion of the connecting member 776. This design requires less material to be used for the inner contact pads 772 which may be advantageous where material costs are significant such as when the inner contact pads 772 comprise gold. Persons skilled in the art will recognize other embodiments which do not depart from the scope of the present invention.
  • During processing, the [0064] isolation gasket 782 maintains contact with a peripheral portion of the substrate plating surface and is compressed to provide a seal between the remaining cathode contact ring 466 and the substrate. The seal prevents the electrolyte from contacting the edge and backside of the substrate. As noted above, maintaining a clean contact surface is necessary to achieving high plating repeatability. Previous contact ring designs did not provide consist plating results because contact surface topography varied over time. The contact ring of the present invention eliminates, or least minimizes, deposits which would otherwise accumulate on the inner contact pads 772 and change their characteristics thereby producing highly repeatable, consistent, and uniform plating across the substrate plating surface.
  • FIG. 11 is a simplified schematic diagram representing a possible configuration of the electrical circuit for the [0065] contact ring 466. To provide a uniform current distribution between the conducting members 765, an external resistor 700 is connected in series with each of the conducting members 765. Preferably, the resistance value of the external resistor 700 (represented as REXT) is much greater than the resistance of any other component of the circuit. As shown in FIG. 11, the electrical circuit through each conducting member 765 is represented by the resistance of each of the components connected in series with the power supply 702. RE represents the resistance of the electrolyte, which is typically dependent on the distance between the anode and the cathode contact ring and the composition of the electrolyte chemistry. Thus, RA represents the resistance of the electrolyte adjacent the substrate plating surface 754. RS represents the resistance of the substrate plating surface 754, and RC represents the resistance of the cathode conducting members 765 plus the constriction resistance resulting at the interface between the inner contact pads 772 and the substrate plating layer 754. Generally, the resistance value of the external resistor (REXT) is at least as much as ΣR (where ΣR equals the sum of RE, RA, RS RC). Preferably, the resistance value of the external resistor (REXT) is much greater than ΣR such that ΣR is negligible and the resistance of each series circuit approximates REXT.
  • Typically, one power supply is connected to all of the [0066] outer contact pads 780 of the cathode contact ring 466, resulting in parallel circuits through the inner contact pads 772. However, as the inner contact pad-to-substrate interface resistance varies with each inner contact pad 772, more current will flow, and thus more plating will occur, at the site of lowest resistance. However, by placing an external resistor in series with each conducting member 765, the value or quantity of electrical current passed through each conducting member 765 becomes controlled mainly by the value of the external resistor. As a result, the variations in the electrical properties between each of the inner contact pads 772 do not affect the current distribution on the substrate, and a uniform current density results across the plating surface which contributes to a uniform plating thickness. The external resistors also provide a uniform current distribution between different substrates of a process-sequence.
  • Although the [0067] contact ring 466 of the present invention is designed to resist deposit buildup on the inner contact pads 772, over multiple substrate plating cycles the substrate-pad interface resistance may increase, eventually reaching an unacceptable value. An electronic sensor/alarm 704 can be connected across the external resistor 700 to monitor the voltage/current across the external resistor to address this problem. If the voltage/current across the external resistor 700 falls outside of a preset operating range that is indicative of a high substrate-pad resistance, the sensor/alarm 704 triggers corrective measures such as shutting down the plating process until the problems are corrected by an operator. Alternatively, a separate power supply can be connected to each conducting member 765 and can be separately controlled and monitored to provide a uniform current distribution across the substrate. A very smart system (VSS) may also be used to modulate the current flow. The VSS typically comprises a processing unit and any combination of devices known in the industry used to supply and/or control current such as variable resistors, separate power supplies, etc. As the physiochemical, and hence electrical, properties of the inner contact pads 772 change over time, the VSS processes and analyzes data feedback. The data is compared to pre-established setpoints and the VSS then makes appropriate current and voltage alterations to ensure uniform deposition.
  • Referring to FIG. 6 and FIG. 12, preferably, the [0068] wafer holder 464 is positioned above the cathode contact ring 466 and comprises a bladder assembly 470 that provides pressure to the backside of a wafer and ensures electrical contact between the wafer plating surface and the cathode contact ring 466. The inflatable bladder assembly 470 is disposed on a wafer holder plate 832. A bladder 836 disposed on a lower surface of the wafer holder plate 832 is thus located opposite and adjacent to the contacts on the cathode contact ring 466 with the substrate 821 interposed therebetween. A fluid source 838 supplies a fluid, i.e., a gas or liquid, to the bladder 836 allowing the bladder 836 to be inflated to varying degrees.
  • Referring now to FIGS. 12, 12A, and [0069] 13, the details of the bladder assembly 470 will be discussed. The wafer holder plate 832 is shown as substantially disc-shaped having an annular recess 840 formed on a lower surface and a centrally disposed vacuum port 841. One or more inlets 842 are formed in the wafer holder plate 832 and lead into the relatively enlarged annular mounting channel 843 and the annular recess 840. Quick-disconnect hoses 844 couple the fluid source 838 to the inlets 842 to provide a fluid thereto. The vacuum port 841 is preferably attached to a vacuum/pressure pumping system 859 adapted to selectively supply a pressure or create a vacuum at a backside of the substrate 821. The pumping system 859, shown in FIG. 12, comprises a pump 845, a cross-over valve 847, and a vacuum ejector 849 (commonly known as a venturi). One vacuum ejector that may be used to advantage in the present invention is available from SMC Pneumatics, Inc., of Indianapolis, Ind. The pump 845 may be a commercially available compressed gas source and is coupled to one end of a hose 851, the other end of the hose 851 being coupled to the vacuum port 841. The hose 851 is split into a pressure line 853 and a vacuum line 855 having the vacuum ejector 849 disposed therein. Fluid flow is controlled by the cross-over valve 847 which selectively switches communication with the pump 845 between the pressure line 853 and the vacuum line 855. Preferably, the cross-over valve has an OFF setting whereby fluid is restricted from flowing in either direction through hose 851. A shut-off valve 861 disposed in hose 851 prevents fluid from flowing from pressure line 855 upstream through the vacuum ejector 849. The desired direction of fluid flow is indicated by arrows.
  • Persons skilled in the art will readily appreciate other arrangements which do not depart from the spirit and scope of the present invention. For example, where the [0070] fluid source 838 is a gas supply it may be coupled to hose 851 thereby eliminating the need for a separate compressed gas supply, i.e., pump 845. Further, a separate gas supply and vacuum pump may supply the backside pressure and vacuum conditions. While it is preferable to allow for both a backside pressure as well as a backside vacuum, a simplified embodiment may comprise a pump capable of supplying only a backside vacuum. However, as will be explained below, deposition uniformity may be improved where a backside pressure is provided during processing. Therefore, an arrangement such as the one described above including a vacuum ejector and a cross-over valve is preferred.
  • Referring now to FIGS. 12A and 14, a substantially circular ring-shaped [0071] manifold 846 is disposed in the annular recess 840. The manifold 846 comprises a mounting rail 852 disposed between an inner shoulder 848 and an outer shoulder 850. The mounting rail 852 is adapted to be at least partially inserted into the annular mounting channel 843. A plurality of fluid outlets 854 formed in the manifold 846 provide communication between the inlets 842 and the bladder 836. Seals 837, such as O-rings, are disposed in the annular manifold channel 843 in alignment with the inlet 842 and outlet 854 and secured by the wafer holder plate 832 to ensure an airtight seal. Conventional fasteners (not shown) such as screws may be used to secure the manifold 846 to the wafer holder plate 832 via cooperating threaded bores (not shown) formed in the manifold 846 and the wafer holder plate 832.
  • Referring now to FIG. 15, the [0072] bladder 836 is shown, in section, as an elongated substantially semi-tubular piece of material having annular lip seals 856, or nodules, at each edge. In FIG. 12A, the lip seals 856 are shown disposed on the inner shoulder 848 and the outer shoulder 850. A portion of the bladder 836 is compressed against the walls of the annular recess 840 by the manifold 846 which has a width slightly less (e.g. a few millimeters) than the annular recess 840. Thus, the manifold 846, the bladder 836, and the annular recess 840 cooperate to form a fluid-tight seal. To prevent fluid loss, the bladder 836 is preferably comprised of some fluid impervious material such as silicon rubber or any comparable elastomer which is chemically inert with respect to the electrolyte and exhibits reliable elasticity. Where needed a compliant covering 857 may be disposed over the bladder 836, as shown in FIG. 15, and secured by means of an adhesive or thermal bonding. The covering 857 preferably comprises an elastomer such as Viton™, buna rubber or the like, which may be reinforced by Kevlar™, for example. In one embodiment, the covering 857 and the bladder 836 comprise the same material. The covering 857 has particular application where the bladder 836 is liable to rupturing. Alternatively, the bladder 836 thickness may simply be increased during its manufacturing to reduce the likelihood of puncture.
  • The precise number of [0073] inlets 842 and outlets 854 may be varied according to the particular application without deviating from the present invention. For example, while FIG. 12 shows two inlets with corresponding outlets, an alternative embodiment could employ a single fluid inlet which supplies fluid to the bladder 836.
  • In operation, the [0074] substrate 821 is introduced into the container body 802 by securing it to the lower side of the wafer holder plate 832. This is accomplished by engaging the pumping system 159 to evacuate the space between the substrate 821 and the wafer holder plate 832 via port 841 thereby creating a vacuum condition. The bladder 836 is then inflated by supplying a fluid such as air or water from the fluid source 838 to the inlets 842. The fluid is delivered into the bladder 836 via the manifold outlets 854, thereby pressing the substrate 821 uniformly against the contacts of the cathode contact ring 466. The electroplating process is then carried out. An electrolyte is then pumped into the process kit 420 toward the substrate 821 to contact the exposed substrate plating surface 820. The power supply provides a negative bias to the substrate plating surface 820 via the cathode contact ring 466. As the electrolyte is flowed across the substrate plating surface 820, ions in the electrolytic solution are attracted to the surface 820 and deposit on the surface 820 to form the desired film.
  • Because of its flexibility, the [0075] bladder 836 deforms to accommodate the asperities of the substrate backside and contacts of the cathode contact ring 466 thereby mitigating misalignment with the conducting cathode contact ring 466. The compliant bladder 836 prevents the electrolyte from contaminating the backside of the substrate 821 by establishing a fluid tight seal at a perimeter portion of a backside of the substrate 821. Once inflated, a uniform pressure is delivered downward toward the cathode contact ring 466 to achieve substantially equal force at all points where the substrate 821 and cathode contact ring 466 interface. The force can be varied as a function of the pressure supplied by the fluid source 838. Further, the effectiveness of the bladder assembly 470 is not dependent on the configuration of the cathode contact ring 466. For example, while FIG. 12 shows a pin configuration having a plurality of discrete contact points, the cathode contact ring 466 may also be a continuous surface.
  • Because the force delivered to the [0076] substrate 821 by the bladder 836 is variable, adjustments can be made to the current flow supplied by the contact ring 466. As described above, an oxide layer may form on the cathode contact ring 466 and act to restrict current flow. However, increasing the pressure of the bladder 836 may counteract the current flow restriction due to oxidation. As the pressure is increased, the malleable oxide layer is compromised and superior contact between the cathode contact ring 466 and the substrate 821 results. The effectiveness of the bladder 836 in this capacity may be further improved by altering the geometry of the cathode contact ring 466. For example, a knife-edge geometry is likely to penetrate the oxide layer more easily than a dull rounded edge or flat edge.
  • Additionally, the fluid tight seal provided by the [0077] inflated bladder 836 allows the pump 845 to maintain a backside vacuum or pressure either selectively or continuously, before, during, and after processing. Generally, however, the pump 845 is run to maintain a vacuum only during the transfer of substrates to and from the electroplating process cell 400 because it has been found that the bladder 836 is capable of maintaining the backside vacuum condition during processing without continuous pumping. Thus, while inflating the bladder 836, as described above, the backside vacuum condition is simultaneously relieved by disengaging the pumping system 859, e.g., by selecting an OFF position on the cross-over valve 847. Disengaging the pumping system 859 may be abrupt or comprise a gradual process whereby the vacuum condition is ramped down. Ramping allows for a controlled exchange between the inflating bladder 836 and the simultaneously decreasing backside vacuum condition. This exchange may be controlled manually or by computer.
  • As described above, continuous backside vacuum pumping while the [0078] bladder 836 is inflated is not needed and may actually cause the substrate 820 to buckle or warp leading to undesirable deposition results. It may, however, be desirable to provide a backside pressure to the substrate 820 in order to cause a “bowing” effect of the substrate to be processed. The inventors of the present invention have discovered that bowing results in superior deposition. Thus, pumping system 859 is capable of selectively providing a vacuum or pressure condition to the substrate backside. For a 200 mm wafer a backside pressure up to 5 psi is preferable to bow the substrate. Because substrates typically exhibit some measure of pliability, a backside pressure causes the substrate to bow or assume a convex shape relative to the upward flow of the electrolyte. The degree of bowing is variable according to the pressure supplied by pumping system 859.
  • Those skilled in the art will readily recognize other embodiments which are contemplated by the present invention. For example, while FIG. 12A shows a [0079] preferred bladder 836 having a surface area sufficient to cover a relatively small perimeter portion of the substrate backside at a diameter substantially equal to the cathode contact ring 466, the bladder assembly 470 may be geometrically varied. Thus, the bladder assembly may be constructed using more fluid impervious material to cover an increased surface area of the substrate 821.
  • Referring back to FIG. 6, a cross sectional view of an [0080] electroplating process cell 400, the wafer holder assembly 450 is positioned above the process kit 420. The process kit 420 generally comprises a bowl 430, a container body 472, an anode assembly 474 and a filter 476. Preferably, the anode assembly 474 is disposed below the container body 472 and attached to a lower portion of the container body 472, and the filter 476 is disposed between the anode assembly 474 and the container body 472. The container body 472 is preferably a cylindrical body comprised of an electrically insulative material, such as ceramics, plastics, plexiglass (acrylic), lexane, PVC, CPVC, and PVDF. Alternatively, the container body 472 can be made from a metal, such as stainless steel, nickel and titanium, which is coated with an insulating layer, such as teflon, PVDF, plastic, rubber and other combinations of materials that do not dissolve in the electrolyte and can be electrically insulated from the electrodes (i.e., the anode and cathode of the electroplating system). The container body 472 is preferably sized and adapted to conform to the wafer plating surface and the shape of the of a wafer being processed through the system, typically circular or rectangular in shape. One preferred embodiment of the container body 472 comprises a cylindrical ceramic tube having an inner diameter that has about the same dimension as or slightly larger than the wafer diameter. The inventors have discovered that the rotational movement typically required in typical electroplating systems is not required to achieve uniform plating results when the size of the container body conforms to about the size of the wafer plating surface.
  • An upper portion of the [0081] container body 472 extends radially outwardly to form an annular weir 478. The weir 478 extends over the inner wall 446 of the electrolyte collector 440 and allows the electrolyte to flow into the electrolyte collector 440. The upper surface of the weir 478 preferably matches the lower surface of the cathode contact ring 466. Preferably, the upper surface of the weir 478 includes an inner annular flat portion 480, a middle inclined portion 482 and an outer declined portion 484. When a wafer is positioned in the processing position, the wafer plating surface is positioned above the cylindrical opening of the container body 472, and a gap for electrolyte flow is formed between the lower surface of the cathode contact ring 466 and the upper surface of the weir 478. The lower surface of the cathode contact ring 466 is disposed above the inner flat portion 480 and the middle inclined portion of the weir 478. The outer declined portion 484 is sloped downwardly to facilitate flow of the electrolyte into the electrolyte collector 440.
  • A lower portion of the [0082] container body 472 extends radially outwardly to form a lower annular flange 486 for securing the container body 472 to the bowl 430. The outer dimension (i.e., circumference) of the annular flange 486 is smaller than the dimensions of the opening 444 and the inner circumference of the electrolyte collector 440 to allow removal and replacement of the process kit 420 from the electroplating process cell 400. Preferably, a plurality of bolts 488 are fixedly disposed on the annular flange 486 and extend downwardly through matching bolt holes on the bowl 430. A plurality of removable fastener nuts 490 secure the process kit 420 onto the bowl 430. A seal 487, such as an elastomer O-ring, is disposed between container body 472 and the bowl 430 radially inwardly from the bolts 488 to prevent leaks from the process kit 420. The nuts/bolts combination facilitates fast and easy removal and replacement of the components of the process kit 420 during maintenance.
  • Preferably, the [0083] filter 476 is attached to and completely covers the lower opening of the container body 472, and the anode assembly 474 is disposed below the filter 476. A spacer 492 is disposed between the filter 476 and the anode assembly 474. Preferably, the filter 476, the spacer 492, and the anode assembly 474 are fastened to a lower surface of the container body 472 using removable fasteners, such as screws and/or bolts. Alternatively, the filter 476, the spacer 492, and the anode assembly 474 are removably secured to the bowl 430.
  • The [0084] anode assembly 474 preferably comprises a consumable anode that serves as a metal source in the electrolyte. Alternatively, the anode assembly 474 comprises a non-consumable anode, and the metal to be electroplated is supplied within the electrolyte from the electrolyte replenishing system 600. As shown in FIG. 6, the anode assembly 474 is a self-enclosed module having a porous anode enclosure 494 preferably made of the same metal as the metal to be electroplated, such as copper. Alternatively, the anode enclosure 494 is made of porous materials, such as ceramics or polymeric membranes. A soluble metal 496, such as high purity copper for electro-chemical deposition of copper, is disposed within the anode enclosure 494. The soluble metal 496 preferably comprises metal particles, wires or a perforated sheet. The porous anode enclosure 494 also acts as a filter that keeps the particulates generated by the dissolving metal within the anode enclosure 494. As compared to a non-consumable anode, the consumable (i.e., soluble) anode provides gas-generation-free electrolyte and minimizes the need to constantly replenish the metal in the electrolyte.
  • An [0085] anode electrode contact 498 is inserted into the anode enclosure 494 to provide electrical connection to the soluble metal 496 from a power supply. Preferably, the anode electrode contact 498 is made from a conductive material that is insoluble in the electrolyte, such as titanium, platinum and platinum-coated stainless steel. The anode electrode contact 498 extends through the bowl 430 and is connected to an electrical power supply. Preferably, the anode electrical contact 498 includes a threaded portion 497 for a fastener nut 499 to secure the anode electrical contact 498 to the bowl 430, and a seal 495, such as a elastomer washer, is disposed between the fastener nut 499 and the bowl 430 to prevent leaks from the process kit 420.
  • The [0086] bowl 430 generally comprises a cylindrical portion 502 and a bottom portion 504. An upper annular flange 506 extends radially outwardly from the top of the cylindrical portion 502. The upper annular flange 506 includes a plurality of holes 508 that matches the number of bolts 488 from the lower annular flange 486 of the container body 472. To secure the upper annular flange 506 of the bowl 430 and the lower annular flange 486 of the container body 472, the bolts 488 are inserted through the holes 508, and the fastener nuts 490 are fastened onto the bolts 488. Preferably, the outer dimension (i.e., circumference) of the upper annular flange 506 is about the same as the outer dimension (i.e., circumference) of the lower annular flange 486. Preferably, the lower surface of the upper annular flange 506 of the bowl 430 rests on a support flange of the mainframe 214 when the process kit 420 is positioned on the mainframe 214.
  • The inner circumference of the [0087] cylindrical portion 502 accommodates the anode assembly 474 and the filter 476. Preferably, the outer dimensions of the filter 476 and the anode assembly 474 are slightly smaller than the inner dimension of the cylindrical portion 502 to force a substantial portion of the electrolyte to flow through the anode assembly 474 first before flowing through the filter 476. The bottom portion 504 of the bowl 430 includes an electrolyte inlet 510 that connects to an electrolyte supply line from the electrolyte replenishing system 220. Preferably, the anode assembly 474 is disposed about a middle portion of the cylindrical portion 502 of the bowl 430 to provide a gap for electrolyte flow between the anode assembly 474 and the electrolyte inlet 510 on the bottom portion 504.
  • The [0088] electrolyte inlet 510 and the electrolyte supply line are preferably connected by a releasable connector that facilitates easy removal and replacement of the process kit 420. When the process kit 420 needs maintenance, the electrolyte is drained from the process kit 420, and the electrolyte flow in the electrolyte supply line is discontinued and drained. The connector for the electrolyte supply line is released from the electrolyte inlet 510, and the electrical connection to the anode assembly 474 is also disconnected. The head assembly 410 is raised or rotated to provide clearance for removal of the process kit 420. The process kit 420 is then removed from the mainframe 214, and a new or reconditioned process kit is replaced into the mainframe 214.
  • Alternatively, the [0089] bowl 430 can be secured onto the support flange of the mainframe 214, and the container body 472 along with the anode and the filter are removed for maintenance. In this case, the nuts securing the anode assembly 474 and the container body 472 to the bowl 430 are removed to facilitate removal of the anode assembly 474 and the container body 472. New or reconditioned anode assembly 474 and container body 472 are then replaced into the mainframe 214 and secured to the bowl 430.
  • FIG. 16 is a schematic diagram of an electrolyte replenishing system [0090] 600. The electrolyte replenishing system 600 generally comprises a main electrolyte tank 602, one or more filter tanks 604, one or more source tanks 606, one or more fluid pumps 608. The electrolyte replenishing system 600 is connected to a controller 610 for controlling the composition of the electrolyte and the operation of the electrolyte replenishing system 600. Preferably, the controller 610 is independently operable but integrated with the control system 222 of the electroplating system platform 200.
  • The electrolyte replenishing system [0091] 600 provides the electrolyte to the electroplating process cells for the electroplating process. The electrolyte replenishing system 600 as shown in FIG. 16 is the same as the electrolyte replenishing system 220 as shown in FIGS. 2 and 3. The main electrolyte tank 602 includes an electrolyte supply line 612 that is connected to each of the electroplating process cells through one or more fluid pumps 608. The electrolyte replenishing system 600 includes a plurality of source tanks that are connected to the main tank 602 to supply the chemicals needed for composing the electrolyte. The source tanks typically include a deionized water source tank and copper sulfate source tank for composing the electrolyte. The deionized water source tank preferably also provides deionized water to the system for cleaning the system during maintenance.
  • The electrolyte replenishing system [0092] 600 also includes a plurality of filter tanks 604 connected to the main tank 602. Preferably, an electrolyte return line 614 is connected between each of the process cells and one or more filter tanks 604. The filter tanks 604 remove the undesired contents in the used electrolyte before returning the electrolyte to the main tank 602 for re-use. The main tank 602 is preferably connected to one or more of the filter tanks 604 to facilitate re-circulation and filtration of the electrolyte in the main tank 602 through the filter tanks 604. By re-circulating the electrolyte from the main tank 602 through the filter tanks 604, the undesired contents in the electrolyte are continuously removed by the filter tanks 604.
  • Preferably, the electrolyte replenishing system [0093] 600 includes a chemical analyzer 616 that provides real time chemical analysis of the chemical composition of the electrolyte. The information from the chemical analyzer 616 is inputted to the controller 610 which uses the information to provide real time adjustment of the source chemical replenishment rates to maintain constant chemical composition of the electrolyte throughout the electroplating process. Additionally, the chemical analyzer preferably provides an analysis of organic and inorganic constituents of the electrolyte.
  • The electrolyte replenishing system [0094] 600 preferably also includes one or more additional tanks for storage of chemicals for wafer cleaning system, such as the SRD station. The electrolyte replenishing system 600 also includes an electrolyte waste drain 620 connected to an electrolyte waste disposal system 622 for safe disposal of used electrolytes, chemicals and other fluids used in the electroplating system. Preferably, the electroplating cells include a direct line connection to the electrolyte waste drain or the electrolyte waste disposal system to drain the electroplating cell without returning the electrolyte through the electrolyte replenishing system 600. The electrolyte replenishing system 600 preferably also includes a bleed off connection to bleed off excess electrolyte to the electrolyte waste drain. Optionally, the electrolyte replenishing system 600 includes connections to additional or external electrolyte processing system to provide additional electrolyte supplies to the electroplating system. Preferably, the electrolyte replenishing system 600 includes double-contained piping for hazardous material connections to provide safe transport of the chemicals throughout the system. The electrolyte replenishing system 600 preferably controls the temperature of the electrolyte through a heat exchanger 624 or a heater/chiller disposed in thermal connection with the main tank. The heat exchanger 624 is connected to and operated by the controller 610.
  • FIG. 17 is a cross sectional view of a rapid thermal anneal chamber according to the invention. The rapid thermal anneal (RTA) [0095] chamber 211 is preferably connected to the loading station 210, and substrates are transferred into and out of the RTA chamber 211 by the loading station transfer robot 228. The electroplating system, as shown in FIGS. 2 and 3, preferably comprises two RTA chambers 211 disposed on opposing sides of the loading station 210, corresponding to the symmetric design of the loading station 210. Thermal anneal process chambers are generally well known in the art, and rapid thermal anneal chambers are typically utilized in substrate processing systems to enhance the properties of the deposited materials. The invention contemplates utilizing a variety of thermal anneal chamber designs, including hot plate designs and heat lamp designs, to enhance the electroplating results. One particular thermal anneal chamber useful for the present invention is the WxZ chamber available from Applied materials, Inc., located in Santa Clara, Calif. Although the invention is described using a hot plate rapid thermal anneal chamber, the invention contemplates application of other thermal anneal chambers as well.
  • The [0096] RTA chamber 211 generally comprises an enclosure 902, a heater plate 904, a heater 907 and a plurality of substrate support pins 906. The enclosure 902 includes a base 908, a sidewall 910 and a top 912. Preferably, a cold plate 913 is disposed below the top 912 of the enclosure. Alternatively, the cold plate is integrally formed as part of the top 912 of the enclosure. Preferably, a reflector insulator dish 914 is disposed inside the enclosure 902 on the base 908. The reflector insulator dish 914 is typically made from a material such as quartz, alumina, or other material that can withstand high temperatures (i.e., greater than about 500° C.), and act as a thermal insulator between the heater 907 and the enclosure 902. The dish 914 may also be coated with a reflective material, such as gold, to direct heat back to the heater plate 906.
  • The [0097] heater plate 904 preferably has a large mass compared to the substrate being processed in the system and is preferably fabricated from a material such as silicon carbide, quartz, or other materials that do not react with any ambient gases in the RTA chamber 211 or with the substrate material. The heater 907 typically comprises a resistive heating element or a conductive/radiant heat source and is disposed between the heated plate 906 and the reflector insulator dish 914. The heater 907 is connected to a power source 916 which supplies the energy needed to heat the heater 907. Preferably, a thermocouple 920 is disposed in a conduit 922, disposed through the base 908 and dish 914, and extends into the heater plate 904. The thermocouple 920 is connected to a controller (i.e., the system controller described below) and supplies temperature measurements to the controller. The controller then increases or decreases the heat supplied by the heater 907 according to the temperature measurements and the desired anneal temperature.
  • The [0098] enclosure 902 preferably includes a cooling member 918 disposed outside of the enclosure 902 in thermal contact with the sidewall 910 to cool the enclosure 902. Alternatively, one or more cooling channels (not shown) are formed within the sidewall 910 to control the temperature of the enclosure 902. The cold plate 913 disposed on the inside surface of the top 912 cools a substrate that is positioned in close proximity to the cold plate 913.
  • The [0099] RTA chamber 211 includes a slit valve 922 disposed on the sidewall 910 of the enclosure 902 for facilitating transfers of substrates into and out of the RTA chamber. The slit valve 922 selectively seals an opening 924 on the sidewall 910 of the enclosure that communicates with the loading station 210. The loading station transfer robot 228 (see FIG. 2) transfers substrates into and out of the RTA chamber through the opening 924.
  • The substrate support pins [0100] 906 preferably comprise distally tapered members constructed from quartz, aluminum oxide, silicon carbide, or other high temperature resistant materials. Each substrate support pin 906 is disposed within a tubular conduit 926, preferably made of a heat and oxidation resistant material, that extends through the heater plate 904. The substrate support pins 906 are connected to a lift plate 928 for moving the substrate support pins 906 in a uniform manner. The lift plate 928 is attached to an to an actuator 930, such as a stepper motor, through a lift shaft 932 that moves the lift plate 928 to facilitate positioning of a substrate at various vertical positions within the RTA chamber. The lift shaft 932 extends through the base 908 of the enclosure 902 and is sealed by a sealing flange 934 disposed around the shaft.
  • To transfer a substrate into the [0101] RTA chamber 211, the slit valve 922 is opened, and the loading station transfer robot 228 extends its robot blade having a substrate positioned thereon through the opening 924 into the RTA chamber. The robot blade of the loading station transfer robot 228 positions the substrate in the RTA chamber above the heater plate 904, and the substrate support pins 906 are extended upwards to lift the substrate above the robot blade. The robot blade then retracts out of the RTA chamber, and the slit valve 922 closes the opening. The substrate support pins 906 are then retracted to lower the substrate to a desired distance from the heater plate 904. Optionally, the substrate support pins 906 may retract fully to place the substrate in direct contact with the heater plate.
  • Preferably, a [0102] gas inlet 936 is disposed through the sidewall 910 of the enclosure 902 to allow selected gas flow into the RTA chamber 211 during the anneal treatment process. The gas inlet 936 is connected to a gas source 938 through a valve 940 for controlling the flow of the gas into the RTA chamber 211. A gas outlet 942 is preferably disposed at a lower portion of the sidewall 910 of the enclosure 902 to exhaust the gases in the RTA chamber and is preferably connected to a relief/check valve 944 to prevent backstreaming of atmosphere from outside of the chamber. Optionally, the gas outlet 942 is connected to a vacuum pump (not shown) to exhaust the RTA chamber to a desired vacuum level during an anneal treatment.
  • According to the invention, a substrate is annealed in the [0103] RTA chamber 211 after the substrate has been electroplated in the electroplating cell and cleaned in the SRD station. Preferably, the RTA chamber 211 is maintained at about atmospheric pressure, and the oxygen content inside the RTA chamber 211 is controlled to less than about 100 ppm during the anneal treatment process. Preferably, the ambient environment inside the RTA chamber 211 comprises nitrogen (N2) or a combination of nitrogen (N2) and less than about 4% hydrogen (H2), and the ambient gas flow into the RTA chamber 211 is maintained at greater than 20 liters/min to control the oxygen content to less than 100 ppm. The electroplated substrate is preferably annealed at a temperature between about 200° C. and about 450° C. for between about 30 seconds and 30 minutes, and more preferably, between about 250° C. and about 400° C. for between about 1 minute and 5 minutes. Rapid thermal anneal processing typically requires a temperature increase of at least 50° C. per second. To provide the required rate of temperature increase for the substrate during the anneal treatment, the heater plate is preferably maintained at between about 350° C. and about 450° C., and the substrate is preferably positioned at between about 0 mm (i.e., contacting the heater plate) and about 20 mm from the heater plate for the duration of the anneal treatment process. Preferably, a control system 222 controls the operation of the RTA chamber 211, including maintaining the desired ambient environment in the RTA chamber and the temperature of the heater plate.
  • After the anneal treatment process is completed, the substrate support pins [0104] 906 lift the substrate to a position for transfer out of the RTA chamber 211. The slit valve 922 opens, and the robot blade of the loading station transfer robot 228 is extended into the RTA chamber and positioned below the substrate. The substrate support pins 906 retract to lower the substrate onto the robot blade, and the robot blade then retracts out of the RTA chamber. The loading station transfer robot 228 then transfers the processed substrate into the cassette 232 for removal out of the electroplating processing system. (see FIGS. 2 and 3).
  • Referring back to FIG. 2, the [0105] electroplating system platform 200 includes a control system 222 that controls the functions of each component of the platform. Preferably, the control system 222 is mounted above the mainframe 214 and comprises a programmable microprocessor. The programmable microprocessor is typically programmed using a software designed specifically for controlling all components of the electroplating system platform 200. The control system 222 also provides electrical power to the components of the system and includes a control panel 223 that allows an operator to monitor and operate the electroplating system platform 200. The control panel 223, as shown in FIG. 2, is a stand-alone module that is connected to the control system 222 through a cable and provides easy access to an operator. Generally, the control system 222 coordinates the operations of the loading station 210, the RTA chamber 211, the SRD station 212, the mainframe 214 and the processing stations 218. Additionally, the control system 222 coordinates with the controller of the electrolyte replenishing system 600 to provide the electrolyte for the electroplating process.
  • The following is a description of a typical wafer electroplating process sequence through the [0106] electroplating system platform 200 as shown in FIG. 2. A wafer cassette containing a plurality of wafers is loaded into the wafer cassette receiving areas 224 in the loading station 210 of the electroplating system platform 200. A loading station transfer robot 228 picks up a wafer from a wafer slot in the wafer cassette and places the wafer in the wafer orientor 230. The wafer orientor 230 determines and orients the wafer to a desired orientation for processing through the system. The loading station transfer robot 228 then transfers the oriented wafer from the wafer orientor 230 and positions the wafer in one of the wafer slots in the wafer pass-through cassette 238 in the SRD station 212. The mainframe transfer robot 242 picks up the wafer from the wafer pass-through cassette 238 and positions the wafer for transfer by the flipper robot 248. The flipper robot 248 rotates its robot blade below the wafer and picks up wafer from mainframe transfer robot blade. The vacuum suction gripper on the flipper robot blade secures the wafer on the flipper robot blade, and the flipper robot flips the wafer from a face up position to a face down position. The flipper robot 248 rotates and positions the wafer face down in the wafer holder assembly 450. The wafer is positioned below the wafer holder 464 but above the cathode contact ring 466. The flipper robot 248 then releases the wafer to position the wafer into the cathode contact ring 466. The wafer holder 464 moves toward the wafer and the vacuum chuck secures the wafer on the wafer holder 464. The bladder assembly 470 on the wafer holder assembly 450 exerts pressure against the wafer backside to ensure electrical contact between the wafer plating surface and the cathode contact ring 466.
  • The [0107] head assembly 452 is lowered to a processing position above the process kit 420. At this position the wafer is below the upper plane of the weir 478 and contacts the electrolyte contained in the process kit 420. The power supply is activated to supply electrical power (i.e., voltage and current) to the cathode and the anode to enable the electroplating process. The electrolyte is typically continually pumped into the process kit during the electroplating process. The electrical power supplied to the cathode and the anode and the flow of the electrolyte are controlled by the control system 222 to achieve the desired electroplating results.
  • After the electroplating process is completed, the [0108] head assembly 410 raises the wafer holder assembly and removes the wafer from the electrolyte. The vacuum chuck and the bladder assembly of the wafer holder release the wafer from the wafer holder, and the wafer holder is raised to allow the flipper robot blade to pick up the processed wafer from the cathode contact ring. The flipper robot rotates the flipper robot blade above the backside of the processed wafer in the cathode contact ring and picks up the wafer using the vacuum suction gripper on the flipper robot blade. The flipper robot rotates the flipper robot blade with the wafer out of the wafer holder assembly, flips the wafer from a face-down position to a face-up position, and positions the wafer on the mainframe transfer robot blade. The mainframe transfer robot then transfers and positions the processed wafer above the SRD module 236. The SRD wafer support lifts the wafer, and the mainframe transfer robot blade retracts away from the SRD module 236. The wafer is cleaned in the SRD module using deionized water or a combination of deionized water and a cleaning fluid as described in detail above. The wafer is then positioned for transfer out of the SRD module. The loading station transfer robot 228 picks up the wafer from the SRD module 236 and transfers the processed wafer into the RTA chamber 211 for an anneal treatment process to enhance the properties of the deposited materials. The annealed wafer is then transferred out of the RTA chamber 211 by the loading station robot 228 and placed back into the wafer cassette for removal from the electroplating system. The above-described sequence can be carried out for a plurality of wafers substantially simultaneously in the electroplating system platform 200 of the present invention. Also, the electroplating system according to the invention can be adapted to provide multi-stack wafer processing.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims which follow. [0109]

Claims (9)

1. An electro-chemical deposition system, comprising:
a) a mainframe having a mainframe wafer transfer robot;
b) a loading station disposed in connection with the mainframe;
c) one or more processing cells disposed in connection with the mainframe;
d) an electrolyte supply fluidly connected to the one or more electrical processing cells;
e) a spin-rinse-dry (SRD) chamber disposed between the loading station and the mainframe; and
f) a thermal anneal chamber disposed adjacent the loading station.
2. The system of claim 1 wherein the thermal anneal chamber comprises a rapid thermal anneal chamber having a heater plate.
3. The system of claim 2 wherein the heater plate comprises an atmospheric pressure heater plate.
4. The system of claim 1, further comprising:
e) a system controller adapted to control operations of one or more components of the electro-chemical deposition system.
5. The system of claim 4, wherein the thermal anneal chamber further comprises a gas inlet adapted to introduce one or more gases into the thermal anneal chamber.
6. The system of claim 5 wherein the system controller controls the gas inlet to the chamber to provide a chamber environment having an oxygen content of less than 100 parts per million.
7. The system of claim 6 wherein the gas inlet is connected to a nitrogen gas source to introduce nitrogen into the chamber.
8. The system of claim 6 wherein the gas inlet is connected to a nitrogen gas source and a hydrogen gas source to introduce nitrogen and hydrogen into the chamber, wherein the hydrogen content is maintained at less than about 4%.
9. The system of claim 1 wherein the loading station comprises:
i) one or more wafer cassette receiving areas;
ii) one or more loading station wafer transfer robots for transferring a wafer between the loading station and the SRD station and between the loading station and the thermal anneal chamber; and
iii) a wafer orientor.
US10/686,486 2000-07-05 2003-10-15 Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing Abandoned US20040079633A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/686,486 US20040079633A1 (en) 2000-07-05 2003-10-15 Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60934700A 2000-07-05 2000-07-05
US10/686,486 US20040079633A1 (en) 2000-07-05 2003-10-15 Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US60934700A Continuation 2000-07-05 2000-07-05

Publications (1)

Publication Number Publication Date
US20040079633A1 true US20040079633A1 (en) 2004-04-29

Family

ID=32108376

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/686,486 Abandoned US20040079633A1 (en) 2000-07-05 2003-10-15 Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing

Country Status (1)

Country Link
US (1) US20040079633A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099112A1 (en) * 2002-02-15 2004-05-27 Naoki Ohmiya Plate-like carrying mechanism and dicing device with carrying mechanism
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US20140284321A1 (en) * 2013-03-21 2014-09-25 Tokyo Electron Limited Magnetic annealing apparatus
RU2555272C2 (en) * 2013-10-21 2015-07-10 Федеральное государственное бюджетное учреждение науки Ордена Трудового Красного Знамени Институт химии силикатов им. И.В. Гребенщикова Российской академии наук (ИХС РАН) Electrochemical installation to shape nanosized coating
CN108346599A (en) * 2017-01-24 2018-07-31 Spts科技有限公司 Method and apparatus and device method for maintaining for electrochemical treatments semiconductor base
US10186441B2 (en) * 2014-09-17 2019-01-22 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
US20190287854A1 (en) * 2018-03-14 2019-09-19 Raytheon Company Stress compensation and relief in bonded wafers
CN116133282A (en) * 2023-03-03 2023-05-16 广德众泰科技有限公司 Circuit board automation control copper deposition processing system
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2026605A (en) * 1933-01-09 1936-01-07 Copperweld Steel Co Method for working and treating metals
US3649509A (en) * 1969-07-08 1972-03-14 Buckbee Mears Co Electrodeposition systems
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
US4092176A (en) * 1975-12-11 1978-05-30 Nippon Electric Co., Ltd. Apparatus for washing semiconductor wafers
US4110176A (en) * 1975-03-11 1978-08-29 Oxy Metal Industries Corporation Electrodeposition of copper
US4113492A (en) * 1976-04-08 1978-09-12 Fuji Photo Film Co., Ltd. Spin coating process
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4326940A (en) * 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4336114A (en) * 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
US4405416A (en) * 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4435266A (en) * 1981-10-01 1984-03-06 Emi Limited Electroplating arrangements
US4489740A (en) * 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4568431A (en) * 1984-11-13 1986-02-04 Olin Corporation Process for producing electroplated and/or treated metal foil
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4786337A (en) * 1988-03-25 1988-11-22 Rockwell International Corporation Method of treating aluminum-lithium alloys
US4789445A (en) * 1983-05-16 1988-12-06 Asarco Incorporated Method for the electrodeposition of metals
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4816638A (en) * 1987-02-20 1989-03-28 Anelva Corporation Vacuum processing apparatus
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) * 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5069760A (en) * 1989-06-30 1991-12-03 Yamaha Hatsudoki Kabushiki Kaisha Apparatus and method for surface treatment of workpieces
US5092975A (en) * 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
US5100516A (en) * 1989-01-25 1992-03-31 Yamaha Hatsudoki Kabushiki Kaisha High volume workpiece handling and chemical treating system
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5156731A (en) * 1988-12-13 1992-10-20 Sumitomo Metal Mining Co. Ltd. Polyimide substrate and method of manufacturing a printed wiring board using the substrate
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5168886A (en) * 1988-05-25 1992-12-08 Semitool, Inc. Single wafer processor
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5248384A (en) * 1991-12-09 1993-09-28 Taiwan Semiconductor Manufacturing Company Rapid thermal treatment to eliminate metal void formation in VLSI manufacturing process
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5290361A (en) * 1991-01-24 1994-03-01 Wako Pure Chemical Industries, Ltd. Surface treating cleaning method
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5328589A (en) * 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5349978A (en) * 1992-06-04 1994-09-27 Tokyo Ohka Kogyo Co., Ltd. Cleaning device for cleaning planar workpiece
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5377425A (en) * 1991-05-24 1995-01-03 Nikku Industry Co., Ltd. Vacuum drying apparatus
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5429733A (en) * 1992-05-21 1995-07-04 Electroplating Engineers Of Japan, Ltd. Plating device for wafer
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5447615A (en) * 1994-02-02 1995-09-05 Electroplating Engineers Of Japan Limited Plating device for wafer
US5449447A (en) * 1990-10-08 1995-09-12 Le Four Industriel Belge S.A. Method and device for pickling and galvanizing
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
US5609688A (en) * 1993-05-07 1997-03-11 Fujitsu Ltd. Apparatus for producing semiconductor device
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5639301A (en) * 1994-06-17 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Processing apparatus having parts for thermal and non-thermal treatment of substrates
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5716207A (en) * 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
US5830045A (en) * 1995-08-21 1998-11-03 Ebara Corporation Polishing apparatus
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5885134A (en) * 1996-04-18 1999-03-23 Ebara Corporation Polishing apparatus
US5972110A (en) * 1996-09-06 1999-10-26 Tokyo Electron Limited Resist processing system
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US5997712A (en) * 1998-03-30 1999-12-07 Cutek Research, Inc. Copper replenishment technique for precision copper plating system
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6123825A (en) * 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6151447A (en) * 1993-01-21 2000-11-21 Moore Technologies Rapid thermal processing apparatus for processing semiconductor wafers
US6155275A (en) * 1997-09-11 2000-12-05 Dainippon Screen Mfg. Co., Ltd. Substrate processing unit and substrate processing apparatus using the same
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6228768B1 (en) * 1998-11-02 2001-05-08 Advanced Micro Devices, Inc. Storage-annealing plated CU interconnects
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2026605A (en) * 1933-01-09 1936-01-07 Copperweld Steel Co Method for working and treating metals
US3649509A (en) * 1969-07-08 1972-03-14 Buckbee Mears Co Electrodeposition systems
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
US4110176A (en) * 1975-03-11 1978-08-29 Oxy Metal Industries Corporation Electrodeposition of copper
US4092176A (en) * 1975-12-11 1978-05-30 Nippon Electric Co., Ltd. Apparatus for washing semiconductor wafers
US4113492A (en) * 1976-04-08 1978-09-12 Fuji Photo Film Co., Ltd. Spin coating process
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4326940A (en) * 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4405416A (en) * 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4336114A (en) * 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
US4435266A (en) * 1981-10-01 1984-03-06 Emi Limited Electroplating arrangements
US4489740A (en) * 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4789445A (en) * 1983-05-16 1988-12-06 Asarco Incorporated Method for the electrodeposition of metals
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4568431A (en) * 1984-11-13 1986-02-04 Olin Corporation Process for producing electroplated and/or treated metal foil
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4816638A (en) * 1987-02-20 1989-03-28 Anelva Corporation Vacuum processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4786337A (en) * 1988-03-25 1988-11-22 Rockwell International Corporation Method of treating aluminum-lithium alloys
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5168886A (en) * 1988-05-25 1992-12-08 Semitool, Inc. Single wafer processor
US5092975A (en) * 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
US5156731A (en) * 1988-12-13 1992-10-20 Sumitomo Metal Mining Co. Ltd. Polyimide substrate and method of manufacturing a printed wiring board using the substrate
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5100516A (en) * 1989-01-25 1992-03-31 Yamaha Hatsudoki Kabushiki Kaisha High volume workpiece handling and chemical treating system
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) * 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5069760A (en) * 1989-06-30 1991-12-03 Yamaha Hatsudoki Kabushiki Kaisha Apparatus and method for surface treatment of workpieces
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5449447A (en) * 1990-10-08 1995-09-12 Le Four Industriel Belge S.A. Method and device for pickling and galvanizing
US5290361A (en) * 1991-01-24 1994-03-01 Wako Pure Chemical Industries, Ltd. Surface treating cleaning method
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5377425A (en) * 1991-05-24 1995-01-03 Nikku Industry Co., Ltd. Vacuum drying apparatus
US5248384A (en) * 1991-12-09 1993-09-28 Taiwan Semiconductor Manufacturing Company Rapid thermal treatment to eliminate metal void formation in VLSI manufacturing process
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5429733A (en) * 1992-05-21 1995-07-04 Electroplating Engineers Of Japan, Ltd. Plating device for wafer
US5349978A (en) * 1992-06-04 1994-09-27 Tokyo Ohka Kogyo Co., Ltd. Cleaning device for cleaning planar workpiece
US5328589A (en) * 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US6151447A (en) * 1993-01-21 2000-11-21 Moore Technologies Rapid thermal processing apparatus for processing semiconductor wafers
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
US5853486A (en) * 1993-03-19 1998-12-29 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus with multi-stage carrier storage chambers
US5609688A (en) * 1993-05-07 1997-03-11 Fujitsu Ltd. Apparatus for producing semiconductor device
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5527739A (en) * 1993-12-23 1996-06-18 Motorola, Inc. Process for fabricating a semiconductor device having an improved metal interconnect structure
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5447615A (en) * 1994-02-02 1995-09-05 Electroplating Engineers Of Japan Limited Plating device for wafer
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5639301A (en) * 1994-06-17 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Processing apparatus having parts for thermal and non-thermal treatment of substrates
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5716207A (en) * 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US5830045A (en) * 1995-08-21 1998-11-03 Ebara Corporation Polishing apparatus
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5885134A (en) * 1996-04-18 1999-03-23 Ebara Corporation Polishing apparatus
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5972110A (en) * 1996-09-06 1999-10-26 Tokyo Electron Limited Resist processing system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6155275A (en) * 1997-09-11 2000-12-05 Dainippon Screen Mfg. Co., Ltd. Substrate processing unit and substrate processing apparatus using the same
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US5997712A (en) * 1998-03-30 1999-12-07 Cutek Research, Inc. Copper replenishment technique for precision copper plating system
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6228768B1 (en) * 1998-11-02 2001-05-08 Advanced Micro Devices, Inc. Storage-annealing plated CU interconnects
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US20020029961A1 (en) * 1998-11-30 2002-03-14 Applied Materials, Inc. Electro-chemical deposition system
US6635157B2 (en) * 1998-11-30 2003-10-21 Applied Materials, Inc. Electro-chemical deposition system
US20040084301A1 (en) * 1998-11-30 2004-05-06 Applied Materials, Inc. Electro-chemical deposition system
US6123825A (en) * 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099112A1 (en) * 2002-02-15 2004-05-27 Naoki Ohmiya Plate-like carrying mechanism and dicing device with carrying mechanism
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
WO2008057428A1 (en) * 2006-11-03 2008-05-15 Applied Materials, Inc. Substrate support components having quartz contact tips
US20140284321A1 (en) * 2013-03-21 2014-09-25 Tokyo Electron Limited Magnetic annealing apparatus
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
RU2555272C2 (en) * 2013-10-21 2015-07-10 Федеральное государственное бюджетное учреждение науки Ордена Трудового Красного Знамени Институт химии силикатов им. И.В. Гребенщикова Российской академии наук (ИХС РАН) Electrochemical installation to shape nanosized coating
US10186441B2 (en) * 2014-09-17 2019-01-22 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
CN108346599A (en) * 2017-01-24 2018-07-31 Spts科技有限公司 Method and apparatus and device method for maintaining for electrochemical treatments semiconductor base
US11643744B2 (en) 2017-01-24 2023-05-09 Spts Technologies Limited Apparatus for electrochemically processing semiconductor substrates
US20190287854A1 (en) * 2018-03-14 2019-09-19 Raytheon Company Stress compensation and relief in bonded wafers
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems
CN116133282A (en) * 2023-03-03 2023-05-16 广德众泰科技有限公司 Circuit board automation control copper deposition processing system

Similar Documents

Publication Publication Date Title
US6136163A (en) Apparatus for electro-chemical deposition with thermal anneal chamber
US6635157B2 (en) Electro-chemical deposition system
US6254760B1 (en) Electro-chemical deposition system and method
US6267853B1 (en) Electro-chemical deposition system
JP4766579B2 (en) Electrochemical deposition equipment
US6551488B1 (en) Segmenting of processing system into wet and dry areas
US6582578B1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US7427338B2 (en) Flow diffuser to be used in electro-chemical plating system
US20030201184A1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6837978B1 (en) Deposition uniformity control for electroplating apparatus, and associated method
US6551484B2 (en) Reverse voltage bias for electro-chemical plating system and method
US6557237B1 (en) Removable modular cell for electro-chemical plating and method
US6571657B1 (en) Multiple blade robot adjustment apparatus and associated method
US6662673B1 (en) Linear motion apparatus and associated method
US20040079633A1 (en) Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US20030019741A1 (en) Method and apparatus for sealing a substrate surface during an electrochemical deposition process
US7114693B1 (en) Stable cell platform
US20040020780A1 (en) Immersion bias for use in electro-chemical plating system
WO2002031227A2 (en) Deposition uniformity control for electroplating apparatus, and associated method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEUNG, ROBIN;SINHA, ASHOK;TEPMAN, AVI;AND OTHERS;REEL/FRAME:014618/0149;SIGNING DATES FROM 19990421 TO 19990422

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION