US20040072376A1 - Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same - Google Patents

Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same Download PDF

Info

Publication number
US20040072376A1
US20040072376A1 US10/702,142 US70214203A US2004072376A1 US 20040072376 A1 US20040072376 A1 US 20040072376A1 US 70214203 A US70214203 A US 70214203A US 2004072376 A1 US2004072376 A1 US 2004072376A1
Authority
US
United States
Prior art keywords
sensors
load port
manufacturing apparatus
load
kinematic coupling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/702,142
Inventor
Gyu-Chan Jeong
Ki-sang Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/702,142 priority Critical patent/US20040072376A1/en
Publication of US20040072376A1 publication Critical patent/US20040072376A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Definitions

  • the present invention relates to a semiconductor manufacturing apparatus. More particularly, the present invention relates to a load port of a semiconductor manufacturing apparatus.
  • FIG. 1 illustrates a typical loading section 100 of a semiconductor manufacturing apparatus at which disk-shaped semiconductor wafers are loaded into the apparatus.
  • the loading section 100 includes a load port ( 200 in FIG. 2), a mini-chamber 110 having a wafer transfer robot 112 and a door opening robot (not shown), and a load lock chamber 120 .
  • a wafer cassette 130 that stores disk-shaped wafers is safely placed by hand or by an automated material handling system (AMHS) onto the load port 200 outside the mini-chamber 110 .
  • a front opening unified pod (FOUP) is widely used as the wafer cassette 130 for wafers that are 300 mm in diameter.
  • the door opening robot of the mini-chamber 110 opens a door 132 of the wafer cassette 130 .
  • the wafer transfer robot 112 transfers the wafers stacked in the cassette 130 into the load lock chamber 110 .
  • the wafers are transferred from the load lock chamber 120 to a process chamber (not shown).
  • the load port 200 onto which the FOUP 130 is placed includes a plurality of kinematic coupling pins 220 and a plurality of sensors 240 arrayed on a flat surface thereof.
  • the FOUP 130 includes grooves (not shown) that receive the kinematic coupling pins 220 when the FOUP 130 is placed on the load port 200 .
  • the sensors 240 contact a portion of a bottom surface of the FOUP 130 to sense whether the FOUP 130 is properly resting on the load port 200 .
  • the kinematic coupling pins 220 substantially contact the FOUP 130 to support it and the sensors 240 only sense whether the FOUP 130 is properly resting on the load port 200 . Therefore, if a foreign object or substance lies on the sensors 240 , the sensors 240 can incorrectly sense that the FOUP 130 is properly situated on the load port 200 .
  • the sensors 240 are not as tall as the kinematic coupling pins 220 . Therefore, even when the FOUP 130 is resting properly on the kinematic coupling pins 220 , a bottom surface of the FOUP 130 may not sufficiently contact the sensors 240 . In this case, the sensor 240 will not perform properly, i.e., will not correctly sense the presence of the FOUP 130 .
  • the load port 200 can not determine whether wafers are stored in the wafer cassette.
  • An empty wafer cassette 130 can sometimes be erroneously transferred onto the load port 200 . In this case, even though the wafer cassette 130 is properly placed on the load port 200 , a subsequent process is initiated. Accordingly, a processing error occurs.
  • An object of the present invention is to overcome the problems described above.
  • one object of the present invention is to provide a load port that can accurately sense whether a wafer cassette has been properly placed thereon in preparation for the loading of wafers into a chamber of a manufacturing apparatus.
  • Another object of the present invention is to provide a load port which can discriminate whether a wafer cassette placed thereon contains any semiconductor wafers.
  • the load port of the present invention includes a support member, a plurality of kinematic coupling pins projecting upwardly from the support member, and a plurality of sensors integrated with the coupling pins.
  • the sensors are operable to sense for the presence of the bottom of the cassette and thereby determine whether the wafer cassette is resting properly on the load port.
  • the contact of each sensor, except at an upper end thereof, is embedded in a respective kinematic coupling pin.
  • the upper end of the sensor protrudes from the kinematic coupling pin.
  • at least three kinematic coupling pins and corresponding sensors are arrayed on the support member so as to balance a wafer cassette that is placed properly thereon.
  • the sensors may be photo sensors or on-off sensors (switches). Alternatively, the sensors may be weight-detecting sensors that make use of piezoelectric elements.
  • the wafer cassette has grooves in the bottom surface thereof, and the grooves receive the kinematic coupling pins and the sensors when the wafer cassette properly rests on the load port.
  • the present invention it is possible to accurately sense whether the wafer cassette is situated properly on the support member of the load port and/or to discriminate whether the wafer cassette contains any wafers, because the sensors are integrate with the kinematic coupling pins which support the cassette directly. Thus, the reliability of the semiconductor manufacturing process is enhanced.
  • Another object of the present invention is to provide a method of loading wafers into a chamber of a semiconductor apparatus that prevents processing errors from occurring.
  • the method of the present invention entails detecting for the presence of the bottom of the cassette at a plurality of sites located on the upper surface of the support member, and measuring the load exerted by the cassette. If the bottom of the cassette is detected as being present at each of the detection sites, then the cassette is determined to be resting properly for the transfer of wafers therefrom. And, if the load exceeds a predetermined value corresponding to the weight of a cassette, then it is determined that the cassette contains a wafer(s). A control signal is issued to initiate the manufacturing process only once these conditions are established is. In particular, the door of the cassette is opened, and a robot is commanded to transfer wafers from the cassette into a chamber of the manufacturing apparatus.
  • FIG. 1 is a schematic plan view of a loading section of a semiconductor manufacturing apparatus
  • FIG. 2 is a perspective view of a conventional load port of the semiconductor manufacturing apparatus
  • FIG. 3 is a perspective view of a load port of a semiconductor manufacturing apparatus according to the present invention.
  • FIG. 4 is a sectional view of the load port of FIG. 3 taken along line I-I of FIG. 3;
  • FIG. 5 is a cross-sectional view of the load port of FIG. 3 in a state in which a FOUP has been properly placed thereon.
  • the load port of the present invention is located outside of the mini-chamber 110 (see FIG. 1) equipped with a wafer transfer robot ( 112 ) and a door opening robot.
  • the load port includes a support member 300 having a flat upper surface, a plurality of kinematic coupling pins 320 protruding from the upper flat surface of the support member 300 , and a plurality of sensors 340 .
  • a respective sensor 340 protrudes upwardly from within each of the kinematic coupling pins 320 .
  • at least three kinematic coupling pins 320 and the sensors 340 are provided so that the FOUP 130 can be balanced thereon in a state in which the sensors 340 reliably contact the bottom of the FOUP 130 .
  • the kinematic coupling pins 320 and the sensors 340 are integrated.
  • the contacts of the sensors 340 except at upper end portions thereof, are embedded in the kinematic coupling pins 320 , respectively. That is, the upper end portion of each sensor 340 is exposed.
  • FIG. 5 shows a FOUP that has been properly placed on the load port.
  • the FOUP 130 includes grooves 132 that receive the kinematic coupling pins 320 and the sensors 340 when the FOUP 130 rests on the load port.
  • the sensors 340 can sense whether the FOUP 130 is properly situated on the load port.
  • the sensors 340 may also be of a type that can discriminate a FOUP 130 loaded with wafers from an empty FOUP 130 .
  • the sensors 340 may comprise at least one weight-detecting sensor such as a piezoelectric sensor.
  • a weight-detecting sensor such as a piezoelectric sensor.
  • the sensors 340 detect different weights, the FOUP 130 is determined as not resting properly on the load port.
  • the weight of the FOUP 130 as detected by the sensors 340 , can be compared to a predetermined value to discriminate whether any wafers 140 are present in the FOUP 130 .
  • the number of wafers 140 stacked in the FOUP 130 can be determined from the known value of the weight of one wafer. A more accurate and efficient semiconductor manufacturing process can thus be carried out by making the above-described determinations in advance.
  • the sensors 340 may comprise photo sensors or on-off switches. In these cases, the sensors 340 can only sense whether the FOUP is properly resting on the load port. In any case, the present invention is not limited to any particular type of sensor 340 . Any type of sensor that can be integrated with the kinematic coupling pin and can at least detect whether the FOUP is resting thereon may be used.
  • the FOUP 130 is transferred to the support member 300 of the load port by an operator or an AMHS. Subsequently, the sensors 340 detect whether the FOUP 130 has been placed properly on the load port by sensing for the presence of the bottom of the FOUP 130 at each site of the integrated pin/sensor or by sensing whether the weight (load) of the FOUP 130 is equally distributed among the sensors 340 . The sensors 340 may also detect whether any wafers are stored in the FOUP 130 by measuring the load exerted by the FOUP 130 at the sites of the integrated pins/sensors and comparing the total load to a predetermined value corresponding to the weight of the FOUP 130 . Likewise, the number of wafers in the FOUP 130 can be determined if the weight of each wafer is known.
  • a control signal is issued once the cassette is determined to be situated properly at the load port (balanced on the pins 320 ), and is determined to contain wafers.
  • the door opening robot (not shown) opens a front door of the FOUP 130 , and the wafer transfer robot ( 112 in FIG. 1) transfers wafers 140 from the FOUP 130 into the load-lock chamber ( 120 in FIG. 1).
  • the wafers 140 transferred to the load lock chamber 120 are transferred then to another chamber, e.g., a process chamber, by another robot.
  • the FOUP 130 is determined as not having been properly placed on the load port. Accordingly, the semiconductor manufacturing process does not proceed until the FOUP 130 is properly placed on the load port 300 . Also, if the FOUP 130 does not contain any wafers even though is sensed as resting properly on the support member 300 of the load port, a semiconductor manufacturing process does not proceed.
  • the present invention enhances the reliability of the semiconductor manufacturing process.

Abstract

A load port of a semiconductor manufacturing apparatus includes a plurality of kinematic coupling pins and a plurality of sensors integrated with the pins. The contacts of the sensors have upper portions that protrude from the pins. Thus, when a cassette is place on the load port, the sensors can reliably sense whether the cassette is resting properly and/or can determine whether the cassette contains wafers. Once such determinations are made in the positive, a command signal is issued that serves to load the wafers into a chamber of the manufacturing apparatus.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a semiconductor manufacturing apparatus. More particularly, the present invention relates to a load port of a semiconductor manufacturing apparatus. [0002]
  • 2. Description of the Related Art [0003]
  • FIG. 1 illustrates a [0004] typical loading section 100 of a semiconductor manufacturing apparatus at which disk-shaped semiconductor wafers are loaded into the apparatus. The loading section 100 includes a load port (200 in FIG. 2), a mini-chamber 110 having a wafer transfer robot 112 and a door opening robot (not shown), and a load lock chamber 120. A wafer cassette 130 that stores disk-shaped wafers is safely placed by hand or by an automated material handling system (AMHS) onto the load port 200 outside the mini-chamber 110. A front opening unified pod (FOUP) is widely used as the wafer cassette 130 for wafers that are 300 mm in diameter.
  • Subsequently, the door opening robot of the mini-chamber [0005] 110 opens a door 132 of the wafer cassette 130. Then the wafer transfer robot 112 transfers the wafers stacked in the cassette 130 into the load lock chamber 110. The wafers are transferred from the load lock chamber 120 to a process chamber (not shown).
  • Referring now to FIG. 2, the [0006] load port 200 onto which the FOUP 130 is placed includes a plurality of kinematic coupling pins 220 and a plurality of sensors 240 arrayed on a flat surface thereof. The FOUP 130 includes grooves (not shown) that receive the kinematic coupling pins 220 when the FOUP 130 is placed on the load port 200. The sensors 240 contact a portion of a bottom surface of the FOUP 130 to sense whether the FOUP 130 is properly resting on the load port 200.
  • If the [0007] sensors 240 do not sense that the FOUP 130 is positioned properly on the load port 200, a subsequent process is not executed. That is, the process in which the door opening robot opens the door 132 of the FOUP 130 and the wafer transfer robot 112 transfers wafers from the FOUP 130 into the load lock chamber 120, will not be carried out.
  • In the [0008] load port 200 shown in FIG. 2, the kinematic coupling pins 220 substantially contact the FOUP 130 to support it and the sensors 240 only sense whether the FOUP 130 is properly resting on the load port 200. Therefore, if a foreign object or substance lies on the sensors 240, the sensors 240 can incorrectly sense that the FOUP 130 is properly situated on the load port 200. In addition, the sensors 240 are not as tall as the kinematic coupling pins 220. Therefore, even when the FOUP 130 is resting properly on the kinematic coupling pins 220, a bottom surface of the FOUP 130 may not sufficiently contact the sensors 240. In this case, the sensor 240 will not perform properly, i.e., will not correctly sense the presence of the FOUP 130.
  • Still further, the [0009] load port 200 can not determine whether wafers are stored in the wafer cassette. An empty wafer cassette 130 can sometimes be erroneously transferred onto the load port 200. In this case, even though the wafer cassette 130 is properly placed on the load port 200, a subsequent process is initiated. Accordingly, a processing error occurs.
  • SUMMARY OF THE INVENTION
  • An object of the present invention is to overcome the problems described above. [0010]
  • More specifically, one object of the present invention is to provide a load port that can accurately sense whether a wafer cassette has been properly placed thereon in preparation for the loading of wafers into a chamber of a manufacturing apparatus. [0011]
  • Another object of the present invention is to provide a load port which can discriminate whether a wafer cassette placed thereon contains any semiconductor wafers. [0012]
  • In order to achieve the above objects, the load port of the present invention includes a support member, a plurality of kinematic coupling pins projecting upwardly from the support member, and a plurality of sensors integrated with the coupling pins. [0013]
  • The sensors are operable to sense for the presence of the bottom of the cassette and thereby determine whether the wafer cassette is resting properly on the load port. The contact of each sensor, except at an upper end thereof, is embedded in a respective kinematic coupling pin. The upper end of the sensor protrudes from the kinematic coupling pin. Preferably, at least three kinematic coupling pins and corresponding sensors are arrayed on the support member so as to balance a wafer cassette that is placed properly thereon. The sensors may be photo sensors or on-off sensors (switches). Alternatively, the sensors may be weight-detecting sensors that make use of piezoelectric elements. The wafer cassette has grooves in the bottom surface thereof, and the grooves receive the kinematic coupling pins and the sensors when the wafer cassette properly rests on the load port. [0014]
  • According to the present invention, it is possible to accurately sense whether the wafer cassette is situated properly on the support member of the load port and/or to discriminate whether the wafer cassette contains any wafers, because the sensors are integrate with the kinematic coupling pins which support the cassette directly. Thus, the reliability of the semiconductor manufacturing process is enhanced. [0015]
  • Another object of the present invention is to provide a method of loading wafers into a chamber of a semiconductor apparatus that prevents processing errors from occurring. [0016]
  • To achieve this object, the method of the present invention entails detecting for the presence of the bottom of the cassette at a plurality of sites located on the upper surface of the support member, and measuring the load exerted by the cassette. If the bottom of the cassette is detected as being present at each of the detection sites, then the cassette is determined to be resting properly for the transfer of wafers therefrom. And, if the load exceeds a predetermined value corresponding to the weight of a cassette, then it is determined that the cassette contains a wafer(s). A control signal is issued to initiate the manufacturing process only once these conditions are established is. In particular, the door of the cassette is opened, and a robot is commanded to transfer wafers from the cassette into a chamber of the manufacturing apparatus. [0017]
  • The manufacturing process is thus never initiated when the cassette is situated improperly on the load port or not at all, and/or when the cassette is situated properly but does not contain wafers. [0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other objects, features and advantages of the present invention will be better understood from the following detailed description of the preferred embodiment thereof made with reference to the accompanying drawings, of which: [0019]
  • FIG. 1 is a schematic plan view of a loading section of a semiconductor manufacturing apparatus; [0020]
  • FIG. 2 is a perspective view of a conventional load port of the semiconductor manufacturing apparatus; [0021]
  • FIG. 3 is a perspective view of a load port of a semiconductor manufacturing apparatus according to the present invention; [0022]
  • FIG. 4 is a sectional view of the load port of FIG. 3 taken along line I-I of FIG. 3; and [0023]
  • FIG. 5 is a cross-sectional view of the load port of FIG. 3 in a state in which a FOUP has been properly placed thereon.[0024]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in more detail referring to FIGS. 3 and 4. [0025]
  • The load port of the present invention is located outside of the mini-chamber [0026] 110 (see FIG. 1) equipped with a wafer transfer robot (112) and a door opening robot. The load port includes a support member 300 having a flat upper surface, a plurality of kinematic coupling pins 320 protruding from the upper flat surface of the support member 300, and a plurality of sensors 340. A respective sensor 340 protrudes upwardly from within each of the kinematic coupling pins 320. Preferably, at least three kinematic coupling pins 320 and the sensors 340 are provided so that the FOUP 130 can be balanced thereon in a state in which the sensors 340 reliably contact the bottom of the FOUP 130.
  • According to the present invention, the [0027] kinematic coupling pins 320 and the sensors 340 are integrated. The contacts of the sensors 340, except at upper end portions thereof, are embedded in the kinematic coupling pins 320, respectively. That is, the upper end portion of each sensor 340 is exposed.
  • FIG. 5 shows a FOUP that has been properly placed on the load port. The [0028] FOUP 130 includes grooves 132 that receive the kinematic coupling pins 320 and the sensors 340 when the FOUP 130 rests on the load port. The sensors 340 can sense whether the FOUP 130 is properly situated on the load port. In addition, the sensors 340 may also be of a type that can discriminate a FOUP 130 loaded with wafers from an empty FOUP 130.
  • To this end, the [0029] sensors 340 may comprise at least one weight-detecting sensor such as a piezoelectric sensor. For example, if three piezoelectric weight-detecting sensors 340 are used, and the sensors 340 detect different weights, the FOUP 130 is determined as not resting properly on the load port. In addition, the weight of the FOUP 130, as detected by the sensors 340, can be compared to a predetermined value to discriminate whether any wafers 140 are present in the FOUP 130. Furthermore, the number of wafers 140 stacked in the FOUP 130 can be determined from the known value of the weight of one wafer. A more accurate and efficient semiconductor manufacturing process can thus be carried out by making the above-described determinations in advance.
  • Alternatively, the [0030] sensors 340 may comprise photo sensors or on-off switches. In these cases, the sensors 340 can only sense whether the FOUP is properly resting on the load port. In any case, the present invention is not limited to any particular type of sensor 340. Any type of sensor that can be integrated with the kinematic coupling pin and can at least detect whether the FOUP is resting thereon may be used.
  • The operation of the loading section of a semiconductor manufacturing apparatus, comprising a load port according to the present invention, will now be described in detail. [0031]
  • The [0032] FOUP 130 is transferred to the support member 300 of the load port by an operator or an AMHS. Subsequently, the sensors 340 detect whether the FOUP 130 has been placed properly on the load port by sensing for the presence of the bottom of the FOUP 130 at each site of the integrated pin/sensor or by sensing whether the weight (load) of the FOUP 130 is equally distributed among the sensors 340. The sensors 340 may also detect whether any wafers are stored in the FOUP 130 by measuring the load exerted by the FOUP 130 at the sites of the integrated pins/sensors and comparing the total load to a predetermined value corresponding to the weight of the FOUP 130. Likewise, the number of wafers in the FOUP 130 can be determined if the weight of each wafer is known.
  • A control signal is issued once the cassette is determined to be situated properly at the load port (balanced on the pins [0033] 320), and is determined to contain wafers. As a result of the issuing of theses command signals, the door opening robot (not shown) opens a front door of the FOUP 130, and the wafer transfer robot (112 in FIG. 1) transfers wafers 140 from the FOUP 130 into the load-lock chamber (120 in FIG. 1). The wafers 140 transferred to the load lock chamber 120 are transferred then to another chamber, e.g., a process chamber, by another robot.
  • However, if all of the [0034] sensors 340 do not contact the bottom surface of the FOUP 130 sufficiently, the FOUP 130 is determined as not having been properly placed on the load port. Accordingly, the semiconductor manufacturing process does not proceed until the FOUP 130 is properly placed on the load port 300. Also, if the FOUP 130 does not contain any wafers even though is sensed as resting properly on the support member 300 of the load port, a semiconductor manufacturing process does not proceed.
  • As described above, whether a wafer cassette has been properly placed on the load port and/or whether the wafer cassette contains wafers can be accurately determined by the present invention because the kinematic coupling pins and the sensors are integral. Accordingly, the present invention enhances the reliability of the semiconductor manufacturing process. [0035]
  • Finally, although the present invention has been shown and described with reference to the preferred embodiments thereof, various changes in form and details, as will become apparent to those of ordinary skill in the art, may be made thereto without departing from the true spirit and scope of the invention as defined by the appended claims. [0036]

Claims (18)

What is claimed is:
1. A load port of a semiconductor manufacturing apparatus, comprising: a support member having an upper surface, a plurality of kinematic coupling pins protruding from the upper surface of said support member and arrayed to support a wafer cassette loaded onto the support member, and a plurality of sensors that are integrated with said kinematic coupling pins, respectively.
2. The load port of claim 1, wherein the sensors are operable to sense whether a wafer cassette is resting atop of said kinematic coupling pins.
3. The load port of claim 1, wherein said sensors have contacts that are embedded in said kinematic coupling pins, respectively, said contacts each having an upper portions exposed at the top of one of said pins.
4. The load port of claim 1, comprising at least three of said kinematic coupling pins and sensors integrated therewith.
5. The load port of claim 1, wherein said sensors comprise a photo sensor or an on-off switch.
6. The load port of claim 1, wherein said sensors comprise a weight detector operable to detect a load exerted thereon.
7. The load port of claim 6, wherein said weight detector comprises a piezoelectric element.
8. A loading section of a semiconductor manufacturing apparatus, comprising: a mini-chamber equipped with a robot, a load-lock chamber connected to said mini-chamber, and a load port disposed outside said mini-chamber, said load port including a support member having an upper surface, a plurality of kinematic coupling pins protruding from the upper surface of said support member and arrayed to support a wafer cassette loaded onto the support member, and a plurality of sensors that are integrated with said kinematic coupling pins, respectively.
9. The loading section of a semiconductor manufacturing apparatus as claimed in claim 8, wherein the sensors of said load port are operable to sense whether a wafer cassette is resting on said kinematic coupling pins.
10. The loading section of a semiconductor manufacturing apparatus as claimed in claim 8, wherein said sensors of the load port have contacts that are embedded in said kinematic coupling pins, respectively, said contacts having upper portions that are exposed at the top of said pins.
11. The loading section of a semiconductor manufacturing apparatus as claimed in claim 8, wherein said load port comprises at least three of said kinematic coupling pins and integrated sensors.
12. The loading section of a semiconductor manufacturing apparatus as claimed in claim 8, wherein said sensors of the load port comprise a photo sensor or an on-off switch.
13. The loading section of a semiconductor manufacturing apparatus as claimed in claim 8, wherein said sensors of the load port comprise a weight detector operable to detect a load exerted thereon.
14. The loading section of a semiconductor manufacturing apparatus as claimed in claim 13, wherein said weight detector comprises a piezoelectric element.
15. A method of loading wafers into a semiconductor manufacturing apparatus, said method comprising:
placing a wafer cassette on a support member of a load port;
measuring the load exerted by the cassette on the support member, and determining whether the bottom of the cassette is present at a plurality of spaced-apart sites above the support member;
comparing the measured load to a predetermined value;
issuing a control signal only if the bottom of the cassette is determined to be present at each of said sites, and the measured load exceeds said predetermined value; and
commanding a robot to transfer wafers from the cassette into a chamber of the manufacturing apparatus in response to the issuing of the command signal.
16. The method of loading wafers as claimed in 15, wherein said measuring of the load comprises measuring the loads exerted by the cassette at each of said spaced-apart sites.
17. The method of loading wafers as claimed in 16, wherein said determining whether the bottom of the cassette is present at said plurality of spaced-apart sites comprises comparing the loads measured at said sites to one another.
18. The method of loading wafers as claimed in claim 17, wherein the control signal is issued only if the loads measured at each of said sites are substantially the same.
US10/702,142 2001-02-22 2003-11-06 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same Abandoned US20040072376A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/702,142 US20040072376A1 (en) 2001-02-22 2003-11-06 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2001-0009045A KR100410991B1 (en) 2001-02-22 2001-02-22 Loadport for semiconductor processing apparatus
KR2001-09045 2001-02-22
US10/079,262 US6755221B2 (en) 2001-02-22 2002-02-21 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same
US10/702,142 US20040072376A1 (en) 2001-02-22 2003-11-06 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/079,262 Division US6755221B2 (en) 2001-02-22 2002-02-21 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same

Publications (1)

Publication Number Publication Date
US20040072376A1 true US20040072376A1 (en) 2004-04-15

Family

ID=19706138

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/079,262 Expired - Lifetime US6755221B2 (en) 2001-02-22 2002-02-21 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same
US10/702,142 Abandoned US20040072376A1 (en) 2001-02-22 2003-11-06 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/079,262 Expired - Lifetime US6755221B2 (en) 2001-02-22 2002-02-21 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same

Country Status (2)

Country Link
US (2) US6755221B2 (en)
KR (1) KR100410991B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
TWI760143B (en) * 2021-03-12 2022-04-01 元啓精密科技股份有限公司 Storage damping device for semiconductor automated logistics conveying system

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6716651B2 (en) * 2002-04-25 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for identifying a wafer cassette
US6938505B2 (en) * 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US6913654B2 (en) * 2003-06-02 2005-07-05 Mykrolis Corporation Method for the removal of airborne molecular contaminants using water gas mixtures
US20050105997A1 (en) * 2003-09-11 2005-05-19 Englhardt Eric A. Methods and apparatus for carriers suitable for use in high-speed/high-acceleration transport systems
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US20050209721A1 (en) * 2003-11-06 2005-09-22 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US7230702B2 (en) * 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
TWI316044B (en) 2004-02-28 2009-10-21 Applied Materials Inc Methods and apparatus for material control system interface
TWI290875B (en) * 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
CN1950928A (en) * 2004-09-04 2007-04-18 应用材料公司 Substrate carrier having reduced height
CN101273312B (en) * 2005-01-28 2012-07-04 应用材料公司 Methods and apparatus for enhanced operation of substrate carrier handlers
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
US9457442B2 (en) 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US9339900B2 (en) 2005-08-18 2016-05-17 Futrfab, Inc. Apparatus to support a cleanspace fabricator
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US9059227B2 (en) 2005-06-18 2015-06-16 Futrfab, Inc. Methods and apparatus for vertically orienting substrate processing tools in a clean space
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US9159592B2 (en) 2005-06-18 2015-10-13 Futrfab, Inc. Method and apparatus for an automated tool handling system for a multilevel cleanspace fabricator
US20090272461A1 (en) * 2005-08-03 2009-11-05 Alvarez Jr Daniel Transfer container
TW200725784A (en) * 2005-11-21 2007-07-01 Applied Materials Inc Apparatus and methods for a substrate carrier having an inflatable seal
US20070141280A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
US20070144118A1 (en) * 2005-12-22 2007-06-28 Alvarez Daniel Jr Purging of a wafer conveyance container
NL1036673A1 (en) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011187539A (en) * 2010-03-05 2011-09-22 Sinfonia Technology Co Ltd Gas charging apparatus, gas discharging apparatus, gas charging method, and gas discharging method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6903944B2 (en) * 2017-02-27 2021-07-14 富士フイルムビジネスイノベーション株式会社 Information processing equipment, printing systems and programs
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10325796B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and system for detecting wafer damage
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7363066B2 (en) * 2019-03-18 2023-10-18 Tdk株式会社 Load port device and container placement method
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
CN112378354B (en) * 2020-11-13 2022-09-30 广州粤芯半导体技术有限公司 Position detection sensor, wafer box position detection device and method
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11935777B2 (en) * 2021-12-01 2024-03-19 STATS ChipPAC Pte Ltd. Semiconductor manufacturing equipment and method of providing support base with filling material disposed into openings in semiconductor wafer for support

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5844683A (en) * 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5970621A (en) * 1998-01-16 1999-10-26 Pri Automation, Inc. Semiconductor wafer cassette positioning and detection mechanism
US5988233A (en) * 1998-03-27 1999-11-23 Asyst Technologies, Inc. Evacuation-driven SMIF pod purge system
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
US6082949A (en) * 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
US6250869B1 (en) * 1996-11-18 2001-06-26 Applied Materials, Inc. Three chamber load lock apparatus
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
US6281516B1 (en) * 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6390754B2 (en) * 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
US6398032B2 (en) * 1998-05-05 2002-06-04 Asyst Technologies, Inc. SMIF pod including independently supported wafer cassette
US6413356B1 (en) * 2000-05-02 2002-07-02 Applied Materials, Inc. Substrate loader for a semiconductor processing system
US6419438B1 (en) * 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
US6443686B1 (en) * 1999-03-05 2002-09-03 Pri Automation, Inc. Material handling and transport system and process
US6510688B2 (en) * 1998-02-19 2003-01-28 Brooks Automation, Inc. Safety device for a moving system
US6573522B2 (en) * 2001-06-27 2003-06-03 Applied Matrials, Inc. Locator pin integrated with sensor for detecting semiconductor substrate carrier
US6715978B2 (en) * 2002-04-22 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Interbay transfer interface between an automated material handling system and a stocker
US6745901B2 (en) * 2001-10-12 2004-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cassette equipped with piezoelectric sensors
US20040120797A1 (en) * 2002-12-19 2004-06-24 Texas Instruments Incorpprated Method and system for eliminating wafer protrusion

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01187940A (en) * 1988-01-22 1989-07-27 Nec Corp Cassette for semiconductor wafer
JPH07106407A (en) * 1993-09-30 1995-04-21 Sony Corp Carrier and carrier transfer system
KR960025434U (en) * 1994-12-29 1996-07-22 Wafer carrier
KR970018335A (en) * 1995-09-28 1997-04-30 김광호 Casing failure detection device of loader station for semiconductor manufacturing
JPH09107019A (en) * 1995-10-11 1997-04-22 Tokyo Electron Ltd Positioning mechanism of a body to be mounted

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5844683A (en) * 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US6082949A (en) * 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
US6250869B1 (en) * 1996-11-18 2001-06-26 Applied Materials, Inc. Three chamber load lock apparatus
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
US6390754B2 (en) * 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
US5970621A (en) * 1998-01-16 1999-10-26 Pri Automation, Inc. Semiconductor wafer cassette positioning and detection mechanism
US6510688B2 (en) * 1998-02-19 2003-01-28 Brooks Automation, Inc. Safety device for a moving system
US5988233A (en) * 1998-03-27 1999-11-23 Asyst Technologies, Inc. Evacuation-driven SMIF pod purge system
US6398032B2 (en) * 1998-05-05 2002-06-04 Asyst Technologies, Inc. SMIF pod including independently supported wafer cassette
US6281516B1 (en) * 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
US6443686B1 (en) * 1999-03-05 2002-09-03 Pri Automation, Inc. Material handling and transport system and process
US6413356B1 (en) * 2000-05-02 2002-07-02 Applied Materials, Inc. Substrate loader for a semiconductor processing system
US6419438B1 (en) * 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
US6573522B2 (en) * 2001-06-27 2003-06-03 Applied Matrials, Inc. Locator pin integrated with sensor for detecting semiconductor substrate carrier
US6745901B2 (en) * 2001-10-12 2004-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cassette equipped with piezoelectric sensors
US6715978B2 (en) * 2002-04-22 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Interbay transfer interface between an automated material handling system and a stocker
US20040120797A1 (en) * 2002-12-19 2004-06-24 Texas Instruments Incorpprated Method and system for eliminating wafer protrusion

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
TWI760143B (en) * 2021-03-12 2022-04-01 元啓精密科技股份有限公司 Storage damping device for semiconductor automated logistics conveying system

Also Published As

Publication number Publication date
US6755221B2 (en) 2004-06-29
KR100410991B1 (en) 2003-12-18
KR20020068779A (en) 2002-08-28
US20020114684A1 (en) 2002-08-22

Similar Documents

Publication Publication Date Title
US6755221B2 (en) Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same
US7471077B2 (en) Conveyor device, electronic device handling apparatus and conveying method in electronic device handling apparatus
KR100516367B1 (en) Wafer position error detection and correction system
CN109841553B (en) Calibration system and calibration method for wafer transfer box
CN100440475C (en) Method for detecting transfer shift of transfer mechanism and semiconductor processing equipment
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
CN111645064A (en) Manipulator position calibration device and method and manipulator control system
CN110364461B (en) Wafer state detection equipment and method and wafer loading and unloading chamber
WO2003003418A2 (en) Locator pin integrated with sensor for detecting semiconductor substrate carrier
US8125653B2 (en) Apparatus and method for the determination of the position of a disk-shaped object
KR20110061805A (en) Apparatus for mapping wafers
US6043588A (en) Piezoelectric sensor and acceleration sensor
KR101041458B1 (en) Substrate transferring device, substrate manufacturing system having the same and method of transferring substrate
JPH0566734B2 (en)
US20040225399A1 (en) Wafer assessment apparatus for a single wafer machine and method thereof
KR200261629Y1 (en) Wafer sorter system including wafer cassette detector
KR100495419B1 (en) Semiconductor manufacturing device
KR20040100294A (en) Apparatus for loading a FOUP
KR20050101722A (en) Semiconductor manufacturing system
JP2003174068A (en) Device for confirming seating of wafer carrying container
KR100208031B1 (en) Detecting system for remaining wafer and its method
US20030075936A1 (en) Wafer blade equipped with piezoelectric sensors
KR20060040272A (en) Apparatus for transporting wafers
KR100829359B1 (en) Structure preventing falling of cassette provided load rock chamber
KR20020079194A (en) A carrier sensing apparatus for semiconductor processing

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION