US20040061229A1 - Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics - Google Patents

Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics Download PDF

Info

Publication number
US20040061229A1
US20040061229A1 US10/091,795 US9179502A US2004061229A1 US 20040061229 A1 US20040061229 A1 US 20040061229A1 US 9179502 A US9179502 A US 9179502A US 2004061229 A1 US2004061229 A1 US 2004061229A1
Authority
US
United States
Prior art keywords
interconnect structure
level interconnect
level
electrically conductive
plugs
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/091,795
Inventor
Mehrdad Moslehi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/091,795 priority Critical patent/US20040061229A1/en
Publication of US20040061229A1 publication Critical patent/US20040061229A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Definitions

  • the present invention relates to semiconductor integrated circuits and, more particularly, to structures and methods of fabricating integrated circuit interconnect devices. Even more particularly, the present invention relates to an ultra high-speed chip interconnect structure and methods of forming such a structure that integrates free-space intermetal and interlevel dielectric regions with at least one high-conductivity interconnect conductor for the purpose of establishing optimally low permittivity between adjacent intralevel and interlevel conductor lines and plugs.
  • This invention offers improved interconnect structures and methods which will reduce the parasitic effects of the interconnect structure and enable significant enhancements of the semiconductor integrated circuit speed and operational reliability.
  • the structures and methods of this invention also allow simplification at the interconnect process flow, resulting in reduced chip manufacturing cost.
  • Advanced semiconductor IC chips employ multi-level on-chip interconnects usually comprising aluminum (usually an alloy of aluminum comprising approximately 0.5% to 2% copper for improved electromigration reliability lifetime) metal lines, aluminum (again typically doped with copper) or tungsten plugs (for inter-level/inter-metal contact/via holes), and silicon dioxide (or fluorinated silicon dioxide SiO x F y ) or a combination of silicon dioxide with an organic low-permittivity (low-k) dielectric used as inter-metal and inter-level dielectrics.
  • aluminum usually an alloy of aluminum comprising approximately 0.5% to 2% copper for improved electromigration reliability lifetime
  • metal lines aluminum (again typically doped with copper) or tungsten plugs (for inter-level/inter-metal contact/via holes)
  • silicon dioxide or fluorinated silicon dioxide SiO x F y
  • low-k organic low-permittivity
  • CMOS complementary metal-oxide-semiconductor
  • the speed performance of advanced semiconductor IC chips such as high-end microprocessors and digital signal processors (DSP) fabricated using 0.25 ⁇ m complementary metal-oxide-semiconductor (CMOS) technologies and beyond is limited by the interconnect signal propagation delays.
  • the signal propagation delay for advanced interconnects is limited by the parasitic resistive, capacitive, and inductive elements. These include the interconnect metal “RC” delays, capacitive cross-talks or cross-talk noise between adjacent metal lines (due to voltage pulses), as well as inductive noise and cross-talks (due to voltage pulses).
  • the metal interconnect line widths and pitches are also scaled down, accordingly.
  • the maximum density (areal density) of metal interconnect lines on each interconnect level is limited by the minimum electrical conductivity requirements of the metal lines as well as the upper limits on the maximum allowable signal cross-talks. As the density of the metal interconnect lines on each interconnect level increases, the adjacent metal lines are placed closer to each other and the widths of the metal lines is also reduced.
  • the semiconductor e.g., silicon CMOS
  • DSP digital signal processor
  • interconnect design rule constraints caused by the IC chip speed performance (and electromigration reliability lifetime) requirements result in an increase in the number of interconnect levels, particularly for complex logic chips such as high-end/high-speed microprocessors and digital signal processors.
  • state-of-the-art CMOS logic technologies with minimum feature size of 0.20 to 0.25 ⁇ m may utilize as many as six or more levels of metal interconnects.
  • Each additional level of metal interconnect adds significantly to the overall process flow complexity and chip manufacturing cost. This is due to both increased number of fabrication process steps in the process flow and the manufacturing yield reduction associated with a more complex and lengthy process flow.
  • the higher interconnect line densities on various interconnect levels enable a reduction in the number of required interconnect levels for a given chip speed performance. This results in reduced process complexity and cost.
  • a higher conductivity conductor e.g., copper instead of Al
  • copper instead of Al
  • an advanced 0.18 ⁇ m microprocessor logic chip for a given maximum speed or clock frequency (e.g., an approximately 600-MHz microprocessor), comprising eight levels of Al metal interconnects, replacing Al with Cu accomplishes a number of desireable results.
  • a given maximum speed or clock frequency e.g., an approximately 600-MHz microprocessor
  • the inter-metal/inter-level dielectric layers (IMD and ILD layers) also have a significant impact on the IC chip performance speed as well as manufacturing cost.
  • the dielectric constant (i.e. relative dielectric constants with respect to free space) of the IMD/ILD material layers impacts not only the “RC” propagation delays but also the intra-level and inter-level capacitive cross-talks.
  • the mainstream ILD/IMD materials in silicon chip manufacturing are silicon dioxide (SiO 2 ) and/or derivatives of silicon dioxide (such as fluorinated silicon dioxide: SiO x F y ) with k values in the range of 3.2 to over 4.0.
  • silicon dioxide SiO 2
  • SiO x F y fluorinated silicon dioxide
  • FIG. 1 illustrates a side view of an interconnect structure 10 that includes a low-k organic ILD/IMD material layer 12 and trench 14 .
  • SiO 2 layer 16 covers ILD/ILD substrate 12 . Covering trench 14 and top SiO 2 layer 16 is conformal SiO 2 buffer layer 18 .
  • the formation of organic low-k dielectric layer 12 also complicate the single or dual damascene processes commonly used for fabrication of copper interconnects due to the difficulties associated with their incompatibility with chemical-mechanical polishing (or CMP) processes used for copper and barrier removal during the interconnect fabrication process.
  • CMP chemical-mechanical polishing
  • organic low-k dielectrics employ a suitable hard mask layer such as silicon dioxide for single or dual-damascene interconnect fabrication processes in order to facilitate formation of dielectric trenches and via holes for the embedded (inlaid) metal (e.g., copper) lines.
  • a suitable hard mask layer such as silicon dioxide for single or dual-damascene interconnect fabrication processes in order to facilitate formation of dielectric trenches and via holes for the embedded (inlaid) metal (e.g., copper) lines.
  • the optimal integration of most organic low-k dielectrics requires deposition of a thin conformal layer of, for instance, silicon dioxide, such as SiO 2 layer 18 , followed by an anisotropic oxide etch process in order to cover the trench and via hold sidewalks with a thin layer of high-quality silicon dioxide dielectric, such as SiO 2 layer 18 .
  • This requirement adds to the complexity and fabrication cost of the IMD/ILD integration.
  • the effective relative dielectric constant of the composite IMD/ILD layers is somewhat higher than that of the low-k dielectric by itself. This is due to the requirements for the hard mask and sidewalk oxide coverage.
  • the main challenges with the free-space dielectric IMD/ILD integration are the ability to remove heat from the multi-level interconnect structure and the ability to form a hermetically sealed chip packages protecting the multi-level interconnect structure and the active devices on the substrate.
  • the prior art multi-level interconnect structures typically require an effective glue/barrier layers. This is particularly critical for a high electrical conductivity material such as copper (or silver) since copper (or silver or gold) act as electrical trap centers in silicon and can severely degrade the transistor properties such as transconductance, junction leakage, standby power dissipation and reliability lifetime. Moreover, copper, as well as some other metallic elements such as gold and silver can cause severe degradation of the ILD/IMD layers adversely affecting their electrical leakage and breakdown properties.
  • the prior art silicon chip interconnect structures and fabrication process flows employ conductive diffusion barrier layers (such as TiN, Ta, TaN, TiSiN, TaSiN, WN, WSiN, MoN, or MoSiN).
  • conductive diffusion barrier layers such as TiN, Ta, TaN, TiSiN, TaSiN, WN, WSiN, MoN, or MoSiN.
  • the barrier material thickness becomes a larger fraction of the conductive interconnect lines.
  • This phenomenon can be examined in the case of dual-damascene copper interconnects. In IC chips with copper metallization, a larger fraction of the diameter of the conductive via plug is also consumed by the barrier material.
  • the high-conductivity metal e.g., copper with a resistivity of approximately 1.8 ⁇ cm
  • the typical diffusion barrier layers have much higher electrical resistivity values compared to the high-conductivity interconnect metals (e.g., in the range of approximately 150-250 ⁇ cm for Ta and TaN diffusion barriers vs.
  • FIG. 2 shows damascene dielectric trench structures 20 and 22 (e.g., for fabrication of embedded copper metal line) with a width W and height H.
  • damascene dielectric trench structure 22 (FIG. 2 b )
  • trench 24 is filled entirely with the high conductivity metal line having electrical resistivity of ⁇ m .
  • damascene trench structure 20 (FIG. 2 a ) includes barrier layer 26 (shown as a conformal layer) with a layer thickness tb and a material resistivity of ⁇ b in trench 28 .
  • the high conductivity metal line 28 occupies the remaining space surrounded by the barrier layer. Assuming ⁇ b >> ⁇ m , which is typically the case in practice, we can compare the total conductor line resistance per unit length for these two conditions:
  • R 1 conductor line resistance per unit length without the barrier layer (FIG. 2 b );
  • R 2 conductor line resistance per unit length with the barrier layer (FIG. 2 a )
  • R 1 ⁇ m W ⁇ H
  • ⁇ R 2 [ Pm ( W - 2 ⁇ ⁇ t b ) ⁇ ( H - t b ) ] ⁇
  • the presence of the barrier layer has degraded the effective interconnect line resistance by over 30% which is a significant amount of interconnect conductor conductivity loss.
  • FIG. 3 shows via plugs 30 and 32 connecting the metal lines between two adjacent interconnect levels.
  • Via plug 30 (FIG. 3 a ) includes metal plug between metal lines 34 and 35 which is fully surrounded at the bottom and sidewalls by the barrier layer 36 .
  • Via plug 32 of FIG. 3 b shows an ideal situation without a barrier layer surrounding metal plug 32 (connecting metal lines 38 and 40 ).
  • the via plug metal has a resistivity of ⁇ m (1.8 ⁇ m), which is preferably the same as that of the interconnect metal lines on levels N and N-1).
  • the barrier layer is conformal, has a thickness of t b , and a resistivity of ⁇ b .
  • ⁇ b >> ⁇ m .
  • R p 2 ⁇ ⁇ ⁇ m ⁇ ( H - t b ) [ ⁇ ( ⁇ D - 2 ⁇ ⁇ t b ) 2 4 ] ⁇ ⁇ ⁇ ⁇ b ⁇ ( H - t b ) ⁇ ⁇ ⁇ 4 ⁇ [ D 2 - ( D - 2 ⁇ t b ) 2 ] ⁇ ⁇ two ⁇ ⁇ resistive ⁇ ⁇ components in ⁇ ⁇ parallel + 2 ⁇ R ⁇ ⁇ c + ⁇ b ⁇ ⁇ t b ( ⁇ ⁇ ⁇ D 2 4 ) Since ⁇ ⁇ ⁇ ⁇ ⁇ ⁇ b >> ⁇ m R p 2 ⁇ 4 ⁇ ⁇ ⁇ m ⁇ ( H - t b ) 4 ⁇
  • Rc is the effective contact resistance at each interface between the barrier layer and either the via metal plug or the underlying metal line.
  • D 0.25 ⁇ m
  • H 0.75 ⁇ m
  • t b 250 ⁇ (0.025 ⁇ m)
  • ⁇ b 200 ⁇ m.
  • R p 1 ⁇ 0.305 ⁇ which is the plug resistance for the ideal case without the barrier layer.
  • R p z 1480+2Rc ⁇ , which is the plug resistance for the via plug structure comprising the barrier layer.
  • an ultra-high-speed semiconductor IC chip interconnect comprising free-space dielectric medium is disclosed that substantially eliminates or reduces disadvantages and problems associated with previously developed and prior art multi-level interconnect structures and methods of fabrication.
  • an ultra-high-speed multi-level chip interconnect structure for a semiconductor IC chip that includes a plurality of electrically conductive metallization levels.
  • Each of the metallization levels includes a plurality of electrically conductive interconnect lines or segments.
  • a plurality of electrically conductive via and contact plugs make electrical connections between various metallization levels as well as between the metallization levels and the semiconductor devices.
  • the invention further includes a free-space medium occupying at least a substantial fraction of the electrically insulating regions separating the conducting lines and plugs within the multi-level interconnect structure.
  • a top passivation overlayer hermetically seals the multi-level interconnect structure and the underlying devices on the semiconductor substrate. The top passivation overlayer also functions as an effective heat transfer medium to facilitate heat removal from the interconnect structure as well as an additional mechanical support for the interconnect structure through a sealing contact with the top metallization level of the multi-level interconnect structure.
  • a technical advantage that the present invention provides is the practical use of a free-space interlevel/intermetal (ILD/IMD) dielectric medium.
  • the interconnect structure provides reduced “RC” propagation delay and reduced capacitive cross-talk.
  • the present invention also provides the additional technical and economic advantages of reducing the number of diffusion barrier layers and corresponding deposition steps from N (in prior art methods) to one. This results in a significant interconnect process simplification and chip manufacturing cost reduction.
  • a further technical advantage of the present invention is its compatibility with and applicability to various types of interconnect metallization materials. This includes metals such as copper, gold, silver, aluminum, and various superconducting materials.
  • Another technical advantage of the present invention is improved interconnect metal lead and plug conductances due to elimination of the need for all (but one) barrier layers (all via-level barrier layers can be eliminated).
  • the present invention provides the technical advantage of improved interconnect metallization electromigration lifetime due to homogeneous metallization structure with large-grain metal lines and contact/via plugs as well as direct, i.e., barrierless plug-to-metal line contacts between the via plugs and the adjacent metal lines on different interconnect levels.
  • the present invention further provides the technical advantage of eliminating the need to use low-k dielectric materials and the relatively complex and expensive process integration methods associated with them.
  • the present invention reduces the number of fabrication process steps per interconnect level by about four steps, as compared to prior art methods for forming damascene interconnect structures with advanced low-k dielectrics.
  • This invention also provides the technical advantage of a much improved chip reliability by eliminating the physical paths for diffusion of the metal atoms, such as copper or gold or silver into the active semiconductor devices. Moreover, the free-space ILD/IMD structure eliminates the possibility of ILD/IMD electrical breakdown field degradation due to metal atom diffusion into the insulating regions. This eliminates the need for the use of diffusion barrier layers to encapsulate the metallization structure at each interconnect level.
  • the present invention provides the technical advantage of hermetic sealing of the multi-level interconnect structure and semiconductor IC devices either under vacuum or with the interconnect structure free-space medium filled and hermetically sealed with a controlled pressure of a suitable gas such as an inert gas (e.g., helium or argon).
  • a suitable gas such as an inert gas (e.g., helium or argon).
  • Still a further technical advantage of the present invention is excellent mechanical strength and integrity of the multi-level interconnect structure and overall semiconductor chip resulting from the inventive process.
  • FIG. 1 illustrates the formation of a damascene trench in conjunction with an organic low-k dielectric layer according to a prior art method
  • FIGS. 2 a and 2 b shows two different inlaid copper interconnect lines with and without a conductive barrier layer
  • FIGS. 3 a and 3 b shows two different copper via plugs, one with a barrier layer, the other without a barrier layer, making electrical connections between two metal lines located on two different interconnect levels;
  • FIGS. 4 and 5 depict exemplary prior art processes for preparing a dual-damascene multi-level copper interconnect structure
  • FIG. 6 is a process flow for one embodiment of the present invention (example shown for formation of a multi-level copper interconnect structure with free-space dielectric medium);
  • FIG. 7 provides an alternative process flow for another embodiment of the present invention, different in some respects to that of FIG. 6 (again example shown for formation of a multi-level copper interconnect structure with free-space dielectric medium);
  • FIG. 8 shows a multi-level interconnect structure formed as part of the process of the present invention (interconnect structure shown prior to completion of the final process steps for formation of free-space medium and hermetic sealing of the IC chips);
  • FIGS. 9 through 12 show alternative embodiments of the top layer etchant transmission openings or windows for fabrication of the free-space dielectric interconnect structure of the present invention.
  • FIG. 9 shows an array of square shaped holes.
  • FIG. 10 shows an array of circular holes.
  • FIGS. 11 and 12 show two different arrays of rectangular-shaped holes.
  • FIG. 13 depicts the interconnect structure of FIG. 8 following formation of the etchant-transmission window pattern on the top layer and after formation of the free-space dielectric medium for the purposes of illustrating one example of forming the free-space dielectric interconnect structure of the present invention
  • FIG. 14 shows the top dielectric layer after formation of the etchant transmission windows used to enable formation of the free-space dielectric medium, and also following the subsequent deposition steps for hermetic sealing of the interconnect structure of the present invention.
  • FIG. 15 shows the multi-level interconnect structure of the present invention after formation of the free-space dielectric medium, hermetically-sealed top layer, and the bonding pad windows.
  • FIG. 4 shows one example of a prior art interconnect process flow 40 that results in a dual-damascene multi-level copper metallization interconnect structure in conjunction with inorganic interlevel dielectric (ILD) and intermetal dielectric (IMD) layers (e.g., fluorinated oxide or Si x O y F z ILD/IMD material).
  • ILD intermetal dielectric
  • tungsten is used to form tungsten contact plugs (to keep copper away from silicon) while copper is used for all the via plugs.
  • the interconnect fabrication process (or back-end-of-the-line or BEOL process) flow starts after completion of the front-end-of-the-line (FEOL) process flow utilized for fabrication of the transistor and isolation (and other devices such as diodes, capacitors, etc.) structures, as step 42 indicates.
  • FEOL front-end-of-the-line
  • the first ILD layer (ILDI) which may be SiO 2 , Si x O y F z , or another material) is deposited, as shown in step 44 , by thermal CVD or PECVD and then globally planarized by chemical-mechanical polishing (CMP) and cleaned after the CMP step at step 46 . Subsequently, the complete ILD structure is formed by deposition using CVD or PECVD of an additional amount of the dielectric material (e.g., SiO 2 , Si x O y F z , or another suitable insulating material), as indicated in step 48 . After formation of the contact holes by microlithography and reactive ion etching or RIE as shown in the liner/barrier layers (e.g.
  • Ti/TiN are formed by PVD and/or CVD (see step 50 , step 52 ). Then the tungsten contact plugs are formed by blanket deposition of a tungsten layer to fill the contact holes (see step 54 ) followed by tungsten CMP and post-CMP clean as shown in steps 54 and 56 . The fabrication flow then continues by deposition of a relatively thick etch-stop layer (e.g. silicon nitride layer) followed by deposition of the second ILD layer (e.g., SiO 2 or Si x O y F z ).
  • a relatively thick etch-stop layer e.g. silicon nitride layer
  • the second ILD layer e.g., SiO 2 or Si x O y F z
  • the metal-1 (first metal level) line trenches for subsequent formation of inlaid metal-1 interconnects, are formed by microlithography patterning and RIE (with the thin Si 3 N 4 etch-stop layer used for RIE process end-pointing) (step 60 ). Si 3 N 4 is also removed from the bottom of trenches by RIE.
  • the RIE step used for removal of the silicon nitride layer at the bottom of the trenches selectively removes the nitride layer and stops on ILD1
  • the diffusion barrier layer (TiN, Ta, TaN, or another suitable material) is deposited either by CVD or PVD (e.g., to form a 150 ⁇ to 300 ⁇ barrier layer) (step 62 ).
  • the inlaid metal-1 interconnect lines are then formed by depositing copper (by MOCVD, PVD, and/or plating) followed by subsequent metal CMP and post-CNP clean through steps 64 and 66 .
  • the following dual-damascene copper interconnect level is fabricated by depositing the intermetal dielectric (IMD) layer (e.g., a multi-layer dielectric comprising a thin silicon nitride dielectric barrier and etch-stop layer, followed by deposition of SiO 2 , a thin Si 3 N 4 etch-stop layer, and a top layer of silicon dioxide (SiO 2 ) layer; the oxide layers may be replaced by a reduced-permittivity material such as Si x O y F z ), as shown in step 68 . Then, a microlithography patterning process and a reactive ion etch (RIE) process sequence is used (step 70 ) in order to form the dielectric trenches for subsequent formation of inlaid copper metal lines.
  • IMD intermetal dielectric
  • RIE reactive ion etch
  • a follow-on microlithography patterning and dielectric RIE process sequence is used to form the interconnect via holes (step 72 ).
  • a diffusion barrier layer TiN, Ta, TaN, etc.
  • CVD or PVD a diffusion barrier layer
  • a copper layer is deposited, as shown in step 78 , (by MOCVD, PVD and/or Plating) and polished back by CMP, followed by post-CMP clean (step 80 ) resulting in formation of the embedded copper via plugs and inlaid metal interconnect lines.
  • the repeatitive steps of IMD deposition, microlithograhy patterning and dielectric RIE processes (for via holes and interconnect metal line trenches), as well as barrier and copper deposition steps, and CMP and post-CMP clean are performed multiple times until all the necessary interconnect levels are fabricated, as verified at step 82 .
  • the passivation overlayer e.g., Si 3 N 4 or SiON
  • PECVD PECVD
  • a microlithography patterning step and an RIE process step are used (step 86 ), to form the bonding pad openings or windows.
  • the chip can then be packaged, as step 88 indicates.
  • the process flowchart 90 of FIG. 5 presents another example of a state-of-the-art prior art interconnect process technology for formation of dual-damascene copper metallization with advanced low-k (e.g., K ⁇ 2.5) IMD/ILD layers.
  • Process flow 90 is fairly similar to the prior art process flow of FIG. 4 except for additional process steps 92 and 94 .
  • the additional process steps 92 and 94 for integration of copper and low-k dielectrics are required in order to maintain good low-k dielectric integrity through the BEOL interconnect process flow and also to eliminate any process integration issues in terms of patterning and etch processes as well as any material compatibility issues.
  • the description of the process flow outlined in FIG. 5 is essentially similar to that already provided for the flowchart in FIG. 4. One difference is that a thin layer of a hard mask material (such as SiO 2 ) is used to protect the ILD or IMD low-k dielectric surface prior to any patterning and CMP process steps.
  • a thin layer of a hard mask material
  • the organic low-k dielectric materials may be damaged in typical plasma ash processes used for removal of the patterned photoresist layers after patterning and etch processes (thus, the reason for the use of an oxide hard mask). Moreover, many low-k organic dielectrics may not be directly exposed to the CMP pad and slurry due to possible damage or degradation of their properties. This is another reason for using the oxide hard mask to protect the low-k dielectric. Moreover, this process flow forms a thin layer of dielectric (e.g., oxide) liner on the sidewalls of the dielectric trenches and via holes in order to protect a low-k dielectric from plasma etching and also to provide a good sidewall surface for deposition of the barrier layer. For IMD layers, the multi-layer stack comprises Si 3 N 4 , low-k dielectric, thin SiO 2 , low-k dielectric, and thin SiO 2 .
  • dielectric e.g., oxide
  • the lower and upper low-k dielectric layers house the via plugs and the inlaid interconnect metal lines on each level, respectively.
  • the lower silicon nitride layer (thin nitride) is used as a dielectric diffusion barrier to encapsulate the lower level copper interconnect lines.
  • the middle thin SiO 2 layer is used as an etch-stop layer during the formation of the metal trenches.
  • FIGS. 6 and 7 Two closely related preferred flows of invention (preferred process flow embodiments) are shown in the flowchart 100 of FIG. 6 and flowchart 150 of FIG. 7.
  • BEOL back-end-of-the-line
  • FEOL front-end-of-the-line
  • step 102 The next step is to deposit a blanket layer of a suitable dielectric diffusion barrier material which is also highly resistant against typical etch chemistries (e.g., HF-based etchants) used for oxide etching.
  • etch chemistries e.g., HF-based etchants
  • a layer of silicon nitride e.g., 2000 ⁇ to 5000 ⁇ Si 3 N 4
  • thermal CVD PECVD
  • PVD PVD
  • This layer will serve as a dense etch-resistant layer used for protection of the active transistor devices and isolation structures during subsequent etching of the disposable inter-level and inter-metal oxide layers.
  • this thick and dense etch-resistant dielectric barrier layer prevents any copper diffusion into the silicon substrate and active device regions during the BEOL interconnect processing.
  • suitable dielectric materials e.g., AlN or diamond-like carbon or DLC may be used instead of Si 3 N 4 for this material layer.
  • the first disposable interlevel dielectric (ILD 1 ) layer is deposited (step 106 ). This involves preferably a silicon dioxide layer to be deposited by CVD, PECVD, or even PVD at step 108 .
  • dielectric CMP and post-CMP cleaning processes are performed (step 108 ) to form a globally planarized dielectric surface. This will provide a globally planar wafer surface throughout the multilevel interconnect fabrication process flow.
  • a multi-layer disposable dielectric stack e.g., SiO 2 /SiON/SiO 2
  • CVD PECVD
  • PVD PVD
  • the stack includes upper and lower silicon dioxide layers separated by a thin etch-stop (or etch end-point detection) layer of a different material such as silicon oxynitride (SiON) or aluminum oxide (Al 2 0 3 ) or another suitable disposable material.
  • a thin etch-stop (or etch end-point detection) layer of a different material such as silicon oxynitride (SiON) or aluminum oxide (Al 2 0 3 ) or another suitable disposable material.
  • the thin intermediate layer of oxynitride will be used an etch end-point marker during subsequent formation of the metal line trenches by CUSS anisotropic reactive-ion etching.
  • a microlithography patterning process and subsequent dielectric RIE (anisotropic etching) process are performed, as shown at step 112 , to form the contact holes.
  • the first level metallization is performed by sequential deposition of the barrier layer (e.g., Ta, TaN, WN x , or TiN by PVD or CVD) as shown in step 116 , and a copper layer (by MoCVD, PVD, and/or plating), as indicated in step 118 .
  • the barrier layer e.g., Ta, TaN, WN x , or TiN by PVD or CVD
  • a copper layer by MoCVD, PVD, and/or plating
  • fabrication of the next interconnect level proceeds by deposition of a suitable multi-layer disposable dielectric stack, preferably SiON/SiO 2 /SiON/SiO 2 , formed by CVD, PECVD, or PVD (step 122 ).
  • a suitable multi-layer disposable dielectric stack preferably SiON/SiO 2 /SiON/SiO 2 , formed by CVD, PECVD, or PVD (step 122 ).
  • the silicon oxynitride (SiON) layers are relatively thin compared to the upper and lower SiO 2 layers (e.g., 100 ⁇ to 500 ⁇ ) and are used as etch-stop layers during subsequent RIE etch processes for formation of the via holes and metal line trenches.
  • Other suitable materials such as aluminum oxide may be used instead of SiON as etch-stop layers.
  • steps 124 and 126 two sequential steps of microlithography patterning and anisotropic RIE processes are performed for formation of the via holes and the interconnect metal line trenches.
  • a layer of copper is deposited (step 128 ), by MOCVD, PVD, and/or plating to fill the via holes and interconnect metal line trenches. Note that at this stage copper can be deposited directly on the patterned structure without a need for a diffusion barrier layer, thus, simplifying the interconnect process flow.
  • an adhesion promotion glue layer may be deposited on the surface prior to deposition of copper.
  • step 130 copper CMP and post-CMP clean processes are performed, at step 130 , in order to form the embedded via copper plugs and inlaid interconnect metal lines.
  • the repetitive steps of multi-layer dielectric stack deposition, fabrication of via holes and metal line trenches, copper deposition, and copper CMP (and post-CMP clean) are performed multiple times until all the necessary interconnect levels are fabricated, as verified at step 132 .
  • a top etch-resistant dielectric layer preferably a silicon nitride layer (on the order of 2000 ⁇ to 1 ⁇ m thick), is deposited by CVD, PECVD, or PVD, at step 134 .
  • FIG. 8 illustrates the example of a cross-sectional view of a multi-level interconnect structure (shown with six levels of copper interconnect) at this stage in the interconnect fabrication process flow.
  • a microlithography patterning process and a subsequent anisotropic RIE process are performed (see step 136 ) in order to form etchant transmission windows or openings within the top dielectric layer.
  • the schematic diagrams on pages FIGS. 9, 10, 11 and 12 show several possible layout patterns of the etchant transmission windows, formed within the top etch-resistant dielectric layer.
  • the etchant transmission window pattern comprises openings or windows (squares, rectangular, circular, etc.) with at least one minimum-geometry in-plane dimension.
  • the pattern of FIG. 9 shows an array of closely-spaced square windows.
  • FIG. 10 For a 0.18 ⁇ m technology node, these windows may have 0.18 ⁇ m ⁇ 0.18 ⁇ m areas and the adjacent windows may be separated by 0.18 ⁇ m.
  • the alternative pattern in FIG. 10 comprises an array of circular holes. Again, the holes may have minimum-geometry diameters (e.g., 0.18 ⁇ m diametric dimensions for circular holes separated by 0.18 ⁇ m from each other in a 0.18 ⁇ m technology).
  • FIGS. 10 and 11 show two alternative etchant transmission window patterns comprising rectangular windows with larger overall transmission window area ratios (ratio of total area of the windows to the total surface area).
  • the smaller side dimensions of these rectangular windows are preferably the same as the resolution of the microlithography tool (e.g., 0.18 ⁇ m for a 0.18 ⁇ m technology), while the larger side dimensions (lengths of rectangular windows) may be several to tens to even hundreds of microns ( ⁇ m).
  • the idea is to have an etchant transmission window pattern which provides a relatively large transmission area ratio (preferably ⁇ 50%) and can be 4 ; subsequently hermetically sealed using a simple deposition process without a significant impact on the interconnect metallization structure.
  • the thickness of the top etch-resistant layer e.g.
  • Si 3 N 4 or AIN layer deposited by CVD, PECVD, or PVD or any other vapor deposition process is several times larger than the smaller side dimension of the etchant transmission unit cells.
  • etchant transmission window cells square, circular, rectangular, or any other shape
  • 0.18 ⁇ m minimum in-plane dimension e.g., rectangular unit cells, with 0.18 ⁇ m ⁇ 5 ⁇ m window size
  • a highly selective etch (preferable a wet etch process such as HF-based etch) is performed at step 138 in order to selectively remove the entire multi-level disposable silicon dioxide dielectric structure.
  • This etch chemistry and the resulting etch byproducts easily pass through the etchant transmission windows (or unit cells) facilitating the etch process.
  • the selective etchant can easily remove the entire multi-level oxide and oxynitride dielectric stack bound between the lower etch-resistant layer (e.g., silicon nitride or aluminum nitride or DLC) and the upper etch-resistant patterned (e.g., also silicon nitride, aluminum nitride, or DLC) layer.
  • the selective etchant e.g., HF-based wet etchant
  • the selective etchant does not or should not attack the metallization structure and may remove only a very small fraction of the top and bottom etch-resistant layers (e.g., silicon nitride, aluminum nitride, or DLC).
  • the preference is to use an etchant with sufficiently high selectivity (>100:1) to the disposable ILD/IMD materials compared to the etch-resistant material which limits the thickness removal of the top and bottom etch-resistant layers (e.g., silicon nitride or aluminum nitride or DLC or another suitable dielectric) to preferably ⁇ 1000 ⁇ .
  • the schematic cross-sectional diagram of FIG. 13 shows the resulting device structure after the selective removal of the disposable oxide dielectric layers. As shown, the multi-level copper interconnect structure is now surrounded by free-space medium within the structure between the top and bottom etch-resistant (e.g., silicon nitride) layers.
  • the multi-level copper interconnect structure is mechanically supported by its own line and plug interconnections as well as the top and bottom boundary planes defined by the top and bottom etch-resistant silicon nitride layers which have sealed contacts to the top metal level and bottom contact plugs, respectively.
  • a plurality of metallic columns made of stacked dummy contact and via plugs may be used to provide additional mechanical support between the top and bottom etch-resistant layers (this is optional but not necessary.)
  • step 140 it is possible to perform an optional thermal anneal as shown at step 140 (e.g., at a temperature between 250° C. and 400° C.) in order to form large grains and preferred highly oriented texturing in the interconnect structure for improved electromigration lifetime, and enhanced metallization conductivity as well as to relieve any residual stresses.
  • This optional thermal anneal process may also be used to form a large-grain multi-level copper metallization system with “bamboo-type” microstructure for maximum electromigration lifetime reliability improvement.
  • the first deposition process is a substantially conformal (CVD or PECVD) deposition process for deposition of a controlled thickness (e.g., 50 ⁇ to 200 ⁇ ) of a dielectric material such as SiO 2 , Si 3 N 4 , AlN, Al 2 O 3 , etc.
  • the preferred material is silicon dioxide.
  • This conformal deposition process deposits a thin (e.g., 50 ⁇ to 200 ⁇ ) layer of encapsulating dielectric material such as SiO 2 over all the exposed surface areas of the multi-level metallization structure (metal lines and plugs).
  • This deposition process also deposits a thin layer of the conformal dielectric over the exposed surfaces of the top etch-resistant patterned dielectric (e.g., silicon nitride), including the etchant transmission windows as well as a thin layer over the bottom etch-resistant layer.
  • the amount of conformal dielectric thickness can be deliberately chosen to be small enough such that it is not sufficient to completely seal the etchant transmission windows or the top patterned etch-resistant insulator.
  • the main purpose of this conformal dielectric (e.g., silicon dioxide) deposition step is to prevent or suppress thermionic emission and/or low-voltage electrical breakdown between the adjacent intra-level and inter-level metal lines and/or plugs through the free-space medium.
  • the second deposition step is preferably a substantially nonconformal or directional deposition step (with poor step coverage) used to form a hermetically-sealed top insulating passivation overlayer.
  • PVD plasma sputtering
  • PECVD plasma sputtering
  • other processes such as jet-vapor deposition or laser ablation
  • the deposited material has excellent diffusion barrier properties against ionic contamination and moisture, and also has a relatively high thermal conductivity.
  • this nonconformal or directional deposition may involve an atmospheric deposition process (preferably a thermal CVD process with helium carrier gas or an atmospheric laser ablation deposition process using a suitable target material in an inert atmosphere) for deposition of silicon nitride, aluminum nitride, DLC, or another suitable material.
  • an atmospheric deposition process preferably a thermal CVD process with helium carrier gas or an atmospheric laser ablation deposition process using a suitable target material in an inert atmosphere
  • silicon nitride aluminum nitride, DLC, or another suitable material.
  • FIG. 14 show an example of the evolution of the top dielectric layer starting from a patterned layer comprising etchant transmission windows and ending as a hermetically-sealed structure with-fully sealed windows (the top and bottom figures show the cross-sectional diagrams of the top dielectric layer before and after the conformal/non-conformal dielectric deposition processes).
  • Another practical process sequence for the hermetic sealing of the interconnect structure is as follows: (i) perform an atmospheric low-temperature silicon dioxide deposition (partially conformal) step using SiH 4 /N 2 O in a helium carrier gas in order to deposit a thin layer of oxide on the metallization structure and to seal the etchant transmission windows; (ii) Deposit a layer of silicon nitride (or silicon oxynitride) as passivation overlayer (this may be a ⁇ 5000 ⁇ thick layer deposited by PECVD); (iii) Deposit a layer (e.g., 5000 ⁇ to over 1 ⁇ m thick layer) of high-thermal conductivity insulating material, preferably aluminum nitride or DLC, by a suitable deposition process (preferably RF magnetron sputtering or PECVD).
  • a suitable deposition process preferably RF magnetron sputtering or PECVD
  • This exemplary process sequence results in complete hermetic sealing of the chip interconnect structure by re-producing a continuous top passivation layer. Moreover, this process sequence results in a helium-filled free-space intermetal/interlevel dielectric medium.
  • the atmospheric helium free-space dielectric medium provides an excellent heat transfer medium within the multi-level interconnect structure; it also further suppresses any thermionic emission (resulting in electrical leakage currents) or gas breakdown effects due to the intra-level and inter-level voltages between the adjacent metal lines and conductive plugs.
  • the example above shows the typical process flow for formation of a hermetically-sealed continuous dielectric layer on the top in conjunction with a hermetically sealed helium-filled (e.g., at or near atmospheric pressure) free-space interlevel/intermetal dielectric medium.
  • a hermetically sealed helium-filled (e.g., at or near atmospheric pressure) free-space interlevel/intermetal dielectric medium e.g., at or near atmospheric pressure) free-space interlevel/intermetal dielectric medium.
  • the free-space helium pressure can be increased to above atmospheric pressure (e.g., 1 to 5 atmospheres) by c 0 performing the directional deposition (e.g., laser ablation) process (see FIG. 14) in a pressurized process chamber filled with higher pressure helium.
  • the preferred method and structure of this embodiment employ atmospheric or near-atmospheric helium (or another suitable inert gas such as argon) to fill the sealed free space interconnect dielectric ILD/IMD volume.
  • atmospheric or near-atmospheric helium or another suitable inert gas such as argon
  • Lower helium gas pressures e.g., 1 Torr to 1 atm.
  • other types of gas e.g., argon, nitrogen, hydrogen, etc.
  • a gas-filled free-space dielectric region is preferred over a near-vacuum free-space medium, both due to thermal management (efficient heat removal) and dielectric breakdown considerations.
  • a helium-filled free-space medium 16 preferably at or near atmospheric He pressure
  • provides a much superior heat transfer medium in conjunction with the high-thermal conductivity copper metallization structure as well as the high-thermal-conductivity top and bottom etch-resistant layers
  • a superior breakdown-resistant interconnect structure in conjunction with the high-thermal conductivity copper metallization structure as well as the high-thermal-conductivity top and bottom etch-resistant layers
  • a superior breakdown-resistant interconnect structure in conjunction with the high-thermal conductivity copper metallization structure as well as the high-thermal-conductivity top and bottom etch-resistant layers
  • a superior breakdown-resistant interconnect structure in conjunction with the high-thermal conductivity copper metallization structure as well as the high-thermal-conductivity top and bottom etch-resistant layers
  • the next fabrication module is a microlithography patterning step (step 144 ), followed by an anisotropic dielectric RIE process in order to form the bonding pad windows by etching openings in the top passivation overlayer.
  • the schematic diagram on FIG. 15 shows the multi-level copper interconnect structure after this patterning and etch step.
  • This structure includes multi-level copper interconnects bound between the bottom dielectric diffusion barrier layer and the top high-thermal-conductivity hermetic sealing layer.
  • the metallization structure is surrounded by a sealed free-space medium filled with an inert gas such as helium.
  • the wafer is ready for dicing and packaging, at step 146 (such as flip-chip packaging).
  • the multi-level interconnect structure is fully hermetically sealed with an embedded free-space (preferably filled with He) ILD/IMD medium. This structure provides the highest level of interconnect electrical performance and reliability lifetime far superior to any interconnect structure comprising other low-k dielectric materials.
  • the process flow of the preferred embodiment of the present invention employs one extra microlithography masking step for formation of the etchant transmission windows in the top etch-resistant layer.
  • the process flow of FIG. 6 shows that two separate masking steps are used for formation of the etchant transmission windows and the bonding pad windows (resulting in the need for one extra masking step).
  • Process flow 150 of FIG. 7 is essentially similar to the first embodiment (shown in FIG. 6) through the copper CMP and post-CMP cleaning processes for the last (topmost) level of copper interconnect, i.e. step 132 .
  • the top etch-resistant dielectric layer (or multi-layer material stack) is deposited by CVD, PVD, and/or PECVD, at step 134 .
  • a layer of dense silicon nitride e.g., 5000 ⁇ to ⁇ 1 ⁇ m thick
  • a bi-layer of Si 3 N 4 /AlN e.g., 5000 ⁇ to over 1 ⁇ m silicon nitride followed by 5000 ⁇ to over 1 ⁇ m aluminum nitride
  • PECVD and/or PVD a layer of dense silicon nitride
  • Si 3 N 4 /AlN e.g., 5000 ⁇ to over 1 ⁇ m silicon nitride followed by 5000 ⁇ to over 1 ⁇ m aluminum nitride
  • a microlithography patterning process and a subsequent anisotropic dielectric RIE process are performed sequentially to form the etchant transmission windows (corresponding to a suitable pattern such as one of those examples shown in FIGS. 9 - 12 ), and also the bonding pad windows at step 152 .
  • this masking step combines the layouts of the etchant transmission windows and the bonding
  • the disposable silicon dioxide ILD/IMD layers are selectively removed using a highly selective wet etchant at step 138 .
  • the copper metallization structure is coated with a controlled thin layer (e.g. 50 ⁇ to 200 ⁇ ) of silicon dioxide (or silicon nitride or another preferably insulating material) and the interconnect structure is hermetically sealed using the multi-step (e.g., two or three step) conformal/nonconformal dielectric deposition processes (step 142 ), as described in detail in association with FIG. 6.
  • the next fabrication process step is a blanket plasma (e.g., RIE) dielectric etch-back process until the bonding pads are re-exposed, at step 144 .
  • This etch-back process at step 144 , can be easily endpointed using an optical etch end-point detection method (e.g., laser reflectance endpoint). Finally, the wafer is diced into IC chips and the chips are packaged using a suitable packaging technology. Based on this alternative second embodiment of this invention, the total number of microlithography masking step for an N-level interconnect structure is 2N+1, which is the same as the number of masks required in conventional prior art interconnect process flows.
  • the first embodiment of this invention (shown in FIG. 6) employs 2N+2 microlithography masking steps.

Abstract

Ultra high-speed multi-level interconnect structure and fabrication process flows are disclosed for a semiconductor integrated circuit chip. The interconnect structures of this invention include a plurality of electrically conductive metallization levels. Each of the metallization levels includes a plurality of electrically conductive interconnect lines. A plurality of electrically conductive plugs make electrical connections between various metallization levels as well as between the metallization levels and the semiconductor devices fabricated on the semiconductor substrate. The invention further includes a free-space medium occupying at least a substantial fraction of the electrically insulating regions within the multi-level interconnect structure surrounding the interconnect lines and plugs. A top passivation overlayer hermetically seals the multi-level interconnect structure. The top passivation overlayer used for hermetic sealing also functions as a heat transfer medium to facilitate heat removal from the interconnect metallization structure as well as to provide additional mechanical support for the multi-level interconnect structure through contact with the top metallization level of the multi-level interconnect structure. The hermetically sealed free-space medium minimizes the capacitive cross-talk noise in the interconnect structure, enabling increased chip operating speeds and reduced chip power distribution.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor integrated circuits and, more particularly, to structures and methods of fabricating integrated circuit interconnect devices. Even more particularly, the present invention relates to an ultra high-speed chip interconnect structure and methods of forming such a structure that integrates free-space intermetal and interlevel dielectric regions with at least one high-conductivity interconnect conductor for the purpose of establishing optimally low permittivity between adjacent intralevel and interlevel conductor lines and plugs. This invention offers improved interconnect structures and methods which will reduce the parasitic effects of the interconnect structure and enable significant enhancements of the semiconductor integrated circuit speed and operational reliability. The structures and methods of this invention also allow simplification at the interconnect process flow, resulting in reduced chip manufacturing cost. [0001]
  • BACKGROUND OF THE INVENTION
  • The speed and reliability performance parameters of state-of-the art semiconductor integrated circuit (IC) chips are mostly governed by the on-chip interconnects. Advanced semiconductor IC chips employ multi-level on-chip interconnects usually comprising aluminum (usually an alloy of aluminum comprising approximately 0.5% to 2% copper for improved electromigration reliability lifetime) metal lines, aluminum (again typically doped with copper) or tungsten plugs (for inter-level/inter-metal contact/via holes), and silicon dioxide (or fluorinated silicon dioxide SiO[0002] xFy) or a combination of silicon dioxide with an organic low-permittivity (low-k) dielectric used as inter-metal and inter-level dielectrics. The speed performance of advanced semiconductor IC chips such as high-end microprocessors and digital signal processors (DSP) fabricated using 0.25 μm complementary metal-oxide-semiconductor (CMOS) technologies and beyond is limited by the interconnect signal propagation delays. The signal propagation delay for advanced interconnects is limited by the parasitic resistive, capacitive, and inductive elements. These include the interconnect metal “RC” delays, capacitive cross-talks or cross-talk noise between adjacent metal lines (due to voltage pulses), as well as inductive noise and cross-talks (due to voltage pulses).
  • As the device dimensions are scaled down, the metal interconnect line widths and pitches are also scaled down, accordingly. The maximum density (areal density) of metal interconnect lines on each interconnect level is limited by the minimum electrical conductivity requirements of the metal lines as well as the upper limits on the maximum allowable signal cross-talks. As the density of the metal interconnect lines on each interconnect level increases, the adjacent metal lines are placed closer to each other and the widths of the metal lines is also reduced. As the minimum feature size of the semiconductor (e.g., silicon CMOS) IC technologies is reduced to 0.25 μm and beyond, the “RC” propagation delays and the capacitive cross-talk noise have a significant impact on the speed performance of the IC chips, such as in high-end microprocessor and digital signal processor (DSP) chips. These problems place serious constraints on the minimum width (and thickness) of the metal lines and the minimum metallization layout pitches (or the minimum inter-line spacings), particularly on the interconnect levels which contain the long-range global interconnect lines (for instance, for signal or clock distribution) and/or power distribution. [0003]
  • The interconnect design rule constraints caused by the IC chip speed performance (and electromigration reliability lifetime) requirements result in an increase in the number of interconnect levels, particularly for complex logic chips such as high-end/high-speed microprocessors and digital signal processors. For instance, state-of-the-art CMOS logic technologies with minimum feature size of 0.20 to 0.25 μm may utilize as many as six or more levels of metal interconnects. Each additional level of metal interconnect adds significantly to the overall process flow complexity and chip manufacturing cost. This is due to both increased number of fabrication process steps in the process flow and the manufacturing yield reduction associated with a more complex and lengthy process flow. [0004]
  • Another limitation associating with existing interconnect structures arises because metal resistivity significantly contributes to the chip speed constraints and even the overall manufacture cost. The use of a higher conductivity metal such as copper instead of aluminum, since the bulk resistivity of copper is approximately 1.78 μΩ·cm versus approximately 2.7 μΩ·cm for aluminum, results in a significant reduction of the interconnect “RC” propagation delay for a given metal interconnect width and thickness. On the other hand, for a given interconnect line parasitic resistance, a higher metal conductivity (e.g., Cu instead of Al) allows the use of thinner metal lines on each interconnect level for a given metal line width. This, in turn, enables closer spacings between the adjacent metal lines or equivalently, a higher areal density of metal interconnect lines on each level for a given distribution of intra-level capacitive signal cross-talks. [0005]
  • The higher interconnect line densities on various interconnect levels enable a reduction in the number of required interconnect levels for a given chip speed performance. This results in reduced process complexity and cost. Alternatively, a higher conductivity conductor (e.g., copper instead of Al) can be used to not only reduce the process complexity and cost through reduction of the number of interconnect levels, but also to improve the chip speed performance. This can be done by both reducing the metal line resistance, increasing the interconnect metal line resistance, and increasing the interconnect metal line areal density. [0006]
  • For example, in an advanced 0.18 μm microprocessor logic chip, for a given maximum speed or clock frequency (e.g., an approximately 600-MHz microprocessor), comprising eight levels of Al metal interconnects, replacing Al with Cu accomplishes a number of desireable results. For instance, it is possible to reduce the process complexity and chip fabrication cost by, perhaps, approximately 30% while achieving the same speed performance of approximately 600 MHz. This can be achieved by reducing the number of interconnect levels from 8 to 6 and also due to the reduced number of process steps per level for copper interconnect compared to aluminum interconnect. It is also be possible to reduce the process complexity and chip fabrication cost by, perhaps, approximately 15-20%, while also improving the chip speed performance by, for instance, approximately 10% to approximately 660 MHz. For example, this may be achieved by reducing the number of metal interconnect levels from 8 to 7 and also reducing the resistance of the metal lines at the same time. [0007]
  • Besides the interconnect metal, the inter-metal/inter-level dielectric layers (IMD and ILD layers) also have a significant impact on the IC chip performance speed as well as manufacturing cost. The dielectric constant (i.e. relative dielectric constants with respect to free space) of the IMD/ILD material layers impacts not only the “RC” propagation delays but also the intra-level and inter-level capacitive cross-talks. [0008]
  • The mainstream ILD/IMD materials in silicon chip manufacturing are silicon dioxide (SiO[0009] 2) and/or derivatives of silicon dioxide (such as fluorinated silicon dioxide: SiOxFy) with k values in the range of 3.2 to over 4.0. There has been a significant amount of materials research on low-k dielectrics. The lowest practical k values to date have been reported for some spin-on organic dielectrics and porous aerogels/xerogels. The practical low-k dielectrics developed to date have k values in the range of 2.0 to 3.2. These low-k dielectrics, however, complicate the back-end interconnect process integration due to their inferior thermal stability as well as their electrical, mechanical and thermal conductivity properties compared to silicon dioxide.
  • FIG. 1 illustrates a side view of an [0010] interconnect structure 10 that includes a low-k organic ILD/IMD material layer 12 and trench 14. SiO2 layer 16 covers ILD/ILD substrate 12. Covering trench 14 and top SiO2 layer 16 is conformal SiO2 buffer layer 18. The formation of organic low-k dielectric layer 12 also complicate the single or dual damascene processes commonly used for fabrication of copper interconnects due to the difficulties associated with their incompatibility with chemical-mechanical polishing (or CMP) processes used for copper and barrier removal during the interconnect fabrication process. As a result, most organic low-k dielectrics employ a suitable hard mask layer such as silicon dioxide for single or dual-damascene interconnect fabrication processes in order to facilitate formation of dielectric trenches and via holes for the embedded (inlaid) metal (e.g., copper) lines.
  • The optimal integration of most organic low-k dielectrics requires deposition of a thin conformal layer of, for instance, silicon dioxide, such as SiO[0011] 2 layer 18, followed by an anisotropic oxide etch process in order to cover the trench and via hold sidewalks with a thin layer of high-quality silicon dioxide dielectric, such as SiO2 layer 18. This prevents a direct contact between the low-k dielectric and the deposited glue/barrier layer and may improve the overall breakdown voltage and leakage characteristics of the composite ILD/IMD layers. This requirement adds to the complexity and fabrication cost of the IMD/ILD integration. Moreover, the effective relative dielectric constant of the composite IMD/ILD layers is somewhat higher than that of the low-k dielectric by itself. This is due to the requirements for the hard mask and sidewalk oxide coverage.
  • One attempt to provide a lowest possible relative permittivity or k value has been to use free space dielectric between interconnects. Free space provides the best possible dielectric since it provides k=1. This is a factor of approximately 4 times better than silicon dioxide and even a factor of 2 to 3 better than the best practical low-k dielectric materials. As a result, for a given metal conductivity and sheet resistance distribution, the free-space dielectric results in a significant reduction of the interconnect “RC” propagation delays and capacitive cross-talk noise. [0012]
  • The main challenges with the free-space dielectric IMD/ILD integration are the ability to remove heat from the multi-level interconnect structure and the ability to form a hermetically sealed chip packages protecting the multi-level interconnect structure and the active devices on the substrate. [0013]
  • The prior art multi-level interconnect structures (using either silicon dioxide or any solid ILD/IMD low-k material layer) typically require an effective glue/barrier layers. This is particularly critical for a high electrical conductivity material such as copper (or silver) since copper (or silver or gold) act as electrical trap centers in silicon and can severely degrade the transistor properties such as transconductance, junction leakage, standby power dissipation and reliability lifetime. Moreover, copper, as well as some other metallic elements such as gold and silver can cause severe degradation of the ILD/IMD layers adversely affecting their electrical leakage and breakdown properties. As a result, the prior art silicon chip interconnect structures and fabrication process flows employ conductive diffusion barrier layers (such as TiN, Ta, TaN, TiSiN, TaSiN, WN, WSiN, MoN, or MoSiN). The long-term chip reliability lifetime and chip manufacturing yield requirements place limits on the minimum thickness of the barrier material for such devices. [0014]
  • As the chip IC device dimension are scaled down, the width of the metal lines and also the dimensions or diameters of the via plugs are also reduced, whereas the thickness of the diffusion barrier layer is scaled down more slowly. Thus, with each successive technology generation, the barrier material thickness (and cross sectional area) becomes a larger fraction of the conductive interconnect lines. One example of this phenomenon can be examined in the case of dual-damascene copper interconnects. In IC chips with copper metallization, a larger fraction of the diameter of the conductive via plug is also consumed by the barrier material. For instance, for a damascene trench width of 0.20 μm and a conformal diffusion barrier thickness of 250 Å (deposited, for example, by a conformal chemical-vapor deposition or CVD process), the high-conductivity metal (e.g., copper with a resistivity of approximately 1.8 μΩ·cm) only occupies a metal line width or a via plug diameter-of only 0.15 μm, due to the peripheral space occupied by the diffusion barrier layer. Since the typical diffusion barrier layers have much higher electrical resistivity values compared to the high-conductivity interconnect metals (e.g., in the range of approximately 150-250 μΩ·cm for Ta and TaN diffusion barriers vs. approximately 1.8 μΩ·cm for copper), the diffusion barrier layer degrades the overall interconnect metal line resistance, as well as via plug resistance values. For instance, FIG. 2 shows damascene [0015] dielectric trench structures 20 and 22 (e.g., for fabrication of embedded copper metal line) with a width W and height H.
  • In damascene dielectric trench structure [0016] 22 (FIG. 2b), trench 24 is filled entirely with the high conductivity metal line having electrical resistivity of ρm. On the other hand, damascene trench structure 20 (FIG. 2a) includes barrier layer 26 (shown as a conformal layer) with a layer thickness tb and a material resistivity of ρb in trench 28. The high conductivity metal line 28 occupies the remaining space surrounded by the barrier layer. Assuming ρb>>ρm, which is typically the case in practice, we can compare the total conductor line resistance per unit length for these two conditions:
  • R[0017] 1 Δconductor line resistance per unit length without the barrier layer (FIG. 2b);
  • R[0018] 2 Δconductor line resistance per unit length with the barrier layer (FIG. 2a) R 1 = ρ m W · H , R 2 = [ Pm ( W - 2 t b ) ( H - t b ) ] R2m [ Pb t b ( 2 H + W ) ] R2b Two resistive components in parallel
    Figure US20040061229A1-20040401-M00001
  • Since ρ[0019] b>>ρm, the conclusion follows that R2b>>R2mand, as a result, R 2 R 2 m = ρ m ( W - 2 t b ) ( H - t b )
    Figure US20040061229A1-20040401-M00002
    R 2 ρ m W H + 2 t b 2 - t b W - 2 t b H R 2 ρ m W H - t b ( W + 2 H ) + 2 t b 2 1 R 2 W H + 2 t b 2 - t b ( W + 2 H ) ρ m 1 R 2 = W H ρ m + 2 t b 2 - t b ( W + 2 H ) ρ m 1 R 2 1 R 1 - [ t b ( W + 2 H - 2 t b ) ρ m ]
    Figure US20040061229A1-20040401-M00003
  • For instance, assume W=0.25 μm, H=0.50 μm, t[0020] b=250 Å (0.025 μm), and Pm≅2 μΩ·cm: R 1 = ρ m W · H = 2 × 10 - 6 Ω · cm ( 0.25 × 10 - 4 cm ) ( 0.50 × 10 - 4 cm ) R 1 = 1600 Ω / cm ( without barrier ) R 2 2 × 10 - 6 Ω · cm ( 0.25 - 0.05 ) ( 0.50 - 0.025 ) × 10 - 8 = 200 0.20 × 0.475 Ω / cm R 2 = 1000 0.475 Ω / cm 2105 Ω / cm with barrier
    Figure US20040061229A1-20040401-M00004
  • R1=1600 m Ω/cm, R2≅Ω/cm
  • As a result, in this example, the presence of the barrier layer has degraded the effective interconnect line resistance by over 30% which is a significant amount of interconnect conductor conductivity loss. [0021]
  • Similarly, the barrier layer can also degrade the effective via plug resistance. For instance, FIG. 3 shows via [0022] plugs 30 and 32 connecting the metal lines between two adjacent interconnect levels. Via plug 30 (FIG. 3a) includes metal plug between metal lines 34 and 35 which is fully surrounded at the bottom and sidewalls by the barrier layer 36. Via plug 32 of FIG. 3b, on the other hand, shows an ideal situation without a barrier layer surrounding metal plug 32 (connecting metal lines 38 and 40).
  • Assume the via hole (cylindrical via hole) has a diameter of D and a height of H. We can also define the following parameters: [0023]
  • R[0024] p 2 Δeffective via plug resistance with the barrier layer (FIG. 3a); and
  • R[0025] p 1 Δeffective via plug resistance without the barrier layer (FIG. 3b).
  • Also, assume that the via plug metal has a resistivity of ρ[0026] m (1.8 μ·m), which is preferably the same as that of the interconnect metal lines on levels N and N-1). Moreover, assume that the barrier layer is conformal, has a thickness of tb, and a resistivity of ρb. Moreover, assume that ρb>>ρm. Let's calculate Rp 1 and Rp 2 for the two via plug structures of FIGS. 3a and 3 b: R p 1 = ρ m H ( Π D 2 4 ) = 4 ρ m H Π D 2 R p 2 { ρ m ( H - t b ) [ Π ( D - 2 t b ) 2 4 ] } ρ b ( H - t b ) { Π 4 [ D 2 - ( D - 2 t b ) 2 ] } two resistive components in parallel + 2 R c + ρ b t b ( Π D 2 4 ) Since ρ b >> ρ m R p 2 4 ρ m ( H - t b ) Π ( D - 2 t b ) 2 + 4 ρ b t b Π D 2 + 2 R c
    Figure US20040061229A1-20040401-M00005
  • Where Rc is the effective contact resistance at each interface between the barrier layer and either the via metal plug or the underlying metal line. As an example, assume D=0.25 μm, H=0.75 μm, t[0027] b=250 Å (0.025 μm), and Pm≅2 μΩ·cm (ρb>>ρm). Assume that ρb=200 μΩ·m. Rp 1 and Rp 2 can be calculated as follows: R p 1 = 4 × 2 × 10 - 6 Ω · cm × 0.75 × 10 - 4 cm Π ( 0.25 × 10 - 4 cm ) 2 = 6 × 10 - 10 Ω cm 2 Π × 0.25 2 × 10 - 8 cm 2 = 96 × 10 - 2 Π Ω 0.305 Ω
    Figure US20040061229A1-20040401-M00006
  • Thus, R[0028] p 1 ≅0.305 Ω which is the plug resistance for the ideal case without the barrier layer. R p 2 4 × 2 × 10 - 6 ( 0.75 - 0.025 ) × 10 - 4 Ω · cm 2 Π [ ( 0.25 - 2 × 0.025 ) × 10 - 4 ] 2 cm 2 + 4 × 200 × 10 - 6 × 0.025 × 10 - 4 Ω · cm 2 Π ( 0.25 × 10 - 4 ) 2 cm 2 + 2 R c = 8 × 0.725 × 10 - 10 Π × 0.20 2 × 10 - 8 + 2 × 10 - 9 Π × 0.25 2 × 10 - 8 + 2 R c Ω R p 2 = 5.8 × 10 - 2 Π × 0.040 + 2 × 10 - 1 Π × 0.25 2 + 2 Rc Ω = 0.462 + 1.019 + 2 Rc Ω
    Figure US20040061229A1-20040401-M00007
  • Thus, R[0029] p z =1480+2RcΩ, which is the plug resistance for the via plug structure comprising the barrier layer.
  • It can be seen that even without including the contact resistance contribution 2Rc (due to the two barrier/metal contact interfaces in each plug), the barrier layer results in a significant degradation of the overall via plug resistance. This effect, in turn results in the degradation of the chip speed due to the increased “RC” propagation delays in the interconnect structure. [0030]
  • In light of the above information, therefore, there is need for a semiconductor IC chip interconnect structure and a related fabrication process flow which can significantly reduce the parasitic resistive and capacitive elements, as well as the related “RC” propagation delays and interconnect capacitive cross-talks. Satisfying this need will enable much faster chip operations and/or lower chip power consumption. [0031]
  • Moreover, there is a need for an improved chip interconnect structure and related process flow which can enable a reduction of the total number of on-chip interconnect levels required for fabrication of high performance semiconductor IC chips. Satisfying this need results in a reduction in the chip fabrication process flow complexity, improving the manufacturing yield, and reducing the overall production costs. [0032]
  • There is the need for an interconnect structure and a related interconnect fabrication process flow which enable the use of a lowest possible dielectric permittivity for IMD/ILD applications. [0033]
  • There is a further need for an interconnect structure and related fabrication process flow that can eliminate the additional process complexities and fabrication cost associated with the integration of low-k dielectric materials by using free-space as the IMD/ILD layers. There is also a need for an advanced multi-level interconnect structure and a related fabrication process flow which enable efficient heat removal from the interconnect structure, and also allow formation of a fully hermetically sealed chip package. [0034]
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, an ultra-high-speed semiconductor IC chip interconnect comprising free-space dielectric medium is disclosed that substantially eliminates or reduces disadvantages and problems associated with previously developed and prior art multi-level interconnect structures and methods of fabrication. [0035]
  • According to one embodiment of the present invention, an ultra-high-speed multi-level chip interconnect structure is provided for a semiconductor IC chip that includes a plurality of electrically conductive metallization levels. Each of the metallization levels includes a plurality of electrically conductive interconnect lines or segments. A plurality of electrically conductive via and contact plugs make electrical connections between various metallization levels as well as between the metallization levels and the semiconductor devices. The invention further includes a free-space medium occupying at least a substantial fraction of the electrically insulating regions separating the conducting lines and plugs within the multi-level interconnect structure. A top passivation overlayer hermetically seals the multi-level interconnect structure and the underlying devices on the semiconductor substrate. The top passivation overlayer also functions as an effective heat transfer medium to facilitate heat removal from the interconnect structure as well as an additional mechanical support for the interconnect structure through a sealing contact with the top metallization level of the multi-level interconnect structure. [0036]
  • A technical advantage that the present invention provides is the practical use of a free-space interlevel/intermetal (ILD/IMD) dielectric medium. With the present invention, the interconnect structure provides reduced “RC” propagation delay and reduced capacitive cross-talk. [0037]
  • For an N-level (N≧1) interconnect structure, the present invention also provides the additional technical and economic advantages of reducing the number of diffusion barrier layers and corresponding deposition steps from N (in prior art methods) to one. This results in a significant interconnect process simplification and chip manufacturing cost reduction. [0038]
  • A further technical advantage of the present invention is its compatibility with and applicability to various types of interconnect metallization materials. This includes metals such as copper, gold, silver, aluminum, and various superconducting materials. [0039]
  • Compatibility with damascene (single damascene and dual-damascene) interconnect fabrication methods is also another technical advantage of the present invention. The present invention provides excellent thermal management and efficient heat dissipation removal capabilities. [0040]
  • Another technical advantage of the present invention is improved interconnect metal lead and plug conductances due to elimination of the need for all (but one) barrier layers (all via-level barrier layers can be eliminated). [0041]
  • The present invention provides the technical advantage of improved interconnect metallization electromigration lifetime due to homogeneous metallization structure with large-grain metal lines and contact/via plugs as well as direct, i.e., barrierless plug-to-metal line contacts between the via plugs and the adjacent metal lines on different interconnect levels. [0042]
  • The present invention further provides the technical advantage of eliminating the need to use low-k dielectric materials and the relatively complex and expensive process integration methods associated with them. [0043]
  • The present invention reduces the number of fabrication process steps per interconnect level by about four steps, as compared to prior art methods for forming damascene interconnect structures with advanced low-k dielectrics. [0044]
  • This invention also provides the technical advantage of a much improved chip reliability by eliminating the physical paths for diffusion of the metal atoms, such as copper or gold or silver into the active semiconductor devices. Moreover, the free-space ILD/IMD structure eliminates the possibility of ILD/IMD electrical breakdown field degradation due to metal atom diffusion into the insulating regions. This eliminates the need for the use of diffusion barrier layers to encapsulate the metallization structure at each interconnect level. [0045]
  • The present invention provides the technical advantage of hermetic sealing of the multi-level interconnect structure and semiconductor IC devices either under vacuum or with the interconnect structure free-space medium filled and hermetically sealed with a controlled pressure of a suitable gas such as an inert gas (e.g., helium or argon). [0046]
  • Still a further technical advantage of the present invention is excellent mechanical strength and integrity of the multi-level interconnect structure and overall semiconductor chip resulting from the inventive process. [0047]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete understanding of the present invention and advantages thereof may be acquired by reference to the following description taken in conjunction with the accompanying drawings in which like reference numbers indicate like features and wherein: [0048]
  • FIG. 1 illustrates the formation of a damascene trench in conjunction with an organic low-k dielectric layer according to a prior art method; [0049]
  • FIGS. 2[0050] a and 2 b shows two different inlaid copper interconnect lines with and without a conductive barrier layer;
  • FIGS. 3[0051] a and 3 b shows two different copper via plugs, one with a barrier layer, the other without a barrier layer, making electrical connections between two metal lines located on two different interconnect levels;
  • FIGS. 4 and 5 depict exemplary prior art processes for preparing a dual-damascene multi-level copper interconnect structure; [0052]
  • FIG. 6 is a process flow for one embodiment of the present invention (example shown for formation of a multi-level copper interconnect structure with free-space dielectric medium); [0053]
  • FIG. 7 provides an alternative process flow for another embodiment of the present invention, different in some respects to that of FIG. 6 (again example shown for formation of a multi-level copper interconnect structure with free-space dielectric medium); [0054]
  • FIG. 8 shows a multi-level interconnect structure formed as part of the process of the present invention (interconnect structure shown prior to completion of the final process steps for formation of free-space medium and hermetic sealing of the IC chips); [0055]
  • FIGS. 9 through 12 show alternative embodiments of the top layer etchant transmission openings or windows for fabrication of the free-space dielectric interconnect structure of the present invention. FIG. 9 shows an array of square shaped holes. FIG. 10 shows an array of circular holes. FIGS. 11 and 12 show two different arrays of rectangular-shaped holes.) [0056]
  • FIG. 13 depicts the interconnect structure of FIG. 8 following formation of the etchant-transmission window pattern on the top layer and after formation of the free-space dielectric medium for the purposes of illustrating one example of forming the free-space dielectric interconnect structure of the present invention; [0057]
  • FIG. 14 shows the top dielectric layer after formation of the etchant transmission windows used to enable formation of the free-space dielectric medium, and also following the subsequent deposition steps for hermetic sealing of the interconnect structure of the present invention; and [0058]
  • FIG. 15 shows the multi-level interconnect structure of the present invention after formation of the free-space dielectric medium, hermetically-sealed top layer, and the bonding pad windows. [0059]
  • BRIEF DESCRIPTION OF THE INVENTION
  • FIG. 4 shows one example of a prior art interconnect process flow [0060] 40 that results in a dual-damascene multi-level copper metallization interconnect structure in conjunction with inorganic interlevel dielectric (ILD) and intermetal dielectric (IMD) layers (e.g., fluorinated oxide or SixOyFz ILD/IMD material). In this example, tungsten is used to form tungsten contact plugs (to keep copper away from silicon) while copper is used for all the via plugs. The interconnect fabrication process (or back-end-of-the-line or BEOL process) flow starts after completion of the front-end-of-the-line (FEOL) process flow utilized for fabrication of the transistor and isolation (and other devices such as diodes, capacitors, etc.) structures, as step 42 indicates.
  • The first ILD layer (ILDI) which may be SiO[0061] 2, SixOyFz, or another material) is deposited, as shown in step 44, by thermal CVD or PECVD and then globally planarized by chemical-mechanical polishing (CMP) and cleaned after the CMP step at step 46. Subsequently, the complete ILD structure is formed by deposition using CVD or PECVD of an additional amount of the dielectric material (e.g., SiO2, SixOyFz, or another suitable insulating material), as indicated in step 48. After formation of the contact holes by microlithography and reactive ion etching or RIE as shown in the liner/barrier layers (e.g. Ti/TiN) are formed by PVD and/or CVD (see step 50, step 52). Then the tungsten contact plugs are formed by blanket deposition of a tungsten layer to fill the contact holes (see step 54) followed by tungsten CMP and post-CMP clean as shown in steps 54 and 56. The fabrication flow then continues by deposition of a relatively thick etch-stop layer (e.g. silicon nitride layer) followed by deposition of the second ILD layer (e.g., SiO2 or SixOyFz). The metal-1 (first metal level) line trenches, for subsequent formation of inlaid metal-1 interconnects, are formed by microlithography patterning and RIE (with the thin Si3N4 etch-stop layer used for RIE process end-pointing) (step 60). Si3N4 is also removed from the bottom of trenches by RIE. The RIE step used for removal of the silicon nitride layer at the bottom of the trenches selectively removes the nitride layer and stops on ILD1
  • Then, the diffusion barrier layer (TiN, Ta, TaN, or another suitable material) is deposited either by CVD or PVD (e.g., to form a 150 Å to 300 Å barrier layer) (step [0062] 62). The inlaid metal-1 interconnect lines are then formed by depositing copper (by MOCVD, PVD, and/or plating) followed by subsequent metal CMP and post-CNP clean through steps 64 and 66. The following dual-damascene copper interconnect level is fabricated by depositing the intermetal dielectric (IMD) layer (e.g., a multi-layer dielectric comprising a thin silicon nitride dielectric barrier and etch-stop layer, followed by deposition of SiO2, a thin Si3N4 etch-stop layer, and a top layer of silicon dioxide (SiO2) layer; the oxide layers may be replaced by a reduced-permittivity material such as SixOyFz), as shown in step 68. Then, a microlithography patterning process and a reactive ion etch (RIE) process sequence is used (step 70) in order to form the dielectric trenches for subsequent formation of inlaid copper metal lines.
  • A follow-on microlithography patterning and dielectric RIE process sequence is used to form the interconnect via holes (step [0063] 72). Then, a diffusion barrier layer (TiN, Ta, TaN, etc.) is deposited by CVD or PVD, (step 74). Subsequently, a copper layer is deposited, as shown in step 78, (by MOCVD, PVD and/or Plating) and polished back by CMP, followed by post-CMP clean (step 80) resulting in formation of the embedded copper via plugs and inlaid metal interconnect lines. The repeatitive steps of IMD deposition, microlithograhy patterning and dielectric RIE processes (for via holes and interconnect metal line trenches), as well as barrier and copper deposition steps, and CMP and post-CMP clean are performed multiple times until all the necessary interconnect levels are fabricated, as verified at step 82. Then, the passivation overlayer (e.g., Si3N4 or SiON) is deposited by PECVD, at step 84. A microlithography patterning step and an RIE process step are used (step 86), to form the bonding pad openings or windows. The chip can then be packaged, as step 88 indicates.
  • The process flowchart [0064] 90 of FIG. 5 presents another example of a state-of-the-art prior art interconnect process technology for formation of dual-damascene copper metallization with advanced low-k (e.g., K≦2.5) IMD/ILD layers. Process flow 90 is fairly similar to the prior art process flow of FIG. 4 except for additional process steps 92 and 94. The additional process steps 92 and 94 for integration of copper and low-k dielectrics are required in order to maintain good low-k dielectric integrity through the BEOL interconnect process flow and also to eliminate any process integration issues in terms of patterning and etch processes as well as any material compatibility issues. The description of the process flow outlined in FIG. 5 is essentially similar to that already provided for the flowchart in FIG. 4. One difference is that a thin layer of a hard mask material (such as SiO2) is used to protect the ILD or IMD low-k dielectric surface prior to any patterning and CMP process steps.
  • Most of the organic low-k dielectric materials may be damaged in typical plasma ash processes used for removal of the patterned photoresist layers after patterning and etch processes (thus, the reason for the use of an oxide hard mask). Moreover, many low-k organic dielectrics may not be directly exposed to the CMP pad and slurry due to possible damage or degradation of their properties. This is another reason for using the oxide hard mask to protect the low-k dielectric. Moreover, this process flow forms a thin layer of dielectric (e.g., oxide) liner on the sidewalls of the dielectric trenches and via holes in order to protect a low-k dielectric from plasma etching and also to provide a good sidewall surface for deposition of the barrier layer. For IMD layers, the multi-layer stack comprises Si[0065] 3N4, low-k dielectric, thin SiO2, low-k dielectric, and thin SiO2.
  • The lower and upper low-k dielectric layers house the via plugs and the inlaid interconnect metal lines on each level, respectively. The lower silicon nitride layer (thin nitride) is used as a dielectric diffusion barrier to encapsulate the lower level copper interconnect lines. The middle thin SiO[0066] 2 layer is used as an etch-stop layer during the formation of the metal trenches. A comparison of process flow 40 of FIG. 4 with process flow 90 of FIG. 5 indicates that integration of advanced low-k dielectric materials (such as organic low-k materials) results in added process complexity and increased IC fabrication cost compared to standard silicon dioxide IMD material or its related materials (such as fluorinated oxide).
  • The following discussion focuses on the description of the interconnect process flows and structures of the present invention appearing in FIGS. 6 and 7. Two closely related preferred flows of invention (preferred process flow embodiments) are shown in the [0067] flowchart 100 of FIG. 6 and flowchart 150 of FIG. 7. First, the description focuses on the process flow 100 of FIG. 6. The back-end-of-the-line (BEOL) interconnect process flow starts after completion of the front-end-of-the-line (FEOL) fabrication process flow for the transistors, isolation regions, etc. (step 102). The next step is to deposit a blanket layer of a suitable dielectric diffusion barrier material which is also highly resistant against typical etch chemistries (e.g., HF-based etchants) used for oxide etching. For instance, it is possible to preferably deposit a layer of silicon nitride (e.g., 2000 Å to 5000 Å Si3N4) using thermal CVD, PECVD, or PVD (step 104). This layer will serve as a dense etch-resistant layer used for protection of the active transistor devices and isolation structures during subsequent etching of the disposable inter-level and inter-metal oxide layers. Moreover, this thick and dense etch-resistant dielectric barrier layer prevents any copper diffusion into the silicon substrate and active device regions during the BEOL interconnect processing. Other suitable dielectric materials (e.g., AlN or diamond-like carbon or DLC) may be used instead of Si3N4 for this material layer. After the blanket dielectric deposition process, the first disposable interlevel dielectric (ILD1) layer is deposited (step 106). This involves preferably a silicon dioxide layer to be deposited by CVD, PECVD, or even PVD at step 108. Next, dielectric CMP and post-CMP cleaning processes are performed (step 108) to form a globally planarized dielectric surface. This will provide a globally planar wafer surface throughout the multilevel interconnect fabrication process flow. Subsequently, a multi-layer disposable dielectric stack (e.g., SiO2/SiON/SiO2) is deposited by CVD, PECVD, or PVD (step 110).
  • The stack includes upper and lower silicon dioxide layers separated by a thin etch-stop (or etch end-point detection) layer of a different material such as silicon oxynitride (SiON) or aluminum oxide (Al[0068] 203) or another suitable disposable material. The thin intermediate layer of oxynitride will be used an etch end-point marker during subsequent formation of the metal line trenches by CUSS anisotropic reactive-ion etching. After deposition of the multi-layer stack, a microlithography patterning process and subsequent dielectric RIE (anisotropic etching) process are performed, as shown at step 112, to form the contact holes. Then, another microlithography patterning process and an anisotrophic RIE process are used to form the dielectric trenches for the first level of metal interconnect lines (step 114). Next, the first level metallization is performed by sequential deposition of the barrier layer (e.g., Ta, TaN, WNx, or TiN by PVD or CVD) as shown in step 116, and a copper layer (by MoCVD, PVD, and/or plating), as indicated in step 118. Then, copper CMP and post-CMP clean processes are performed (step 120), in order to form the embedded copper contact plugs and inlaid metal lines.
  • Next, fabrication of the next interconnect level proceeds by deposition of a suitable multi-layer disposable dielectric stack, preferably SiON/SiO[0069] 2/SiON/SiO2, formed by CVD, PECVD, or PVD (step 122). The silicon oxynitride (SiON) layers are relatively thin compared to the upper and lower SiO2 layers (e.g., 100 Å to 500 Å) and are used as etch-stop layers during subsequent RIE etch processes for formation of the via holes and metal line trenches. Other suitable materials such as aluminum oxide may be used instead of SiON as etch-stop layers. After the multi-layer stack deposition, two sequential steps of microlithography patterning and anisotropic RIE processes (steps 124 and 126) are performed for formation of the via holes and the interconnect metal line trenches. Next, a layer of copper is deposited (step 128), by MOCVD, PVD, and/or plating to fill the via holes and interconnect metal line trenches. Note that at this stage copper can be deposited directly on the patterned structure without a need for a diffusion barrier layer, thus, simplifying the interconnect process flow. If desired or necessary, an adhesion promotion glue layer may be deposited on the surface prior to deposition of copper.
  • Subsequently, copper CMP and post-CMP clean processes are performed, at [0070] step 130, in order to form the embedded via copper plugs and inlaid interconnect metal lines. The repetitive steps of multi-layer dielectric stack deposition, fabrication of via holes and metal line trenches, copper deposition, and copper CMP (and post-CMP clean) are performed multiple times until all the necessary interconnect levels are fabricated, as verified at step 132. After formation of all the necessary interconnect levels, a top etch-resistant dielectric layer, preferably a silicon nitride layer (on the order of 2000 Å to 1 μm thick), is deposited by CVD, PECVD, or PVD, at step 134. Other suitable etch-resistant (and preferably high-thermal-conductivity dielectric materials) such as aluminum nitride or diamond-like carbon (DLC) may also be used instead of silicon nitride. The schematic diagram of FIG. 8 illustrates the example of a cross-sectional view of a multi-level interconnect structure (shown with six levels of copper interconnect) at this stage in the interconnect fabrication process flow.
  • Next, a microlithography patterning process and a subsequent anisotropic RIE process are performed (see step [0071] 136) in order to form etchant transmission windows or openings within the top dielectric layer. The schematic diagrams on pages FIGS. 9, 10, 11 and 12 show several possible layout patterns of the etchant transmission windows, formed within the top etch-resistant dielectric layer. Preferably, the etchant transmission window pattern comprises openings or windows (squares, rectangular, circular, etc.) with at least one minimum-geometry in-plane dimension. For instance, the pattern of FIG. 9 shows an array of closely-spaced square windows. For a 0.18 μm technology node, these windows may have 0.18 μm×0.18 μm areas and the adjacent windows may be separated by 0.18 μm. The alternative pattern in FIG. 10 comprises an array of circular holes. Again, the holes may have minimum-geometry diameters (e.g., 0.18 μm diametric dimensions for circular holes separated by 0.18 μm from each other in a 0.18 μm technology). FIGS. 10 and 11 show two alternative etchant transmission window patterns comprising rectangular windows with larger overall transmission window area ratios (ratio of total area of the windows to the total surface area). The smaller side dimensions of these rectangular windows are preferably the same as the resolution of the microlithography tool (e.g., 0.18 μm for a 0.18 μm technology), while the larger side dimensions (lengths of rectangular windows) may be several to tens to even hundreds of microns (μm). The idea is to have an etchant transmission window pattern which provides a relatively large transmission area ratio (preferably ≧50%) and can be 4; subsequently hermetically sealed using a simple deposition process without a significant impact on the interconnect metallization structure. Preferably, the thickness of the top etch-resistant layer (e.g. Si3N4 or AIN layer deposited by CVD, PECVD, or PVD or any other vapor deposition process) is several times larger than the smaller side dimension of the etchant transmission unit cells. For instance, for a 0.18 μm technology, we may use a 0.70 μm-to-1 μm thick silicon nitride top etch-resistant layer with etchant transmission window cells (square, circular, rectangular, or any other shape) which have 0.18 μm minimum in-plane dimension (e.g., rectangular unit cells, with 0.18 μm×5 μm window size).
  • Returning to FIG. 6, a highly selective etch (preferable a wet etch process such as HF-based etch) is performed at [0072] step 138 in order to selectively remove the entire multi-level disposable silicon dioxide dielectric structure. This etch chemistry and the resulting etch byproducts easily pass through the etchant transmission windows (or unit cells) facilitating the etch process. Due to the high packing density of the transmission unit cells and their relatively large area ratio, the selective etchant can easily remove the entire multi-level oxide and oxynitride dielectric stack bound between the lower etch-resistant layer (e.g., silicon nitride or aluminum nitride or DLC) and the upper etch-resistant patterned (e.g., also silicon nitride, aluminum nitride, or DLC) layer. The selective etchant (e.g., HF-based wet etchant) does not or should not attack the metallization structure and may remove only a very small fraction of the top and bottom etch-resistant layers (e.g., silicon nitride, aluminum nitride, or DLC). For instance, with a wet etch selectivity of 100:1 for oxide with respect to silicon nitride, removal of an equivalent oxide thickness of 10 μm (for removal of multi-level oxide and buried oxynitride layers plus some overetch), results in removal of about 0.1 μm silicon nitride from the top and bottom etch-resistant layers. This also results in enlargement of the etchant transmission windows (for instance, the 0.18 μm wide rectangular unit cells grow towards ˜0.38 μm wide windows).
  • In general, the preference is to use an etchant with sufficiently high selectivity (>100:1) to the disposable ILD/IMD materials compared to the etch-resistant material which limits the thickness removal of the top and bottom etch-resistant layers (e.g., silicon nitride or aluminum nitride or DLC or another suitable dielectric) to preferably <1000 Å. The schematic cross-sectional diagram of FIG. 13 shows the resulting device structure after the selective removal of the disposable oxide dielectric layers. As shown, the multi-level copper interconnect structure is now surrounded by free-space medium within the structure between the top and bottom etch-resistant (e.g., silicon nitride) layers. The multi-level copper interconnect structure is mechanically supported by its own line and plug interconnections as well as the top and bottom boundary planes defined by the top and bottom etch-resistant silicon nitride layers which have sealed contacts to the top metal level and bottom contact plugs, respectively. If desired, a plurality of metallic columns made of stacked dummy contact and via plugs may be used to provide additional mechanical support between the top and bottom etch-resistant layers (this is optional but not necessary.) [0073]
  • At this stage, it is possible to perform an optional thermal anneal as shown at step [0074] 140 (e.g., at a temperature between 250° C. and 400° C.) in order to form large grains and preferred highly oriented texturing in the interconnect structure for improved electromigration lifetime, and enhanced metallization conductivity as well as to relieve any residual stresses. This optional thermal anneal process may also be used to form a large-grain multi-level copper metallization system with “bamboo-type” microstructure for maximum electromigration lifetime reliability improvement.
  • Next, the process flow continues with at least one deposition process and preferably two sequential material deposition process steps, as indicated at [0075] step 142. The first deposition process is a substantially conformal (CVD or PECVD) deposition process for deposition of a controlled thickness (e.g., 50 Å to 200 Å) of a dielectric material such as SiO2, Si3N4, AlN, Al2O3, etc. The preferred material is silicon dioxide. This conformal deposition process deposits a thin (e.g., 50 Å to 200 Å) layer of encapsulating dielectric material such as SiO2 over all the exposed surface areas of the multi-level metallization structure (metal lines and plugs). This deposition process also deposits a thin layer of the conformal dielectric over the exposed surfaces of the top etch-resistant patterned dielectric (e.g., silicon nitride), including the etchant transmission windows as well as a thin layer over the bottom etch-resistant layer. The amount of conformal dielectric thickness can be deliberately chosen to be small enough such that it is not sufficient to completely seal the etchant transmission windows or the top patterned etch-resistant insulator.
  • The main purpose of this conformal dielectric (e.g., silicon dioxide) deposition step is to prevent or suppress thermionic emission and/or low-voltage electrical breakdown between the adjacent intra-level and inter-level metal lines and/or plugs through the free-space medium. The second deposition step is preferably a substantially nonconformal or directional deposition step (with poor step coverage) used to form a hermetically-sealed top insulating passivation overlayer. For instance, either PVD (plasma sputtering) or nonconformal PECVD (or other processes such as jet-vapor deposition or laser ablation) can be used to deposit a layer comprising silicon nitride, aluminum nitride, silicon oxynitride, diamond-like carbon (DLC), boron nitride or any combination of them. Preferably, the deposited material has excellent diffusion barrier properties against ionic contamination and moisture, and also has a relatively high thermal conductivity. For instance, this nonconformal or directional deposition may involve an atmospheric deposition process (preferably a thermal CVD process with helium carrier gas or an atmospheric laser ablation deposition process using a suitable target material in an inert atmosphere) for deposition of silicon nitride, aluminum nitride, DLC, or another suitable material. [0076]
  • The schematic diagrams shown in FIG. 14 show an example of the evolution of the top dielectric layer starting from a patterned layer comprising etchant transmission windows and ending as a hermetically-sealed structure with-fully sealed windows (the top and bottom figures show the cross-sectional diagrams of the top dielectric layer before and after the conformal/non-conformal dielectric deposition processes). [0077]
  • Another practical process sequence for the hermetic sealing of the interconnect structure is as follows: (i) perform an atmospheric low-temperature silicon dioxide deposition (partially conformal) step using SiH[0078] 4/N2O in a helium carrier gas in order to deposit a thin layer of oxide on the metallization structure and to seal the etchant transmission windows; (ii) Deposit a layer of silicon nitride (or silicon oxynitride) as passivation overlayer (this may be a ˜5000 Å thick layer deposited by PECVD); (iii) Deposit a layer (e.g., 5000 Å to over 1 μm thick layer) of high-thermal conductivity insulating material, preferably aluminum nitride or DLC, by a suitable deposition process (preferably RF magnetron sputtering or PECVD). This exemplary process sequence results in complete hermetic sealing of the chip interconnect structure by re-producing a continuous top passivation layer. Moreover, this process sequence results in a helium-filled free-space intermetal/interlevel dielectric medium. The atmospheric helium free-space dielectric medium provides an excellent heat transfer medium within the multi-level interconnect structure; it also further suppresses any thermionic emission (resulting in electrical leakage currents) or gas breakdown effects due to the intra-level and inter-level voltages between the adjacent metal lines and conductive plugs.
  • The example above shows the typical process flow for formation of a hermetically-sealed continuous dielectric layer on the top in conjunction with a hermetically sealed helium-filled (e.g., at or near atmospheric pressure) free-space interlevel/intermetal dielectric medium. If desired, the free-space helium pressure can be increased to above atmospheric pressure (e.g., 1 to 5 atmospheres) by c[0079] 0 performing the directional deposition (e.g., laser ablation) process (see FIG. 14) in a pressurized process chamber filled with higher pressure helium. It is, however, emphasized that the preferred method and structure of this embodiment employ atmospheric or near-atmospheric helium (or another suitable inert gas such as argon) to fill the sealed free space interconnect dielectric ILD/IMD volume. Lower helium gas pressures (e.g., 1 Torr to 1 atm.) as well as other types of gas (e.g., argon, nitrogen, hydrogen, etc.) may be used to fill the free-space region.
  • A gas-filled free-space dielectric region is preferred over a near-vacuum free-space medium, both due to thermal management (efficient heat removal) and dielectric breakdown considerations. For instance, a helium-filled free-space medium [0080] 16 (preferably at or near atmospheric He pressure) provides a much superior heat transfer medium (in conjunction with the high-thermal conductivity copper metallization structure as well as the high-thermal-conductivity top and bottom etch-resistant layers), a superior breakdown-resistant interconnect structure, and a better thermionic-emission-resistant free-space medium compared to a vacuum free-space medium.
  • As indicated in the process flowchart of FIG. 6, the next fabrication module is a microlithography patterning step (step [0081] 144), followed by an anisotropic dielectric RIE process in order to form the bonding pad windows by etching openings in the top passivation overlayer. The schematic diagram on FIG. 15 shows the multi-level copper interconnect structure after this patterning and etch step. This structure includes multi-level copper interconnects bound between the bottom dielectric diffusion barrier layer and the top high-thermal-conductivity hermetic sealing layer. The metallization structure is surrounded by a sealed free-space medium filled with an inert gas such as helium.
  • Finally, the wafer is ready for dicing and packaging, at step [0082] 146 (such as flip-chip packaging). Note that the multi-level interconnect structure is fully hermetically sealed with an embedded free-space (preferably filled with He) ILD/IMD medium. This structure provides the highest level of interconnect electrical performance and reliability lifetime far superior to any interconnect structure comprising other low-k dielectric materials.
  • The process flow of the preferred embodiment of the present invention employs one extra microlithography masking step for formation of the etchant transmission windows in the top etch-resistant layer. The process flow of FIG. 6 shows that two separate masking steps are used for formation of the etchant transmission windows and the bonding pad windows (resulting in the need for one extra masking step). [0083]
  • Alternatively, as shown in the [0084] alternative process flow 150 of FIG. 7, it is possible to reduce the number of microlithography masking steps by one, through combining the microlithography patterning steps for the etchant transmission windows and bonding pads.
  • [0085] Process flow 150 of FIG. 7 is essentially similar to the first embodiment (shown in FIG. 6) through the copper CMP and post-CMP cleaning processes for the last (topmost) level of copper interconnect, i.e. step 132. Next, the top etch-resistant dielectric layer (or multi-layer material stack) is deposited by CVD, PVD, and/or PECVD, at step 134. For instance, it is possible to deposit either a layer of dense silicon nitride (e.g., 5000 Å to ˜1 μm thick) by PECVD and/or PVD, or a bi-layer of Si3N4/AlN (e.g., 5000 Å to over 1 μm silicon nitride followed by 5000 Å to over 1 μm aluminum nitride) by PECVD and/or PVD. Then a microlithography patterning process and a subsequent anisotropic dielectric RIE process are performed sequentially to form the etchant transmission windows (corresponding to a suitable pattern such as one of those examples shown in FIGS. 9-12), and also the bonding pad windows at step 152. Note that this masking step combines the layouts of the etchant transmission windows and the bonding pads into one microlithography mask.
  • Next, the disposable silicon dioxide ILD/IMD layers are selectively removed using a highly selective wet etchant at [0086] step 138. This results in the multi-level interconnect structure with free-space ILD/IMD medium surrounding the intercconect structure. Next, the copper metallization structure is coated with a controlled thin layer (e.g. 50 Å to 200 Å) of silicon dioxide (or silicon nitride or another preferably insulating material) and the interconnect structure is hermetically sealed using the multi-step (e.g., two or three step) conformal/nonconformal dielectric deposition processes (step 142), as described in detail in association with FIG. 6. The next fabrication process step is a blanket plasma (e.g., RIE) dielectric etch-back process until the bonding pads are re-exposed, at step 144.
  • This etch-back process, at [0087] step 144, can be easily endpointed using an optical etch end-point detection method (e.g., laser reflectance endpoint). Finally, the wafer is diced into IC chips and the chips are packaged using a suitable packaging technology. Based on this alternative second embodiment of this invention, the total number of microlithography masking step for an N-level interconnect structure is 2N+1, which is the same as the number of masks required in conventional prior art interconnect process flows. The first embodiment of this invention (shown in FIG. 6) employs 2N+2 microlithography masking steps.
  • Although the present invention has been described in detail, it should be understood that various changes, substitutions and alterations can be made hereto without departing from the spirit and scope of the invention as defined by the appended claims. [0088]

Claims (50)

What is claimed is:
1. A multi-level interconnect structure for a semiconductor integrated circuit chip on a semiconductor substrate comprising:
a plurality of electrically conductive metallization levels, each of said metallization levels comprising a plurality of electrically conductive interconnect segments;
a plurality of electrically conductive plugs for electrically connecting between various metallization levels and between said metallization levels and a plurality of semiconductor devices;
a free-space medium occupying at least a substantial portion of the electrically insulating regions within said multi-level interconnect structure; and
an electrically insulating top passivation overlayer for hermetic sealing of said multi-level interconnect structure and for protection of said integrated circuit chip, said top passivation overlayer also serving as a heat transfer medium for facilitating heat removal from said interconnect structure and providing additional mechanical support for said interconnect structure through contact with the top metallization level of said multi-level interconnect structure.
2. The multi-level interconnect structure of claim 1 wherein at least a portion of said electrically conductive interconnect segments is made of copper.
3. The multi-level interconnect structure of claim 1 wherein at least a portion of said electrically conductive plugs is made of copper.
4. The multi-level interconnect structure of claim 1 wherein at least a portion of said electrically conductive interconnect segments and plugs is made of a material comprising silver or aluminum.
5. The multi-level interconnect structure of claim 1 wherein at least a portion of said electrically conductive interconnect segments and plugs is made of a material comprising a superconducting material.
6. The multi-level interconnect structure of claim 1 wherein said semiconductor substrate is silicon, or silicon-on-insulator, or galium arsenide.
7. The multi-level interconnect structure of claim 1 wherein said electrically insulating top passivation overlayer is made of a material comprising silicon nitride, silicon oxynitride, aluminum nitride, diamond-like coating, boron nitride or silicon carbide.
8. The multi-level interconnect structure of claim 1 wherein said electrically insulating top passivation overlayer comprises a material layer with a plurality of open bonding pad windows and closed resealed windows, the latter used for formation of said free-space medium and subsequent hermetic sealing of said interconnect structure.
9. The multi-level interconnect structure of claim 1 wherein said multi-level interconnect structure is further supported by an electrically insulating bottom buffer layer, said electrically insulating bottom buffer layer separating said multi-level interconnect structure from underlying transistors and isolation regions fabricated within said semiconductor integrated circuit chip substrate.
10. The multi-level interconnect structure of claim 9 wherein said top passivation overlayer provides openings to expose the electrically conductive bonding pads and said electrically insulating bottom buffer layer provides openings for electrical connections between a portion of said electrically conductive plugs and said underlying transition within said semiconductor substrate.
11. The multi-level interconnect structure of claim 9 wherein said multi-level interconnect structure provides mechanical stability through a plurality of interconnections among said electrically conductive interconnect segments and plugs, binding connections between said top passivation overlayer and a portion of the top metallization level, as well as binding connections between a portion of said electrically conductive plugs and said electrically insulating bottom buffer layer.
12. The multi-level interconnect structure of claim 9 wherein said plurality of electrically conductive levels and plugs is embedded within a sealed cavity formed between said top passivation overlayer and said electrically bottom buffer layer in conjunction with a free-space dielectric medium.
13. The multi-level interconnect structure of claim 9, wherein said electrically insulating bottom buffer layer is made of a material comprising silicon nitride, aluminum nitride, diamond-like coating, silicon carbide, or boron nitride.
14. The multi-level interconnect structure of claim 9, wherein said electrically insulating bottom buffer layer provides additional mechanical support for said multi-level interconnect structure.
15. The multi-level interconnect structure of claim 14, wherein said electrically insulating bottom buffer layer further provides a blocking material with effective diffusion barrier properties against contamination of said semiconductor substrate by the metallization materials and ionic contaminants.
16. The multi-level interconnect structure of claim 14 wherein said electrically insulating bottom buffer layer enables formation of said free-space medium without damage to said underlying transitory and isolation regions.
17. The multi-level interconnect structure of claim 1, wherein said hermetically sealed free-space medium comprises a gaseous material.
18. The multi-level interconnect structure of claim 1, wherein said hermetically sealed free-space medium comprises vacuum.
19. The multi-level interconnect structure of claim 17, wherein said gaseous material is in the pressure range of less than 5 atmospheres.
20. The multi-level interconnect structure of claim 17, wherein said gaseous material is at or near the atmospheric pressure.
21. The multi-level interconnect structure of claim 17, wherein said gaseous material comprises a high-thermal-conductivity inert gas.
22. The multi-level interconnect structure of claim 21, wherein said inert gas comprises helium or argon.
23. The multi-level interconnect structure of claim 17, wherein said gaseous material comprises nitrogen or hydrogen.
24. The multi-level interconnect structure of claim 17, wherein said gaseous material suppresses electrical leakage currents and gas breakdown within said free-space medium due to said electrically conductive interconnect segments and plugs.
25. The multi-level interconnect structure of claim 19 wherein the exposed surfaces of said plurality of electrically conductive interconnect segments and plugs further comprise an encapsulation coating layer.
26. The multi-level interconnect structure of claim 11, wherein said encapsulation coating layer comprises an electrically conductive material (such as TiNx, TaNx, Wnx, or silver).
27. The multi-level interconnect structure of claim 25, wherein said encapsulation coating layer comprises an electrically insulating material (such as silicon dioxide, silicon nitride, aluminum nitride, aluminum oxide, or diamond-like carbon).
28. The multi-level interconnect structure of claim 27, wherein said electrically insulating encapsulation coating layer suppresses electrical leakage and breakdown within said interconnect structure.
29. The multi-level interconnect structure of claim 1 wherein at least a portion of said plurality of electrically conductive interconnect segments and plugs comprises a high electrical conductivity material (such as copper, silver, gold, or a superconducting material).
30. The multi-level interconnect structure of claim 1, wherein a portion of said electrically conductive plugs comprises the conductive contact plugs which provide electrical connection between the first metallization level and underlying semiconductor devices and are encapsulated in a conductive diffusion barrier layer (such as TiNx, TaNx, Wnx, Ta, or a ternary conductive barrier material).
31. The multi-level interconnect structure of claim 30, wherein the plurality of metallization levels between the second level and the top level as well as the plurality of electrically conductive via plugs providing interlevel connections above first metallization level do not utilize any electrically conductive diffusion barrier layers.
32. The multi-level interconnect structure of claim 9, wherein said top passivation overlayer and said bottom electrically insulating buffer layer are formed using at least one etch-resistant electrically insulating material (such as one or a combination of silicon nitride, aluminum nitride, silicon carbide, boron nitride, or diamond-like coating).
33. The multi-level interconnect structure of claim 9, wherein said top passivation overlayer and said bottom electrically insulating buffer layer join together to make a sealed contact at the peripheral region of said semiconductor integrated circuit chip to form a hermetically-sealed and mechanically stable cavity encapsulating said plurality of electrically conducting metallization levels, said plurality of electrically conductive plugs, and said free-space medium.
34. The multi-level interconnect structure of claim 1, wherein at least a substantial portion of contact interfaces among said electrically conductive metallization levels and electrically conductive plugs comprise direct connections of identical metallization materials without any interfacial contact barrier layers.
35. The multi-level interconnect structure of claim 1, wherein a plurality of dummy plugs connected to bottom surface of the top passivation overlayer provides additional structural and mechanical support for said multi-level interconnect structure.
36. A method for formation of a multi-level interconnect structure comprising the steps of:
fabricating a plurality of metallization levels, said metallization levels separated by and embedded within a disposable interlevel and inter-metal material layers;
fabricating a plurality of electrically conductive plugs in conjunction with said metallization levels and embedded within said disposable interlevel and inter-metal material layers;
depositing a top insulator layer over said plurality of metallization levels;
forming a plurality of openings within said top insulating layer;
selectively removing said disposable inter-level and inter-metal material layers to form a free-space dielectric medium surrounding at least a substantial portion of said plurality of metallization levels and said electrically a conductive plugs;
forming a hermetically-sealed interconnect structure with a free-space dielectric medium by depositing an electrically insulating material layer and sealing said plurality of openings without substantially shrinking the overall volume of said free-space dielectric medium; and
forming the bonding pad openings.
37. The method of claim 36, wherein at least a portion of said plurality of metallization levels and electrically conductive plugs is formed within said disposable material layers using a damascene process flow.
38. The method of claim 36 wherein said multi-level interconnect structure is formed using 2N+1 microlithography masking steps for N metallization levels.
39. The method of claim 36 wherein said multi-level interconnect structure is formed using 2N+2 microlithography masking steps for N metallization levels.
40. The method of claim 36 wherein said disposable inter-level and inter-metal material layers comprise silicon oxide.
41. The method of claim 36, further comprising the step of forming said multi-level interconnect structure to be supported by a bottom electrically insulating buffer layer, said electrically insulating bottom buffer layer separating said multi-level interconnect structure from underlying transistors and isolation regions fabricated within said semiconductor integrated circuit substrate.
42. The method of claim 36, further comprising the step of forming said electrically insulating bottom buffer layer to provide additional mechanical support for said multi-level interconnect structure.
43. The method of claim 42, further comprising the step of forming said electrically insulating bottom buffer layer to further provide a dielectric material with effective diffusion barrier properties against contamination of the semiconductor substrate by the contaminating metallization materials and external ionic contaminants.
44. The method of claim 36, further comprising the step of forming said hermetically-sealed free-space medium to comprise a gaseous material.
45. The method of claim 44, further comprising the step of forming said gaseous material to be in the pressure range of less than 5 atmospheres.
46. The method of claim 45, further comprising the step of forming said gaseous material to be at or near atmospheric pressure.
47. The method of claim 36, further comprising the step of forming said encapsulating layer to comprise an electrically insulating layer.
48. The method of claim 36, further comprising the step of forming at least a portion of said plurality of electrically conductive interconnect segments and plugs to comprise a high electrical conductivity material.
49. The method of claim 48 wherein said high electrical conductivity material comprises copper, silver, gold, aluminum, or a superconducting material.
50. The method of claim 48 wherein said high electrical conductivity material is deposited using chemical-vapor deposition, physical-vapor deposition, and/or electroplating.
US10/091,795 1998-04-22 2002-03-06 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics Abandoned US20040061229A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/091,795 US20040061229A1 (en) 1998-04-22 2002-03-06 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/064,431 US6016000A (en) 1998-04-22 1998-04-22 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US10/091,795 US20040061229A1 (en) 1998-04-22 2002-03-06 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/064,431 Division US6016000A (en) 1998-04-22 1998-04-22 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics

Publications (1)

Publication Number Publication Date
US20040061229A1 true US20040061229A1 (en) 2004-04-01

Family

ID=22055931

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/064,431 Expired - Fee Related US6016000A (en) 1998-04-22 1998-04-22 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US10/091,795 Abandoned US20040061229A1 (en) 1998-04-22 2002-03-06 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/064,431 Expired - Fee Related US6016000A (en) 1998-04-22 1998-04-22 Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics

Country Status (1)

Country Link
US (2) US6016000A (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020158339A1 (en) * 1997-07-10 2002-10-31 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US20040102032A1 (en) * 2002-11-21 2004-05-27 Kloster Grant M. Selectively converted inter-layer dielectric
US20040235292A1 (en) * 2003-05-20 2004-11-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US20050127519A1 (en) * 2003-12-05 2005-06-16 Matrix Semiconductor, Inc. High density contact to relaxed geometry layers
US20050181593A1 (en) * 2002-11-21 2005-08-18 Jihperng Leu Selectively converted inter-layer dielectric
US20060043060A1 (en) * 2004-08-27 2006-03-02 Hung-Chin Guthrie Method for fabricating thin film magnetic heads using CMP with polishing stop layer
US20060063373A1 (en) * 2004-09-20 2006-03-23 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US20060103017A1 (en) * 2004-11-12 2006-05-18 Kabushiki Kaisha Toshiba Semiconductor device
US20060189137A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US20070197012A1 (en) * 2006-02-21 2007-08-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US20070201232A1 (en) * 2006-02-27 2007-08-30 Kuei-Fang Chen Illumination apparatus having heat dissipating capability
US20080038518A1 (en) * 2002-09-13 2008-02-14 Shipley Company, L.L.C. Air gap formation
US20080075888A1 (en) * 2003-05-20 2008-03-27 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in cu damascene
US20110003476A1 (en) * 2009-07-03 2011-01-06 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including landing pads formed by electroless plating
US20150084212A1 (en) * 2013-09-25 2015-03-26 United Microelectronics Corporation Clock skew adjusting method and structure
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US20180005978A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Method for wafer-wafer bonding
US10937735B2 (en) 2018-09-20 2021-03-02 International Business Machines Corporation Hybrid under-bump metallization component

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6159787A (en) 1998-05-28 2000-12-12 International Business Machines Corporation Structures and processes for reduced topography trench capacitors
US6163074A (en) 1998-06-24 2000-12-19 Samsung Electronics Co., Ltd. Integrated circuit bonding pads including intermediate closed conductive layers having spaced apart insulating islands therein
US6552438B2 (en) 1998-06-24 2003-04-22 Samsung Electronics Co. Integrated circuit bonding pads including conductive layers with arrays of unaligned spaced apart insulating islands therein and methods of forming same
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
JP2000077410A (en) * 1998-08-27 2000-03-14 Tokyo Ohka Kogyo Co Ltd Forming method of multilayer interconnection structure
US5972124A (en) 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US6566249B1 (en) * 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
JP3230667B2 (en) * 1998-11-17 2001-11-19 日本電気株式会社 Wiring structure of semiconductor device
JP2000183104A (en) * 1998-12-15 2000-06-30 Texas Instr Inc <Ti> Method and system for bonding on integrated circuit
US6545359B1 (en) * 1998-12-18 2003-04-08 Semiconductor Energy Laboratory Co., Ltd. Wiring line and manufacture process thereof, and semiconductor device and manufacturing process thereof
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7381642B2 (en) 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6258715B1 (en) * 1999-01-11 2001-07-10 Taiwan Semiconductor Manufacturing Company Process for low-k dielectric with dummy plugs
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
JP2000332010A (en) * 1999-03-17 2000-11-30 Canon Sales Co Inc Formation of interlayer insulating film and semiconductor device
JP3555844B2 (en) * 1999-04-09 2004-08-18 三宅 正二郎 Sliding member and manufacturing method thereof
US6211068B1 (en) * 1999-05-25 2001-04-03 United Microelectronics Corp. Dual damascene process for manufacturing interconnects
DE19926499C2 (en) * 1999-06-10 2001-07-05 Infineon Technologies Ag Arrangement of fuses in semiconductor structures with Cu metallization
US6202191B1 (en) * 1999-06-15 2001-03-13 International Business Machines Corporation Electromigration resistant power distribution network
JP3645129B2 (en) * 1999-06-25 2005-05-11 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6556962B1 (en) * 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6159857A (en) * 1999-07-08 2000-12-12 Taiwan Semiconductor Manufacturing Company Robust post Cu-CMP IMD process
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
JP4554011B2 (en) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US6255712B1 (en) * 1999-08-14 2001-07-03 International Business Machines Corporation Semi-sacrificial diamond for air dielectric formation
US6265304B1 (en) * 1999-10-05 2001-07-24 Advanced Micron Devices, Inc. Controlling an etching process of multiple layers based upon thickness ratio of the dielectric layers
US6350667B1 (en) * 1999-11-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Method of improving pad metal adhesion
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6804810B1 (en) * 2000-02-21 2004-10-12 Hewlett-Packard Development Company, L.P. Resistance and capacitance estimation
EP1130654A1 (en) * 2000-03-01 2001-09-05 Infineon Technologies AG Integrated device including a metal- insulator-metal capacitor
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
EP1275152A2 (en) * 2000-04-12 2003-01-15 Koninklijke Philips Electronics N.V. Bonding pad in semiconductor device
US6348395B1 (en) 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
US6380087B1 (en) * 2000-06-19 2002-04-30 Chartered Semiconductor Manufacturing Inc. CMP process utilizing dummy plugs in damascene process
US6368952B1 (en) 2000-08-15 2002-04-09 Taiwan Semiconductor Manufacturing Company, Ltd Diffusion inhibited dielectric structure for diffusion enhanced conductor layer
US7271489B2 (en) * 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US6599823B1 (en) * 2000-10-24 2003-07-29 United Microelectronics Corp. Method for improving package bonding between multi-level interconnection lines and low K inter-metal dielectric
US6451687B1 (en) 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US6383924B1 (en) * 2000-12-13 2002-05-07 Micron Technology, Inc. Method of forming buried conductor patterns by surface transformation of empty spaces in solid state materials
US6560862B1 (en) * 2001-02-06 2003-05-13 Taiwan Semiconductor Manufacturing Company Modified pad for copper/low-k
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
JP2002289687A (en) * 2001-03-27 2002-10-04 Sony Corp Semiconductor device and method for wiring in semiconductor device
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US7142577B2 (en) * 2001-05-16 2006-11-28 Micron Technology, Inc. Method of forming mirrors by surface transformation of empty spaces in solid state materials and structures thereon
US6903459B2 (en) * 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
US6482656B1 (en) 2001-06-04 2002-11-19 Advanced Micro Devices, Inc. Method of electrochemical formation of high Tc superconducting damascene interconnect for integrated circuit
FR2826780A1 (en) * 2001-06-28 2003-01-03 St Microelectronics Sa SEMICONDUCTOR DEVICE WITH MICROWAVE STRUCTURE
JP4786836B2 (en) * 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 Wiring connection design method and semiconductor device
JP4801296B2 (en) * 2001-09-07 2011-10-26 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7474002B2 (en) * 2001-10-30 2009-01-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having dielectric film having aperture portion
JP2003142485A (en) * 2001-11-01 2003-05-16 Mitsubishi Electric Corp Semiconductor device and method of manufacturing the same
US20080121343A1 (en) 2003-12-31 2008-05-29 Microfabrica Inc. Electrochemical Fabrication Methods Incorporating Dielectric Materials and/or Using Dielectric Substrates
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
US20030127716A1 (en) * 2002-01-09 2003-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Single layer wiring bond pad with optimum AL film thickness in Cu/FSG process for devices under pads
US20040176483A1 (en) * 2003-03-05 2004-09-09 Micron Technology, Inc. Cellular materials formed using surface transformation
US6650010B2 (en) * 2002-02-15 2003-11-18 International Business Machines Corporation Unique feature design enabling structural integrity for advanced low K semiconductor chips
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
US7132348B2 (en) * 2002-03-25 2006-11-07 Micron Technology, Inc. Low k interconnect dielectric using surface transformation
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
EP1369922B1 (en) * 2002-06-07 2011-03-09 STMicroelectronics Srl Multilayer metal structure of supply rings having large parasitic resistance
US6887790B1 (en) * 2002-07-19 2005-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming dummy copper plug to improve low k structure mechanical strength and plug fill uniformity
WO2004015744A2 (en) * 2002-08-09 2004-02-19 Leopard Logic, Inc. Via programmable gate array interconnect architecture
US20040036131A1 (en) * 2002-08-23 2004-02-26 Micron Technology, Inc. Electrostatic discharge protection devices having transistors with textured surfaces
US6969198B2 (en) * 2002-11-06 2005-11-29 Nissan Motor Co., Ltd. Low-friction sliding mechanism
US8613846B2 (en) * 2003-02-04 2013-12-24 Microfabrica Inc. Multi-layer, multi-material fabrication methods for producing micro-scale and millimeter-scale devices with enhanced electrical and/or mechanical properties
US20060226015A1 (en) * 2003-02-04 2006-10-12 Microfabrica Inc. Method of forming electrically isolated structures using thin dielectric coatings
US10416192B2 (en) 2003-02-04 2019-09-17 Microfabrica Inc. Cantilever microprobes for contacting electronic components
JP3891433B2 (en) * 2003-04-15 2007-03-14 日産自動車株式会社 Fuel injection valve
US9671429B2 (en) 2003-05-07 2017-06-06 University Of Southern California Multi-layer, multi-material micro-scale and millimeter-scale devices with enhanced electrical and/or mechanical properties
EP1479946B1 (en) * 2003-05-23 2012-12-19 Nissan Motor Co., Ltd. Piston for internal combustion engine
EP1482190B1 (en) * 2003-05-27 2012-12-05 Nissan Motor Company Limited Rolling element
JP2005008851A (en) * 2003-05-29 2005-01-13 Nissan Motor Co Ltd Cutting oil for cutting tool coated with hard carbon thin film, and cutting tool coated with hard carbon thin film
US7495343B1 (en) 2003-07-31 2009-02-24 Nvidia Corporation Pad over active circuit system and method with frame support structure
US7453158B2 (en) 2003-07-31 2008-11-18 Nvidia Corporation Pad over active circuit system and method with meshed support structure
JP4863152B2 (en) * 2003-07-31 2012-01-25 日産自動車株式会社 gear
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
WO2005014761A2 (en) * 2003-08-06 2005-02-17 Nissan Motor Co., Ltd. Low-friction sliding mechanism, low-friction agent composition and method of friction reduction
JP2005054617A (en) * 2003-08-08 2005-03-03 Nissan Motor Co Ltd Valve system
JP4973971B2 (en) * 2003-08-08 2012-07-11 日産自動車株式会社 Sliding member
JP4117553B2 (en) * 2003-08-13 2008-07-16 日産自動車株式会社 Chain drive
US7771821B2 (en) * 2003-08-21 2010-08-10 Nissan Motor Co., Ltd. Low-friction sliding member and low-friction sliding mechanism using same
EP1508611B1 (en) 2003-08-22 2019-04-17 Nissan Motor Co., Ltd. Transmission comprising low-friction sliding members and transmission oil therefor
US7459790B2 (en) * 2003-10-15 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US10641792B2 (en) 2003-12-31 2020-05-05 University Of Southern California Multi-layer, multi-material micro-scale and millimeter-scale devices with enhanced electrical and/or mechanical properties
JP4549889B2 (en) * 2004-05-24 2010-09-22 三星モバイルディスプレイ株式會社 Capacitor and light-emitting display device using the same
US7301229B2 (en) * 2004-06-25 2007-11-27 Taiwan Semiconductor Manufacturing Company Electrostatic discharge (ESD) protection for integrated circuit packages
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
KR100652395B1 (en) * 2005-01-12 2006-12-01 삼성전자주식회사 Semiconductor device having reduced die-warpage and method of manufacturing the same
US7582969B2 (en) * 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
JP4278672B2 (en) * 2005-12-08 2009-06-17 パナソニック株式会社 Manufacturing method of semiconductor device
JP4884077B2 (en) * 2006-05-25 2012-02-22 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2008060532A (en) * 2006-08-04 2008-03-13 Seiko Epson Corp Semiconductor device
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts
US7880303B2 (en) * 2007-02-13 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked contact with low aspect ratio
JP2008300557A (en) * 2007-05-30 2008-12-11 Mitsubishi Electric Corp Semiconductor device
US7535689B2 (en) * 2007-06-21 2009-05-19 Intel Corporation Reducing input capacitance of high speed integrated circuits
TWI355046B (en) * 2007-07-10 2011-12-21 Nanya Technology Corp Two bit memory structure and method of making the
US7807570B1 (en) * 2009-06-11 2010-10-05 International Business Machines Corporation Local metallization and use thereof in semiconductor devices
US8030776B2 (en) * 2009-10-07 2011-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with protective structure
US8039920B1 (en) * 2010-11-17 2011-10-18 Intel Corporation Methods for forming planarized hermetic barrier layers and structures formed thereby
US8896125B2 (en) 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
EP2884542A3 (en) * 2013-12-10 2015-09-02 IMEC vzw Integrated circuit device with power gating switch in back end of line
US9196591B2 (en) 2014-02-17 2015-11-24 International Business Machines Corporation Chip with shelf life
US9245846B2 (en) 2014-05-06 2016-01-26 International Business Machines Corporation Chip with programmable shelf life
US9653399B2 (en) * 2015-02-13 2017-05-16 Qualcomm Incorporated Middle-of-line integration methods and semiconductor devices
US9490620B1 (en) * 2015-09-18 2016-11-08 HGST Netherlands B.V. Low permeability electrical feed-through
KR20180071716A (en) 2016-12-20 2018-06-28 삼성전자주식회사 Nonvolatile memory device
US10355204B2 (en) * 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
US10395694B1 (en) 2017-08-09 2019-08-27 Western Digital Technologies, Inc. Low permeability electrical feed-through
US10424345B1 (en) 2018-06-11 2019-09-24 Western Digital Technologies, Inc. Misalignment-tolerant flexible type electrical feed-through
US10594100B1 (en) 2018-06-11 2020-03-17 Western Digital Technologies, Inc. Flexible type electrical feed-through connector assembly
US11262383B1 (en) 2018-09-26 2022-03-01 Microfabrica Inc. Probes having improved mechanical and/or electrical properties for making contact between electronic circuit elements and methods for making
US10629244B1 (en) 2018-11-07 2020-04-21 Western Digital Technologies, Inc. Sealed electrical feed-through having reduced leak rate
US11049768B2 (en) * 2019-10-29 2021-06-29 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems
US11164778B2 (en) 2019-11-25 2021-11-02 International Business Machines Corporation Barrier-free vertical interconnect structure

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3890636A (en) * 1971-09-09 1975-06-17 Hitachi Ltd Multilayer wiring structure of integrated circuit and method of producing the same
US4289846A (en) * 1979-12-28 1981-09-15 General Electric Company Process for forming low-reactance interconnections on semiconductors
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US5034799A (en) * 1989-02-22 1991-07-23 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device having a hollow multi-layered lead structure
US5117276A (en) * 1989-08-14 1992-05-26 Fairchild Camera And Instrument Corp. High performance interconnect system for an integrated circuit
US5256869A (en) * 1992-06-30 1993-10-26 Texas Instruments Incorporated Free-space optical interconnection using deformable mirror device
US5366911A (en) * 1994-05-11 1994-11-22 United Microelectronics Corporation VLSI process with global planarization
US5372969A (en) * 1991-12-31 1994-12-13 Texas Instruments Incorporated Low-RC multi-level interconnect technology for high-performance integrated circuits
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5798559A (en) * 1996-03-29 1998-08-25 Vlsi Technology, Inc. Integrated circuit structure having an air dielectric and dielectric support pillars
US5847464A (en) * 1995-09-27 1998-12-08 Sgs-Thomson Microelectronics, Inc. Method for forming controlled voids in interlevel dielectric
US5882963A (en) * 1994-11-24 1999-03-16 Siemens Aktiengesellschaft Method of manufacturing semiconductor components
US5930668A (en) * 1991-12-31 1999-07-27 Intel Corporation Process of fabricating embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6146985A (en) * 1995-11-30 2000-11-14 Advanced Micro Devices, Inc. Low capacitance interconnection
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system
US6710449B2 (en) * 2001-09-07 2004-03-23 Matsushita Electric Industrial Co., Ltd. Interconnection structure and method for designing the same
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2247986A (en) * 1990-09-12 1992-03-18 Marconi Gec Ltd Reducing interconnection capacitance in integrated circuits
JPH0786555A (en) * 1993-09-16 1995-03-31 Hitachi Ltd Three-dimensional optoelectronic integrated circuit

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3890636A (en) * 1971-09-09 1975-06-17 Hitachi Ltd Multilayer wiring structure of integrated circuit and method of producing the same
US4289846A (en) * 1979-12-28 1981-09-15 General Electric Company Process for forming low-reactance interconnections on semiconductors
US5034799A (en) * 1989-02-22 1991-07-23 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device having a hollow multi-layered lead structure
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US5117276A (en) * 1989-08-14 1992-05-26 Fairchild Camera And Instrument Corp. High performance interconnect system for an integrated circuit
US5372969A (en) * 1991-12-31 1994-12-13 Texas Instruments Incorporated Low-RC multi-level interconnect technology for high-performance integrated circuits
US5930668A (en) * 1991-12-31 1999-07-27 Intel Corporation Process of fabricating embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5256869A (en) * 1992-06-30 1993-10-26 Texas Instruments Incorporated Free-space optical interconnection using deformable mirror device
US5366911A (en) * 1994-05-11 1994-11-22 United Microelectronics Corporation VLSI process with global planarization
US5882963A (en) * 1994-11-24 1999-03-16 Siemens Aktiengesellschaft Method of manufacturing semiconductor components
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5847464A (en) * 1995-09-27 1998-12-08 Sgs-Thomson Microelectronics, Inc. Method for forming controlled voids in interlevel dielectric
US6146985A (en) * 1995-11-30 2000-11-14 Advanced Micro Devices, Inc. Low capacitance interconnection
US5798559A (en) * 1996-03-29 1998-08-25 Vlsi Technology, Inc. Integrated circuit structure having an air dielectric and dielectric support pillars
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US6091149A (en) * 1996-06-05 2000-07-18 Advanced Micro Devices, Inc. Dissolvable dielectric method and structure
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system
US6710449B2 (en) * 2001-09-07 2004-03-23 Matsushita Electric Industrial Co., Ltd. Interconnection structure and method for designing the same
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020158339A1 (en) * 1997-07-10 2002-10-31 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US20050221611A1 (en) * 1997-07-10 2005-10-06 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US7002252B2 (en) * 1997-07-10 2006-02-21 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US7723850B2 (en) * 2002-09-13 2010-05-25 Rohm And Haas Electronic Materials Llc Electronic devices having air gaps
US20080038518A1 (en) * 2002-09-13 2008-02-14 Shipley Company, L.L.C. Air gap formation
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US20040102032A1 (en) * 2002-11-21 2004-05-27 Kloster Grant M. Selectively converted inter-layer dielectric
US20050181593A1 (en) * 2002-11-21 2005-08-18 Jihperng Leu Selectively converted inter-layer dielectric
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US20050236714A1 (en) * 2002-11-21 2005-10-27 Jihperng Leu Selectively converted inter-layer dielectric
US7239019B2 (en) 2002-11-21 2007-07-03 Intel Corporation Selectively converted inter-layer dielectric
US20080075888A1 (en) * 2003-05-20 2008-03-27 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in cu damascene
US20040235292A1 (en) * 2003-05-20 2004-11-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7474000B2 (en) * 2003-12-05 2009-01-06 Sandisk 3D Llc High density contact to relaxed geometry layers
US20050127519A1 (en) * 2003-12-05 2005-06-16 Matrix Semiconductor, Inc. High density contact to relaxed geometry layers
US20060043060A1 (en) * 2004-08-27 2006-03-02 Hung-Chin Guthrie Method for fabricating thin film magnetic heads using CMP with polishing stop layer
US7279424B2 (en) * 2004-08-27 2007-10-09 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating thin film magnetic heads using CMP with polishing stop layer
US8106513B2 (en) 2004-09-20 2012-01-31 International Business Machines Corporation Copper damascene and dual damascene interconnect wiring
US20060063373A1 (en) * 2004-09-20 2006-03-23 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US20100052172A1 (en) * 2004-09-20 2010-03-04 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US7176119B2 (en) 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US7678683B2 (en) 2004-09-20 2010-03-16 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US8349728B2 (en) 2004-09-20 2013-01-08 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US20060103017A1 (en) * 2004-11-12 2006-05-18 Kabushiki Kaisha Toshiba Semiconductor device
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US20060189137A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US20080096384A1 (en) * 2005-02-24 2008-04-24 Anderson Brent A Method of forming damascene filament wires
US20070278624A1 (en) * 2005-02-24 2007-12-06 Anderson Brent A Damascene filament wire structure
US7915162B2 (en) 2005-02-24 2011-03-29 International Business Machines Corporation Method of forming damascene filament wires
US20100148366A1 (en) * 2006-02-21 2010-06-17 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US7952146B2 (en) 2006-02-21 2011-05-31 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US20070197012A1 (en) * 2006-02-21 2007-08-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US20070201232A1 (en) * 2006-02-27 2007-08-30 Kuei-Fang Chen Illumination apparatus having heat dissipating capability
US8497207B2 (en) * 2009-07-03 2013-07-30 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including landing pads formed by electroless plating
US20110003476A1 (en) * 2009-07-03 2011-01-06 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including landing pads formed by electroless plating
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150084212A1 (en) * 2013-09-25 2015-03-26 United Microelectronics Corporation Clock skew adjusting method and structure
US9135389B2 (en) * 2013-09-25 2015-09-15 United Microelectronics Corporation Clock skew adjusting method and structure
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US10283694B2 (en) 2013-10-07 2019-05-07 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US20180005978A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Method for wafer-wafer bonding
US9941241B2 (en) * 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10297569B2 (en) 2016-06-30 2019-05-21 International Business Machines Corporation Method of forming a three-dimensional bonded semiconductor structure having nitridized oxide regions
US10937735B2 (en) 2018-09-20 2021-03-02 International Business Machines Corporation Hybrid under-bump metallization component
US11749605B2 (en) 2018-09-20 2023-09-05 International Business Machines Corporation Hybrid under-bump metallization component

Also Published As

Publication number Publication date
US6016000A (en) 2000-01-18

Similar Documents

Publication Publication Date Title
US6016000A (en) Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6124198A (en) Ultra high-speed chip interconnect using free-space dielectrics
US5372969A (en) Low-RC multi-level interconnect technology for high-performance integrated circuits
US20220359274A1 (en) Method and Apparatus for Back End of Line Semiconductor Device Processing
US6468894B1 (en) Metal interconnection structure with dummy vias
US6143646A (en) Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6744090B2 (en) Damascene capacitor formed in metal interconnection layer
KR100497580B1 (en) Interconnect structures containing stress adjustment cap layer
US7335588B2 (en) Interconnect structure and method of fabrication of same
US7196420B1 (en) Method and structure for creating ultra low resistance damascene copper wiring
KR100389174B1 (en) Buried metal dual damascene plate capacitor
US6331481B1 (en) Damascene etchback for low ε dielectric
US20040251549A1 (en) Hybrid copper/low k dielectric interconnect integration method and device
US7250681B2 (en) Semiconductor device and a method of manufacturing the semiconductor device
US20120074519A1 (en) Crack stop structure enhancement of the integrated circuit seal ring
US20070155165A1 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US20050082678A1 (en) Method to form selective cap layers on metal features with narrow spaces
US20050142853A1 (en) Dual damascene process for forming a multi-layer low-K dielectric interconnect
US7659598B2 (en) Semiconductor ground shield
US6365971B1 (en) Unlanded vias with a low dielectric constant material as an intraline dielectric
US6870263B1 (en) Device interconnection
KR19980057696A (en) Metal wiring layer formation method of semiconductor device
CN103456681B (en) For the method and apparatus of back segment semiconductor device fabrication
US6974770B2 (en) Self-aligned mask to reduce cell layout area
WO2000035000A1 (en) Ultra high-speed semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectric

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION