US20040055636A1 - Method and apparatus for fluid flow control - Google Patents

Method and apparatus for fluid flow control Download PDF

Info

Publication number
US20040055636A1
US20040055636A1 US10/621,042 US62104203A US2004055636A1 US 20040055636 A1 US20040055636 A1 US 20040055636A1 US 62104203 A US62104203 A US 62104203A US 2004055636 A1 US2004055636 A1 US 2004055636A1
Authority
US
United States
Prior art keywords
gas
fluid
line
flow
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/621,042
Inventor
Juan Rocha-Alvarez
Chen-An Chen
Shankar Venkataraman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/621,042 priority Critical patent/US20040055636A1/en
Publication of US20040055636A1 publication Critical patent/US20040055636A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0363For producing proportionate flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2524Flow dividers [e.g., reversely acting controls]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2529With electrical controller
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2559Self-controlled branched flow systems
    • Y10T137/265Plural outflows

Definitions

  • the invention relates to a method and apparatus for fluid flow control. More specifically, the invention relates to splitting a fluid flow such as a gas flow into pre-selected proportions.
  • a chip manufacturing facility is composed of a broad spectrum of technologies. Cassettes containing semiconductor substrates are routed to various stations in the facility where they are either processed or inspected. Semiconductor processing generally involves the deposition of material onto and removal (“etching”) of material from substrates. Typical processes include chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, chemical mechanical planarization (CMP), etching and others.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • CMP chemical mechanical planarization
  • One method for batch processing is performed in large single chamber batch-processing systems designed to accommodate more than one substrate.
  • process gasses and plasma dedicated to one substrate will often intermix with the process gases and plasma dedicated to another substrate causing process variations within each substrate batch.
  • internal chamber divider walls may be used that form sub-chambers within the single batch-processing chamber.
  • chamber divider walls increase the cost and complexity of the batch-processing chamber.
  • multiple single-substrate processing chambers in tandem are often used to provide the benefits of batch processing and uniformity while allowing the careful control and isolation of the process environment for each substrate within a batch.
  • each individual processing region generally has common gas connections and sources.
  • the gas supplies for each sub-chamber or single-substrate processing chamber generally are coupled to a common gas source eliminating the need for multiple gas sources for the same gas or mixture of process gases.
  • each gas delivery system must be individually monitored and calibrated so that each substrate receives the same amount of process gas flow for each process step, according to the process regime.
  • the variations in gas flow rates for each chamber are due to the flow resistance that depends upon the size of pipe used, length of pipe, and pipe joints, valves, etc. of the gas delivery systems.
  • a centralized gas control system is often used to monitor and control the gas flow.
  • centralized gas control systems generally increase the complexity and cost of the processing systems.
  • conventional individual gas delivery systems are often complex, require individual or centralized monitoring, require individual calibration, and generally increase the cost of production.
  • aspects of the invention generally provide a fluid delivery system for controlling and dividing fluids such as process gases used in substrate processing.
  • the invention provides an apparatus for dividing a gas flow from a gas source, including a first gas line connected to a gas source, a gas flow meter positioned on the first gas line to output a signal corresponding to a gas flow rate through the first gas line, a second gas line connected to the gas source, and a gas flow controller positioned on the second gas line and responsive to the signal from the gas flow meter to divide the gas flow from the gas source.
  • the invention provides an apparatus for dividing a gas flow from a gas source output into a tandem-processing chamber, including a first gas line connecting a gas source output to a first processing region of a tandem processing chamber, a gas flow meter positioned on the first gas line to output a signal corresponding to a first gas flow rate through the first gas line, a second gas line connecting the gas source output to a second processing region of the tandem processing chamber, and a gas flow controller positioned on the second gas line and responsive to the signal from the gas flow meter to divide the gas from the gas source output between the first gas flow rate through the first gas line to the first processing region and a second gas flow rate through the second gas line to the second processing region.
  • the invention provides a method of dividing a fluid flow from a fluid source, including measuring a first fluid flow rate through a first fluid line connected to the fluid source, and controlling a second fluid flow rate through a second fluid line connected to the fluid source using the first fluid flow rate through the first fluid line.
  • the invention provides a method of dividing a gas flow in a tandem processing chamber including measuring a first gas flow rate from a gas source through a first gas line coupled to a first processing region of a tandem processing chamber, and using the first gas flow rate, controlling a second gas flow rate from the gas source through a second gas line coupled to a second processing region of the tandem processing chamber.
  • FIG. 1 is a plan-view of a prior art semiconductor batch-processing tool that may be used to advantage.
  • FIG. 2A is a top perspective view of a semiconductor batch-processing tool of FIG. 1 including a gas delivery system of the invention that may be used to advantage.
  • FIG. 2B is a bottom perspective view of the semiconductor batch-processing tool of FIG. 1 including a gas delivery system of the invention that may be used to advantage.
  • FIG. 3 is a cutaway view of the tandem-processing chamber of FIG. 1 including the gas delivery system of FIGS. 2A and 2B.
  • FIG. 4 is a diagrammatic view illustrating the gas flow control loop of the invention that may be used to advantage.
  • FIG. 5 is a diagrammatic view illustrating two gas flow control loops of the invention that may be used to advantage.
  • FIG. 6 is a diagrammatic view of one embodiment of a gas flow measuring apparatus illustrating a flow constriction of the invention that may be used to advantage.
  • FIG. 7 is a flow diagram of the invention illustrating a method of gas flow control that may be used to advantage.
  • FIG. 8 is a graphical illustration of the results of an example tandem-chamber substrate deposition process without gas flow control.
  • FIG. 9 is a graphical illustration of the results of an example tandem-chamber substrate deposition process of the invention that may be used to advantage.
  • aspects of the invention generally provide a fluid delivery system for controlling and dividing fluids such as process gases used in substrate processing.
  • the system is a staged vacuum system which generally includes a load lock chamber for introducing substrates into the system, a transfer chamber for housing a substrate handler, and one or more processing chambers each having two or more processing regions which are isolatable from each other and preferably share a common fluid supply and a common exhaust pump.
  • Isolatable means that the processing regions have a confined plasma zone separate from the adjacent region that is selectively communicable with the adjacent region via an exhaust system.
  • the processing regions within each chamber also preferably include separate fluid distribution assemblies and RF power sources to provide a uniform plasma density over a substrate surface in each processing region.
  • the processing chambers are configured to allow multiple, isolated processes to be performed concurrently in at least two regions so that at least two substrates can be processed simultaneously in separate processing regions with a high degree of process control provided by shared gas sources, shared exhaust systems, separate gas distribution assemblies, separate RF power sources, and separate temperature control systems.
  • the terms processing region and chamber may be used to designate the zone in which plasma processing is carried out.
  • FIG. 1 is a plan view of one embodiment of a tandem semiconductor processing system 100 in which embodiments of the invention may be used to advantage.
  • the arrangement and combination of chambers may be altered for purposes of performing specific fabrication process steps.
  • the tandem-chamber processing system 100 is a self-contained system having the necessary processing utilities supported on a mainframe structure 101 which can be easily installed and which provides a quick start up for operation.
  • the substrate processing system 100 generally includes four different regions, namely, a front end staging area 102 where substrate cassettes 109 are supported and substrates are loaded into and unloaded from a loadlock chamber 112 , a transfer chamber 111 housing a substrate handler 113 , a series of tandem-process chambers 106 mounted on the transfer chamber 111 and a back end 138 which houses the support utilities needed for operation of the system 100 , such as a gas panel 103 , and the power distribution panel 105 for RF power generators 107 .
  • the system can be adapted to accommodate various processes and supporting chamber hardware such as CVD, PVD, etch, and the like.
  • FIGS. 2A and 2B illustrate a perspective top view and bottom view respectively of one embodiment of a tandem-processing chamber 106 that includes the gas delivery system of the invention.
  • the tandem-processing chamber 106 includes a chamber body 102 mounted or otherwise connected to the transfer chamber 111 and includes two cylindrical annular processing regions in which individual substrates are concurrently processed.
  • the chamber body 102 supports a lid 104 that is hindgedly attached to the chamber body 102 and includes one or more gas distribution systems 108 for delivering reactant and cleaning fluids such as process gases and gas mixtures into the processing regions therein.
  • FIG. 3 shows a cross-sectional view of the tandem-processing chamber 106 for use with aspects of the invention.
  • the tandem-processing chamber 106 includes a chamber body 102 having a sidewall 112 , an interior wall 114 , and a bottom wall 116 .
  • the sidewall 112 and the interior wall 114 define the two cylindrical annular processing regions 118 , 120 .
  • the bottom wall 116 of the processing regions 118 , 120 defines at least two passages 124 , 122 through which a stem 126 of a pedestal heater 128 and a rod 130 of a substrate lift pin assembly are disposed, respectively.
  • a circumferential pumping channel 125 is formed in the interior chamber walls 114 for exhausting gases and controlling the pressure within each region 118 , 120 .
  • a chamber liner or insert 127 preferably made of ceramic, glass, quartz, or the like, is disposed in each processing region 118 , 120 to define the lateral boundary of each processing region 118 , 120 and to protect the chamber walls 112 , 114 from the corrosive processing environment, and to maintain an electrically isolated plasma environment.
  • the liner 127 is supported in the chamber on a ledge 129 formed in the walls 112 , 114 of each processing region 118 , 120 .
  • the liner includes a plurality of exhaust ports 131 , or circumferential slots, disposed therethrough and in communication with the pumping channel 125 formed in the chamber walls where the pumping channel 125 is connected to a common vacuum source (not shown).
  • a common vacuum source not shown.
  • the height of the ports 131 relative to the gas distribution system 108 is adapted to provide an optimal gas flow pattern over the substrate during processing.
  • the chamber body 102 defines a plurality of vertical gas passages for each reactant gas and cleaning gas suitable for the selected process.
  • the gasses are delivered through the vertical passages in the chamber body 102 into a gas distribution system 108 disposed through the chamber lid 104 to deliver gases into the processing regions 118 , 120 , from a gas source such as the gas panel 103 .
  • the gas distribution system 108 of each processing region includes a gas inlet passage 140 that delivers process gases into a showerhead assembly 142 from a gas inlet manifold 117 .
  • the showerhead assembly 142 is comprised of an annular base plate 148 having a blocker plate 144 disposed intermediate a faceplate 146 .
  • a plurality of O-rings 147 are provided on the upper surface of the chamber walls 112 , 114 around each gas passage to provide sealing connection with the lid 104 .
  • the lid 104 includes matching passages to deliver the gas from the vertical passages within the lower portion of the chamber 102 into the gas distribution system 108 .
  • Gas inlet connections 153 are disposed at the bottom 116 of tandem-processing chamber 106 to connect the gas passages formed in the chamber 102 to a first and a second gas delivery line 139 , 141 .
  • the base plate 148 defines a gas passage therethrough to deliver process gases to a region just above the blocker plate 144 .
  • the blocker plate 144 disperses the process gases over its upper surface and delivers the gases above the faceplate 146 .
  • holes in the blocker plate 144 can be sized and positioned to enhance mixing of the process gases and distribution over the faceplate 146 .
  • the gases delivered to the faceplate 146 are then delivered into the processing regions 118 , 120 in a uniform manner over a substrate positioned for processing.
  • an RF feedthrough (not shown) provides an electrical conduit through the walls 112 , 114 to provide a bias potential to each showerhead assembly 142 , facilitating the delivery of RF power for the generation of plasma between the faceplate 146 of the showerhead assembly and the heater pedestal 128 .
  • a cooling channel 152 is formed in a base plate 148 of each gas distribution system 108 to cool the base plate 148 during operation.
  • a fluid inlet 155 delivers a coolant fluid, such as water or the like, into the channels 152 that are connected to each other by coolant line 157 .
  • the cooling fluid exits the channel through a coolant outlet 159 .
  • the cooling fluid is circulated through the manifold 117 .
  • FIG. 4 is a diagrammatic view illustrating a gas flow control loop for the tandem-processing chamber 106 of FIGS. 1 - 3 . As necessary, FIGS. 1 - 3 are referenced in the following discussion of FIG. 4.
  • one or more fluids such as process gases, or a mixture of process gasses, are supplied to the tandem-process chamber 106 from the gas panel 103 having a gas flow delivery system (GFD) 180 coupled to the gas delivery lines 139 , 141 .
  • the GFD 180 includes a splitter 133 such as a line splitter, t-type, and the like having a gas input coupled to a gas source line 132 from the gas panel 103 .
  • the splitter 133 includes a first splitter output 156 connected to a gas input 183 of a gas flow measuring apparatus (GFM) 182 , such as a gas flow meter, mass flow meter (MFM), and the like, and a second splitter output 158 .
  • GMM gas flow measuring apparatus
  • the GFM 182 includes a flow output 185 and one or more flow measurement signal outputs 155 adapted to provide flow measurement signals such as digital signals, analog signals, and the like, indicative of the amount of flow through gas delivery line 139 .
  • the GFD 180 includes a gas flow control apparatus (GFC) 184 , such as an adjustable gas flow controller, orifice, venturi, or a valve, such as a gate valve, a ball valve, a pneumatic valve, and the like.
  • GFC 184 also comprises a gas control input 190 coupled to the second splitter output 158 , a gas control output 191 coupled to the second gas delivery line 141 , and a flow control input 161 coupled to and responsive to the flow measurement signal output 155 from the GFM 182 .
  • the signal level of the flow measurement signal output 155 of the GFM 182 is a function of the gas flow through gas line 139 measured by the GFM 182 .
  • the flow measurement signal from the signal output 155 may increase in voltage or current.
  • the gain of the flow control input 161 may be set such that a minimum voltage from the signal output 155 corresponds to a minimum flow and a maximum flow measurement signal output 155 corresponds to a maximum flow through the GFC 184 .
  • the gain of the flow control input 161 and flow measurement signal 155 have about the same flow range so the control signal output 155 indicates that the total flow from the gas line 131 is divided into about a fifty percent flow through the GFM 182 and through the GFC 184 in a steady state condition.
  • the values of the minimum flow measurement signal 155 voltage is about zero volts and the maximum voltage is about 5 volts
  • the flow measurement signal output 155 may be any value and type of signal such as voltage, current, power, electro-optical, or electromechanical, and the like.
  • the flow measurement signal 155 may be a digital signal whereby the digital information controls the flow control input 161 .
  • the digital signal may be in a byte format whereby the change in the byte value changes the flow through the GFC 184 .
  • a filter 177 such as a sintered nickel filter available from PALL or Millipore, is disposed in the gas line 132 upstream and/or downstream from the splitter 133 .
  • the gas line 132 may be coupled to a mass flow controller within the gas panel 103 to establish a consistent input gas flow to the GFD 180 .
  • FIG. 4 is merely one hardware configuration for a GFD 180 . Aspects of the invention can apply to any comparable hardware configuration, regardless of whether the GFD 180 is a complicated, multi-gas delivery apparatus or a single gas delivery apparatus.
  • FIG. 5 illustrates combining two GFDs to provide two or more different fluids or mixtures of fluids to the tandem-processing chamber 106 where, for example, a fluid such as a process gas A is delivered by a first GFD 180 and a second fluid such as a process gas B is delivered by a second GFD 181 .
  • FIG. 6 illustrates a diagrammatic view of one embodiment of a GFM 182 . As necessary, FIGS. 1 - 5 are referenced in the following discussion of FIG. 6.
  • the GFM 182 includes a gas flow restriction 187 such as an orifice, block, valve, and the like, adapted to provide gas flow resistance.
  • the restriction 187 is sized to set the desired flow rate through the gas delivery line 139 to establish a desired initial gas flow rate through both gas lines 139 , 141 and provide a gas flow resistance through gas delivery line 139 .
  • the split gas lines 139 , 141 share a common gas input 131 and are in communication through splitter 133 whereby the flow through each line equals about the total gas flow. Therefore, a flow restriction within either gas delivery line 139 , 141 affects the gas flow through the other line.
  • the gas flow restriction 187 includes an orifice 188 having an inner diameter of about 0.03 inches to about 0.06 inches to provide the gas flow resistance.
  • the gas restriction 187 may be a fixed value or may be adjustable to further accommodate different process gases and flow requirements.
  • the restriction 187 is a separate device coupled to any portion of gas line 139 .
  • FIG. 7 is a flow diagram of one embodiment for a method 700 for fluid flow control for the tandem-processing chamber of FIG. 1 in accordance with aspects of the invention. As necessary, FIGS. 1 - 6 are referenced in the following discussion of FIG. 7.
  • FIG. 7 is entered at step 705 when for example a fluid such as a process gas is delivered from the gas line 131 to the GFD 180 .
  • the GFC 184 is set to minimum flow and the GFM 184 is set to maximum flow.
  • the process gas flows from the input gas line 131 to the splitter 133 and then to each gas delivery line 139 , 141 .
  • the majority of the process gas flow occurs through the GFM 182 .
  • the flow through the GFM 182 is measured at step 715 and the corresponding flow measurement signal 155 is then transmitted to the flow control input 161 .
  • the flow measurement signal 155 then opens the flow of gas through the GFC 184 .
  • the gas flow through the GFM is proportionally decreased.
  • the value of the flow measurement signal 155 corresponds to the input range of the flow control input 161 such that about fifty percent of the process gas flows through the GFM 182 and GFC 184 .
  • the GFM 182 controls the gas flow through the GFC 184 in accordance to the measured gas flow through the GFM 182 , the individual flow through each gas delivery line 139 , 142 is adjusted until the two flow rates are about equal and in equilibrium.
  • each gas delivery line 139 , 141 is preferred, other ratios of gas flows are contemplated to allow for variations between processing regions. If the gas flow rate is about identical through GFM 182 and GFC 184 , the gas flow is continued until the process step is finished at step 730 . Subsequently, the method 700 exits at step 735 .
  • the gas lines 139 , 141 , and the flow control signal define a closed loop gas control system responsive to the gas flow from the gas input 131 where a change in gas flow results in a proportional change in the gas flow rates through the gas lines 139 , 141 .
  • the precursor gas may be any gas or gas mixture such as Trimethylsilane (TMS), NF 3 , and the like, adapted to perform substrate processing operations.
  • TMS Trimethylsilane
  • the flow rate of activated species is about 100 sccm to about 20 slm per minute and the chamber pressure is about 0.5 Torr to about 10.0 Torr.
  • the RF sources supply about 200 watts to about 2000 watts to the plasma.
  • any power source that is capable of activating the precursor gas can be used.
  • the plasma can employ combinations of DC, radio frequency (RF), and microwave (MW) based discharge techniques.
  • RF radio frequency
  • MW microwave
  • an RF power source it can be either capacitively or inductively coupled to the inside of the chamber.
  • the activation can also be performed by a thermally based, gas breakdown technique, a high intensity light source, or an x-ray source, to name just a few.
  • the reactive gases may be selected from a wide range of options.
  • the reactive gas may be chlorine, fluorine or compounds thereof that include carbon, oxygen, helium, or hydrogen, e.g. CF 4 , SF 6 , C2F 6 , CCl 4 , C2Cl 6 , SiO 2 , etc.
  • the particular gas that is used depends on the material that is being deposited.
  • FIGS. 8 and 9 illustrate one example of a tandem process performed with and without using the fluid flow control apparatus and method described above.
  • the following table presents one example of chamber operating conditions for a deposition process performed in one embodiment of a tandem-chamber of the invention for both FIGS. 8 and 9.
  • the gas flow apparatus and method are not used.
  • the left chamber and right chamber show a difference in substrate thickness of about 5%.
  • the gas flow apparatus and method are used. There is a less than about 1% difference in the substrate thickness variation between the left and right processing regions.
  • GAS TMS About 500 sccm to about 2000 sccm
  • GAS O 2
  • Chamber Pressure About 0.5 Torr to about 10 Torr
  • RF Power About 400 W to about 2000 W
  • more than two chambers may be used in tandem where the gas line is split in more than two gas delivery lines.
  • the process gas may be a mixture of gases where each gas is premixed with other gases and then flowed into the GFD 180 .
  • one or more fluids can be divided through both gas delivery lines 139 , 141 and then brought to a gaseous phase within the tandem-processing chamber 106 .

Abstract

The invention provides a method and apparatus to control fluids such as process gases into two or more substrate process chambers. In one aspect, the gas flow from a first supply to a first processing region is used to control the gas flow of a second supply to a second processing region where the total gas flow is about equal to the total of the gas flows into both the first and second processing regions. In another aspect, the gas flow rate from the first supply for the first processing region is about equal to the gas flow rate for the second supply to the second processing region.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The invention relates to a method and apparatus for fluid flow control. More specifically, the invention relates to splitting a fluid flow such as a gas flow into pre-selected proportions. [0002]
  • 2. Background of the Related Art [0003]
  • A chip manufacturing facility is composed of a broad spectrum of technologies. Cassettes containing semiconductor substrates are routed to various stations in the facility where they are either processed or inspected. Semiconductor processing generally involves the deposition of material onto and removal (“etching”) of material from substrates. Typical processes include chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, chemical mechanical planarization (CMP), etching and others. [0004]
  • Conventional substrate processing systems often process substrates serially, i.e., one substrate at a time. Unfortunately, processing substrates serially results in throughput limitations corresponding to an individual substrate process time. To overcome the limitations of serial processing, batch (i.e., parallel) processing is often employed. Batch processing allows several substrates to be processed simultaneously using common fluids such as process gasses, chambers, processes, etc. thereby decreasing equipment costs, and increasing throughput. Ideally, batch-processing systems expose each of the substrates to an identical process environment whereby each substrate receives the same process gases and plasma densities for uniform processing of the batch. [0005]
  • One method for batch processing is performed in large single chamber batch-processing systems designed to accommodate more than one substrate. Unfortunately, as the substrates within a single batch-processing chamber share a common area, process gasses and plasma dedicated to one substrate will often intermix with the process gases and plasma dedicated to another substrate causing process variations within each substrate batch. To minimize the intermixing issue, internal chamber divider walls may be used that form sub-chambers within the single batch-processing chamber. However, chamber divider walls increase the cost and complexity of the batch-processing chamber. To eliminate the need for divider walls, multiple single-substrate processing chambers in tandem are often used to provide the benefits of batch processing and uniformity while allowing the careful control and isolation of the process environment for each substrate within a batch. [0006]
  • To control the individual process for each substrate within a batch-processing environment, individual gas, power, and plasma systems are often incorporated within the processing chambers or sub-chambers. In addition, there is usually an individual gas delivery system for each gas or mixture of gases. To reduce the cost of multiple gas supplies and process controls each individual processing region generally has common gas connections and sources. For example, the gas supplies for each sub-chamber or single-substrate processing chamber generally are coupled to a common gas source eliminating the need for multiple gas sources for the same gas or mixture of process gases. Unfortunately, due to variations in gas flow within each individual gas delivery system, each gas delivery system must be individually monitored and calibrated so that each substrate receives the same amount of process gas flow for each process step, according to the process regime. The variations in gas flow rates for each chamber are due to the flow resistance that depends upon the size of pipe used, length of pipe, and pipe joints, valves, etc. of the gas delivery systems. [0007]
  • To alleviate the calibration and control of each individual gas system for the single chamber or multi-chamber types of batch-processing systems, a centralized gas control system is often used to monitor and control the gas flow. Unfortunately, centralized gas control systems generally increase the complexity and cost of the processing systems. Thus, regardless of the batch processing system used, conventional individual gas delivery systems are often complex, require individual or centralized monitoring, require individual calibration, and generally increase the cost of production. [0008]
  • Therefore, there is a need for method and apparatus to provide a uniform fluid flow to each chamber within a batch-processing system in a simple and cost effective manner. [0009]
  • SUMMARY OF THE INVENTION
  • Aspects of the invention generally provide a fluid delivery system for controlling and dividing fluids such as process gases used in substrate processing. In one embodiment, the invention provides an apparatus for dividing a gas flow from a gas source, including a first gas line connected to a gas source, a gas flow meter positioned on the first gas line to output a signal corresponding to a gas flow rate through the first gas line, a second gas line connected to the gas source, and a gas flow controller positioned on the second gas line and responsive to the signal from the gas flow meter to divide the gas flow from the gas source. [0010]
  • In another embodiment, the invention provides an apparatus for dividing a gas flow from a gas source output into a tandem-processing chamber, including a first gas line connecting a gas source output to a first processing region of a tandem processing chamber, a gas flow meter positioned on the first gas line to output a signal corresponding to a first gas flow rate through the first gas line, a second gas line connecting the gas source output to a second processing region of the tandem processing chamber, and a gas flow controller positioned on the second gas line and responsive to the signal from the gas flow meter to divide the gas from the gas source output between the first gas flow rate through the first gas line to the first processing region and a second gas flow rate through the second gas line to the second processing region. [0011]
  • In still another embodiment, the invention provides a method of dividing a fluid flow from a fluid source, including measuring a first fluid flow rate through a first fluid line connected to the fluid source, and controlling a second fluid flow rate through a second fluid line connected to the fluid source using the first fluid flow rate through the first fluid line. [0012]
  • In another embodiment, the invention provides a method of dividing a gas flow in a tandem processing chamber including measuring a first gas flow rate from a gas source through a first gas line coupled to a first processing region of a tandem processing chamber, and using the first gas flow rate, controlling a second gas flow rate from the gas source through a second gas line coupled to a second processing region of the tandem processing chamber. [0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0014]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0015]
  • FIG. 1 is a plan-view of a prior art semiconductor batch-processing tool that may be used to advantage. [0016]
  • FIG. 2A is a top perspective view of a semiconductor batch-processing tool of FIG. 1 including a gas delivery system of the invention that may be used to advantage. [0017]
  • FIG. 2B is a bottom perspective view of the semiconductor batch-processing tool of FIG. 1 including a gas delivery system of the invention that may be used to advantage. [0018]
  • FIG. 3 is a cutaway view of the tandem-processing chamber of FIG. 1 including the gas delivery system of FIGS. 2A and 2B. [0019]
  • FIG. 4 is a diagrammatic view illustrating the gas flow control loop of the invention that may be used to advantage. [0020]
  • FIG. 5 is a diagrammatic view illustrating two gas flow control loops of the invention that may be used to advantage. [0021]
  • FIG. 6 is a diagrammatic view of one embodiment of a gas flow measuring apparatus illustrating a flow constriction of the invention that may be used to advantage. [0022]
  • FIG. 7 is a flow diagram of the invention illustrating a method of gas flow control that may be used to advantage. [0023]
  • FIG. 8 is a graphical illustration of the results of an example tandem-chamber substrate deposition process without gas flow control. [0024]
  • FIG. 9 is a graphical illustration of the results of an example tandem-chamber substrate deposition process of the invention that may be used to advantage. [0025]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Aspects of the invention generally provide a fluid delivery system for controlling and dividing fluids such as process gases used in substrate processing. In accordance with one aspect of the invention, the system is a staged vacuum system which generally includes a load lock chamber for introducing substrates into the system, a transfer chamber for housing a substrate handler, and one or more processing chambers each having two or more processing regions which are isolatable from each other and preferably share a common fluid supply and a common exhaust pump. Isolatable means that the processing regions have a confined plasma zone separate from the adjacent region that is selectively communicable with the adjacent region via an exhaust system. The processing regions within each chamber also preferably include separate fluid distribution assemblies and RF power sources to provide a uniform plasma density over a substrate surface in each processing region. The processing chambers are configured to allow multiple, isolated processes to be performed concurrently in at least two regions so that at least two substrates can be processed simultaneously in separate processing regions with a high degree of process control provided by shared gas sources, shared exhaust systems, separate gas distribution assemblies, separate RF power sources, and separate temperature control systems. For ease of description, the terms processing region and chamber may be used to designate the zone in which plasma processing is carried out. [0026]
  • FIG. 1 is a plan view of one embodiment of a tandem [0027] semiconductor processing system 100 in which embodiments of the invention may be used to advantage. The arrangement and combination of chambers may be altered for purposes of performing specific fabrication process steps.
  • The tandem-[0028] chamber processing system 100 is a self-contained system having the necessary processing utilities supported on a mainframe structure 101 which can be easily installed and which provides a quick start up for operation. The substrate processing system 100 generally includes four different regions, namely, a front end staging area 102 where substrate cassettes 109 are supported and substrates are loaded into and unloaded from a loadlock chamber 112, a transfer chamber 111 housing a substrate handler 113, a series of tandem-process chambers 106 mounted on the transfer chamber 111 and a back end 138 which houses the support utilities needed for operation of the system 100, such as a gas panel 103, and the power distribution panel 105 for RF power generators 107. The system can be adapted to accommodate various processes and supporting chamber hardware such as CVD, PVD, etch, and the like.
  • FIGS. 2A and 2B illustrate a perspective top view and bottom view respectively of one embodiment of a tandem-[0029] processing chamber 106 that includes the gas delivery system of the invention. The tandem-processing chamber 106 includes a chamber body 102 mounted or otherwise connected to the transfer chamber 111 and includes two cylindrical annular processing regions in which individual substrates are concurrently processed. The chamber body 102 supports a lid 104 that is hindgedly attached to the chamber body 102 and includes one or more gas distribution systems 108 for delivering reactant and cleaning fluids such as process gases and gas mixtures into the processing regions therein.
  • FIG. 3 shows a cross-sectional view of the tandem-[0030] processing chamber 106 for use with aspects of the invention. The tandem-processing chamber 106 includes a chamber body 102 having a sidewall 112, an interior wall 114, and a bottom wall 116. The sidewall 112 and the interior wall 114 define the two cylindrical annular processing regions 118, 120. The bottom wall 116 of the processing regions 118, 120 defines at least two passages 124, 122 through which a stem 126 of a pedestal heater 128 and a rod 130 of a substrate lift pin assembly are disposed, respectively. A circumferential pumping channel 125 is formed in the interior chamber walls 114 for exhausting gases and controlling the pressure within each region 118, 120. A chamber liner or insert 127, preferably made of ceramic, glass, quartz, or the like, is disposed in each processing region 118, 120 to define the lateral boundary of each processing region 118, 120 and to protect the chamber walls 112, 114 from the corrosive processing environment, and to maintain an electrically isolated plasma environment. The liner 127 is supported in the chamber on a ledge 129 formed in the walls 112, 114 of each processing region 118, 120. The liner includes a plurality of exhaust ports 131, or circumferential slots, disposed therethrough and in communication with the pumping channel 125 formed in the chamber walls where the pumping channel 125 is connected to a common vacuum source (not shown). Preferably, there are about forty-eight ports 131 disposed through each liner 127 which are spaced apart by about 7.5° and located about the periphery of the processing regions 118, 120. While forty-eight ports are preferred, any number can be employed to achieve the desired pumping rate and uniformity. In addition to the number of ports 131, the height of the ports 131 relative to the gas distribution system 108 is adapted to provide an optimal gas flow pattern over the substrate during processing. In addition, the chamber body 102 defines a plurality of vertical gas passages for each reactant gas and cleaning gas suitable for the selected process. The gasses are delivered through the vertical passages in the chamber body 102 into a gas distribution system 108 disposed through the chamber lid 104 to deliver gases into the processing regions 118, 120, from a gas source such as the gas panel 103.
  • The [0031] gas distribution system 108 of each processing region includes a gas inlet passage 140 that delivers process gases into a showerhead assembly 142 from a gas inlet manifold 117. The showerhead assembly 142 is comprised of an annular base plate 148 having a blocker plate 144 disposed intermediate a faceplate 146. A plurality of O-rings 147 are provided on the upper surface of the chamber walls 112, 114 around each gas passage to provide sealing connection with the lid 104. The lid 104 includes matching passages to deliver the gas from the vertical passages within the lower portion of the chamber 102 into the gas distribution system 108. Gas inlet connections 153 are disposed at the bottom 116 of tandem-processing chamber 106 to connect the gas passages formed in the chamber 102 to a first and a second gas delivery line 139, 141. In one aspect, the base plate 148 defines a gas passage therethrough to deliver process gases to a region just above the blocker plate 144. The blocker plate 144 disperses the process gases over its upper surface and delivers the gases above the faceplate 146. In one aspect, holes in the blocker plate 144 can be sized and positioned to enhance mixing of the process gases and distribution over the faceplate 146. The gases delivered to the faceplate 146 are then delivered into the processing regions 118, 120 in a uniform manner over a substrate positioned for processing.
  • In one aspect, an RF feedthrough (not shown) provides an electrical conduit through the [0032] walls 112, 114 to provide a bias potential to each showerhead assembly 142, facilitating the delivery of RF power for the generation of plasma between the faceplate 146 of the showerhead assembly and the heater pedestal 128. A cooling channel 152 is formed in a base plate 148 of each gas distribution system 108 to cool the base plate 148 during operation. A fluid inlet 155 delivers a coolant fluid, such as water or the like, into the channels 152 that are connected to each other by coolant line 157. The cooling fluid exits the channel through a coolant outlet 159. Alternatively, the cooling fluid is circulated through the manifold 117.
  • FIG. 4 is a diagrammatic view illustrating a gas flow control loop for the tandem-[0033] processing chamber 106 of FIGS. 1-3. As necessary, FIGS. 1-3 are referenced in the following discussion of FIG. 4.
  • Illustratively, one or more fluids such as process gases, or a mixture of process gasses, are supplied to the tandem-[0034] process chamber 106 from the gas panel 103 having a gas flow delivery system (GFD) 180 coupled to the gas delivery lines 139,141. In one aspect, the GFD 180 includes a splitter 133 such as a line splitter, t-type, and the like having a gas input coupled to a gas source line 132 from the gas panel 103. The splitter 133 includes a first splitter output 156 connected to a gas input 183 of a gas flow measuring apparatus (GFM) 182, such as a gas flow meter, mass flow meter (MFM), and the like, and a second splitter output 158. The GFM 182 includes a flow output 185 and one or more flow measurement signal outputs 155 adapted to provide flow measurement signals such as digital signals, analog signals, and the like, indicative of the amount of flow through gas delivery line 139. Further, the GFD 180 includes a gas flow control apparatus (GFC) 184, such as an adjustable gas flow controller, orifice, venturi, or a valve, such as a gate valve, a ball valve, a pneumatic valve, and the like. The GFC 184 also comprises a gas control input 190 coupled to the second splitter output 158, a gas control output 191 coupled to the second gas delivery line 141, and a flow control input 161 coupled to and responsive to the flow measurement signal output 155 from the GFM 182. In one aspect, the signal level of the flow measurement signal output 155 of the GFM 182 is a function of the gas flow through gas line 139 measured by the GFM 182. For example, as the gas flow increases through the GFM 182, the flow measurement signal from the signal output 155 may increase in voltage or current. The gain of the flow control input 161 may be set such that a minimum voltage from the signal output 155 corresponds to a minimum flow and a maximum flow measurement signal output 155 corresponds to a maximum flow through the GFC 184. In another aspect, the gain of the flow control input 161 and flow measurement signal 155 have about the same flow range so the control signal output 155 indicates that the total flow from the gas line 131 is divided into about a fifty percent flow through the GFM 182 and through the GFC 184 in a steady state condition. Although it is preferred that the values of the minimum flow measurement signal 155 voltage is about zero volts and the maximum voltage is about 5 volts, it is contemplated that the flow measurement signal output 155 may be any value and type of signal such as voltage, current, power, electro-optical, or electromechanical, and the like. Further, it is contemplated that the flow measurement signal 155 may be a digital signal whereby the digital information controls the flow control input 161. For example, the digital signal may be in a byte format whereby the change in the byte value changes the flow through the GFC 184. In another aspect, a filter 177, such as a sintered nickel filter available from PALL or Millipore, is disposed in the gas line 132 upstream and/or downstream from the splitter 133. In still another aspect, the gas line 132 may be coupled to a mass flow controller within the gas panel 103 to establish a consistent input gas flow to the GFD 180.
  • FIG. 4 is merely one hardware configuration for a [0035] GFD 180. Aspects of the invention can apply to any comparable hardware configuration, regardless of whether the GFD 180 is a complicated, multi-gas delivery apparatus or a single gas delivery apparatus. For example, FIG. 5 illustrates combining two GFDs to provide two or more different fluids or mixtures of fluids to the tandem-processing chamber 106 where, for example, a fluid such as a process gas A is delivered by a first GFD 180 and a second fluid such as a process gas B is delivered by a second GFD 181.
  • FIG. 6 illustrates a diagrammatic view of one embodiment of a [0036] GFM 182. As necessary, FIGS. 1-5 are referenced in the following discussion of FIG. 6.
  • In one aspect, the [0037] GFM 182 includes a gas flow restriction 187 such as an orifice, block, valve, and the like, adapted to provide gas flow resistance. The restriction 187 is sized to set the desired flow rate through the gas delivery line 139 to establish a desired initial gas flow rate through both gas lines 139, 141 and provide a gas flow resistance through gas delivery line 139. The split gas lines 139, 141 share a common gas input 131 and are in communication through splitter 133 whereby the flow through each line equals about the total gas flow. Therefore, a flow restriction within either gas delivery line 139, 141 affects the gas flow through the other line. For example, if the gas flow were completely restricted through gas delivery line 139 and the gas delivery line 141 was unrestricted, then the gas would flow through gas delivery line 141. In one aspect, the gas flow restriction 187 includes an orifice 188 having an inner diameter of about 0.03 inches to about 0.06 inches to provide the gas flow resistance. Thus, as a process gas flows through the GFM 182, the gas flow from gas delivery line 139 is impeded by the gas flow restriction 187 creating backpressure within gas delivery line 139 causing process gas to flow through gas delivery line 141. In one aspect, the gas restriction 187 may be a fixed value or may be adjustable to further accommodate different process gases and flow requirements. In another aspect, the restriction 187 is a separate device coupled to any portion of gas line 139.
  • Fluid Flow Control [0038]
  • FIG. 7 is a flow diagram of one embodiment for a [0039] method 700 for fluid flow control for the tandem-processing chamber of FIG. 1 in accordance with aspects of the invention. As necessary, FIGS. 1-6 are referenced in the following discussion of FIG. 7.
  • FIG. 7 is entered at [0040] step 705 when for example a fluid such as a process gas is delivered from the gas line 131 to the GFD 180. At step 710, the GFC 184 is set to minimum flow and the GFM 184 is set to maximum flow. The process gas flows from the input gas line 131 to the splitter 133 and then to each gas delivery line 139, 141. Initially, due to the setting of the GFC 184 and GFM 182, the majority of the process gas flow occurs through the GFM 182. The flow through the GFM 182 is measured at step 715 and the corresponding flow measurement signal 155 is then transmitted to the flow control input 161. The flow measurement signal 155 then opens the flow of gas through the GFC 184. As the flow of process gas begins to flow through the GFC 184, the gas flow through the GFM is proportionally decreased. In one aspect, at step 725, the value of the flow measurement signal 155 corresponds to the input range of the flow control input 161 such that about fifty percent of the process gas flows through the GFM 182 and GFC 184. In one aspect, as the gas flows within the gas delivery lines 139, 141 are responsive to the gas flows of each other, and the GFM 182 controls the gas flow through the GFC 184 in accordance to the measured gas flow through the GFM 182, the individual flow through each gas delivery line 139, 142 is adjusted until the two flow rates are about equal and in equilibrium. Although, a fifty percent flow through each gas delivery line 139, 141 is preferred, other ratios of gas flows are contemplated to allow for variations between processing regions. If the gas flow rate is about identical through GFM 182 and GFC 184, the gas flow is continued until the process step is finished at step 730. Subsequently, the method 700 exits at step 735. Thus, the gas lines 139, 141, and the flow control signal define a closed loop gas control system responsive to the gas flow from the gas input 131 where a change in gas flow results in a proportional change in the gas flow rates through the gas lines 139, 141.
  • Example Process Parameters [0041]
  • In the described embodiment, the precursor gas may be any gas or gas mixture such as Trimethylsilane (TMS), NF[0042] 3, and the like, adapted to perform substrate processing operations. In one aspect, the flow rate of activated species is about 100 sccm to about 20 slm per minute and the chamber pressure is about 0.5 Torr to about 10.0 Torr. Within the deposition chamber, the RF sources supply about 200 watts to about 2000 watts to the plasma.
  • Though a RF generator is used in the described embodiment to activate the precursor gas, any power source that is capable of activating the precursor gas can be used. For example, the plasma can employ combinations of DC, radio frequency (RF), and microwave (MW) based discharge techniques. In addition, if an RF power source is used, it can be either capacitively or inductively coupled to the inside of the chamber. The activation can also be performed by a thermally based, gas breakdown technique, a high intensity light source, or an x-ray source, to name just a few. [0043]
  • In general, the reactive gases may be selected from a wide range of options. For example, the reactive gas may be chlorine, fluorine or compounds thereof that include carbon, oxygen, helium, or hydrogen, e.g. CF[0044] 4, SF6, C2F6, CCl4, C2Cl6, SiO2, etc. Of course, the particular gas that is used depends on the material that is being deposited.
  • FIGS. 8 and 9 illustrate one example of a tandem process performed with and without using the fluid flow control apparatus and method described above. The following table presents one example of chamber operating conditions for a deposition process performed in one embodiment of a tandem-chamber of the invention for both FIGS. 8 and 9. With reference to FIG. 8, the gas flow apparatus and method are not used. The left chamber and right chamber show a difference in substrate thickness of about 5%. With reference to FIG. 9, the gas flow apparatus and method are used. There is a less than about 1% difference in the substrate thickness variation between the left and right processing regions. [0045]
    Processing Parameter Parameter Value
    GAS: TMS About 500 sccm to about 2000 sccm
    GAS: O2 About 400 sccm to about 2000 sccm
    Chamber Pressure About 0.5 Torr to about 10 Torr
    RF Power About 400 W to about 2000 W
  • Although various embodiments which incorporate the teachings of the invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments within the scope of the invention. For example, more than two chambers may be used in tandem where the gas line is split in more than two gas delivery lines. In another embodiment, the process gas may be a mixture of gases where each gas is premixed with other gases and then flowed into the [0046] GFD 180. In still another embodiment, one or more fluids can be divided through both gas delivery lines 139, 141 and then brought to a gaseous phase within the tandem-processing chamber 106.
  • While foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0047]

Claims (29)

1. An apparatus for dividing a fluid flow from a fluid source, comprising:
a first fluid line connected to a fluid source;
a fluid flow meter positioned on the first fluid line to output a signal corresponding to a fluid flow rate through the first fluid line;
a second fluid line connected to the fluid source; and
a fluid flow controller positioned on the second fluid line and responsive to the signal from the fluid flow meter to divide the fluid flow from the fluid source.
2. The apparatus of claim 1, wherein the fluid flow controller comprises at least one flow control input responsive to the signal from the fluid flow meter.
3. The apparatus of claim 1, further comprising a tandem-processing chamber connected to the first fluid line and the second fluid line.
4. The apparatus of claim 1, wherein the fluid flow meter comprises a mass flow meter.
5. The apparatus of claim 1, wherein the fluid flow controller comprises a mass flow controller, a gate valve, a ball valve, a pneumatic valve, or combinations thereof.
6. The apparatus of claim 1, wherein the signal comprises a digital signal, an optical signal, a mechanical signal, an electrical signal, or combinations thereof.
7. The apparatus of claim 1, wherein the fluid flow controller equally divides the fluid flow between the first fluid line and the second fluid line.
8. The apparatus of claim 1, wherein the first fluid line, the second fluid line, and the flow control signal define a closed loop fluid control system responsive to the fluid flow rate through the first fluid line wherein a change in fluid flow from the fluid source results in a proportional change in the fluid flow rate through the first fluid line.
9. The apparatus of claim 1, wherein the fluid flow meter comprises a gas orifice adapted to provide gas flow resistance.
10. An apparatus for dividing a gas flow from a gas source output into a tandem-processing chamber, comprising:
a first gas line connecting a gas source output to a first processing region of a tandem-processing chamber;
a gas flow meter positioned on the first gas line to output a signal corresponding to a first gas flow rate through the first gas line;
a second gas line connecting the gas source output to a second processing region of the tandem processing chamber; and
a gas flow controller positioned on the second gas line and responsive to the signal from the gas flow meter to divide the gas from the gas source output between the first gas flow rate through the first gas line to the first processing region and a second gas flow rate through the second gas line to the second processing region.
11. The apparatus of claim 10, wherein the first and second processing regions are connected by a common vacuum source.
12. The apparatus of claim 10, wherein the gas source output is controlled by a mass flow controller.
13. The apparatus of claim 10, wherein the gas flow controller comprises at least one flow control input responsive to the signal from the gas flow meter.
14. The apparatus of claim 10, wherein the gas flow meter comprises a mass flow meter.
15. The apparatus of claim 10, wherein the gas flow controller comprises a mass flow controller, a gate valve, a ball valve, a pneumatic valve, or combinations thereof.
16. The apparatus of claim 10, wherein the signal comprises a digital signal, an optical signal, a mechanical signal, an electrical signal, or combinations thereof.
17. The apparatus of claim 10, wherein the first gas line, the second gas line, and the flow control signal define a closed loop gas control system responsive to the gas flow rate through the first gas line wherein a change in gas flow from the gas flow output results in a proportional change in the gas flow rate through the first gas line.
18. A method of dividing a fluid flow from a fluid source, comprising:
measuring a first fluid flow rate through a first fluid line connected to the fluid source; and
controlling a second fluid flow rate through a second fluid line connected to the fluid source using the first fluid flow rate through the first fluid line.
19. The method of claim 18, wherein the fluid flow is equally divided between the first fluid line and the second fluid line.
20. The method of claim 18, wherein the first fluid line comprises a fluid flow measuring device that outputs a control signal, and the second fluid line comprises a fluid controller that receives the control signal.
21. The method of claim 20, wherein the fluid flow measuring device comprises a mass flow meter.
22. The method of claim 20, wherein the control signal comprises a digital signal, an optical signal, a mechanical signal, an electrical signal, or combinations thereof.
23. A method of dividing a gas flow in a tandem-processing chamber, comprising:
measuring a first gas flow rate from a gas source through a first gas line coupled to a first processing region of a tandem-processing chamber; and
using the first gas flow rate to control a second gas flow rate from the gas source through a second gas line coupled to a second processing region of the tandem-processing chamber.
24. The method of claim 23, wherein the gas flow is about equally divided between the first gas line and the second gas line.
25. The method of claim 23, wherein the first gas line comprises a gas flow measuring device that outputs a control signal, and the second gas line comprises a gas flow controller that receives the control signal.
26. The method of claim 23, wherein the gas flow measuring device comprises a mass flow meter.
27. The method of claim 23, wherein the gas flow controller comprises a mass flow controller, a gate valve, a ball valve, a pneumatic valve, or combinations thereof.
28. The method of claim 23, wherein the control signal comprises a digital signal, an optical signal, a mechanical signal, an electrical signal, or combinations thereof.
29. The method of claim 23, wherein the first gas line comprises a gas orifice adapted to provide gas flow resistance.
US10/621,042 2001-06-29 2003-07-15 Method and apparatus for fluid flow control Abandoned US20040055636A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/621,042 US20040055636A1 (en) 2001-06-29 2003-07-15 Method and apparatus for fluid flow control

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/895,104 US6591850B2 (en) 2001-06-29 2001-06-29 Method and apparatus for fluid flow control
US10/621,042 US20040055636A1 (en) 2001-06-29 2003-07-15 Method and apparatus for fluid flow control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/895,104 Continuation US6591850B2 (en) 2001-06-29 2001-06-29 Method and apparatus for fluid flow control

Publications (1)

Publication Number Publication Date
US20040055636A1 true US20040055636A1 (en) 2004-03-25

Family

ID=25403984

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/895,104 Expired - Fee Related US6591850B2 (en) 2001-06-29 2001-06-29 Method and apparatus for fluid flow control
US10/621,042 Abandoned US20040055636A1 (en) 2001-06-29 2003-07-15 Method and apparatus for fluid flow control

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/895,104 Expired - Fee Related US6591850B2 (en) 2001-06-29 2001-06-29 Method and apparatus for fluid flow control

Country Status (1)

Country Link
US (2) US6591850B2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060249078A1 (en) * 2005-05-09 2006-11-09 Thomas Nowak High efficiency uv curing system
US20080000530A1 (en) * 2006-06-02 2008-01-03 Applied Materials, Inc. Gas flow control by differential pressure measurements
US20080042077A1 (en) * 2004-05-06 2008-02-21 Schmitt Francimar C Process and apparatus for post deposition treatment of low dielectric materials
US20080115834A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US20090314309A1 (en) * 2008-06-19 2009-12-24 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US20110100554A1 (en) * 2009-09-09 2011-05-05 Applied Materials, Inc. Parallel system for epitaxial chemical vapor deposition
US20110100489A1 (en) * 2009-11-04 2011-05-05 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US20110139074A1 (en) * 2009-12-10 2011-06-16 Tokyo Electron Limited Film deposition apparatus
US20110214611A1 (en) * 2008-11-14 2011-09-08 Tokyo Electron Limited Film deposition apparatus
US9285079B2 (en) * 2011-07-28 2016-03-15 Horiba Stec, Co., Ltd. Gas supply system

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10057824A1 (en) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Device and method for adjusting an ion beam spot size in tumor radiation
DE10059386A1 (en) * 2000-11-30 2002-06-13 Aixtron Ag Method and device for the metered delivery of small liquid volume flows
EP1393355A2 (en) * 2001-05-18 2004-03-03 Mattson Thermal Products GmbH Device for receiving plate-shaped objects and device for handling said objects
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
TWI344525B (en) 2003-01-17 2011-07-01 Applied Materials Inc Combination manual/pneumatics valve for fluid control assembly
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
JP2005150124A (en) * 2003-11-11 2005-06-09 Matsushita Electric Ind Co Ltd Semiconductor manufacturing device
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US7422653B2 (en) * 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7822570B2 (en) 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US7881886B1 (en) 2006-11-17 2011-02-01 Lam Research Corporation Methods for performing transient flow prediction and verification using discharge coefficients
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
KR101545525B1 (en) * 2007-07-12 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for processing a substrate edge region
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20100269924A1 (en) * 2007-12-27 2010-10-28 Horiba Stec, Co., Ltd. Flow rate ratio controlling apparatus
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
KR101386552B1 (en) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 Device and method for plasma treatment, and device and method for plasma etching processing
US8397739B2 (en) 2010-01-08 2013-03-19 Applied Materials, Inc. N-channel flow ratio controller calibration
JP5514310B2 (en) * 2010-06-28 2014-06-04 東京エレクトロン株式会社 Plasma processing method
CN104024469B (en) 2011-09-02 2017-05-03 第一太阳能有限公司 Feeder system and method for a vapor transport deposition system
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
JP6415889B2 (en) * 2014-08-01 2018-10-31 株式会社堀場エステック Flow control device, program for flow control device, and flow control method
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
US20220130649A1 (en) * 2020-10-22 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber architecture for higher throughput and faster transition time
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US20230116153A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. System for uniform temperature control of cluster platforms

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3272217A (en) * 1962-03-16 1966-09-13 Sun Oil Co Systems for proportioning fluids
US3556126A (en) * 1968-11-19 1971-01-19 Ashland Oil Inc Pipeline valve control system
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5302209A (en) * 1991-02-15 1994-04-12 Semiconductor Process Laboratory Co., Ltd. Apparatus for manufacturing semiconductor device
US5344542A (en) * 1986-04-18 1994-09-06 General Signal Corporation Multiple-processing and contamination-free plasma etching system
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5470390A (en) * 1993-05-07 1995-11-28 Teisan Kabushiki Kaisha Mixed gas supply system with a backup supply system
US5494494A (en) * 1992-06-24 1996-02-27 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5624536A (en) * 1994-06-08 1997-04-29 Tel Varian Limited Processing apparatus with collimator exchange device
US5697427A (en) * 1995-12-22 1997-12-16 Applied Materials, Inc. Apparatus and method for cooling a substrate
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5963836A (en) * 1996-12-03 1999-10-05 Genus, Inc. Methods for minimizing as-deposited stress in tungsten silicide films
US6082414A (en) * 1998-12-03 2000-07-04 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for replacing an attachment on a vacuum chamber
US6130159A (en) * 1999-08-03 2000-10-10 Genus, Inc Apparatus and methods for minimizing as-deposited stress in tungsten silicide films
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6214119B1 (en) * 1986-04-18 2001-04-10 Applied Materials, Inc. Vacuum substrate processing system having multiple processing chambers and a central load/unload chamber
US6224679B1 (en) * 1997-08-12 2001-05-01 Tokyo Electron Limited Controlling gas in a multichamber processing system
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2956577A (en) * 1956-11-16 1960-10-18 New York Air Brake Co Valve
US5782260A (en) * 1995-12-04 1998-07-21 Ford Global Technologies, Inc. Hydraulic flow priority valve

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3272217A (en) * 1962-03-16 1966-09-13 Sun Oil Co Systems for proportioning fluids
US3556126A (en) * 1968-11-19 1971-01-19 Ashland Oil Inc Pipeline valve control system
US6214119B1 (en) * 1986-04-18 2001-04-10 Applied Materials, Inc. Vacuum substrate processing system having multiple processing chambers and a central load/unload chamber
US5344542A (en) * 1986-04-18 1994-09-06 General Signal Corporation Multiple-processing and contamination-free plasma etching system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5302209A (en) * 1991-02-15 1994-04-12 Semiconductor Process Laboratory Co., Ltd. Apparatus for manufacturing semiconductor device
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5505779A (en) * 1992-06-24 1996-04-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
US5494494A (en) * 1992-06-24 1996-02-27 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
US5470390A (en) * 1993-05-07 1995-11-28 Teisan Kabushiki Kaisha Mixed gas supply system with a backup supply system
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5624536A (en) * 1994-06-08 1997-04-29 Tel Varian Limited Processing apparatus with collimator exchange device
US5697427A (en) * 1995-12-22 1997-12-16 Applied Materials, Inc. Apparatus and method for cooling a substrate
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5963836A (en) * 1996-12-03 1999-10-05 Genus, Inc. Methods for minimizing as-deposited stress in tungsten silicide films
US6224679B1 (en) * 1997-08-12 2001-05-01 Tokyo Electron Limited Controlling gas in a multichamber processing system
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6082414A (en) * 1998-12-03 2000-07-04 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for replacing an attachment on a vacuum chamber
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6130159A (en) * 1999-08-03 2000-10-10 Genus, Inc Apparatus and methods for minimizing as-deposited stress in tungsten silicide films
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910897B2 (en) * 2004-05-06 2011-03-22 Applied Materials, Inc. Process and apparatus for post deposition treatment of low dielectric materials
US20080042077A1 (en) * 2004-05-06 2008-02-21 Schmitt Francimar C Process and apparatus for post deposition treatment of low dielectric materials
US20090162259A1 (en) * 2005-05-09 2009-06-25 Thomas Nowak High efficiency uv curing system
US20060249078A1 (en) * 2005-05-09 2006-11-09 Thomas Nowak High efficiency uv curing system
US7663121B2 (en) 2005-05-09 2010-02-16 Applied Materials, Inc. High efficiency UV curing system
US20080000530A1 (en) * 2006-06-02 2008-01-03 Applied Materials, Inc. Gas flow control by differential pressure measurements
US20080115834A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
CN102067279A (en) * 2008-06-19 2011-05-18 应用材料股份有限公司 Method and system for supplying a cleaning gas into a process chamber
US8591699B2 (en) 2008-06-19 2013-11-26 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US10094486B2 (en) 2008-06-19 2018-10-09 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US20090314309A1 (en) * 2008-06-19 2009-12-24 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9206511B2 (en) 2008-06-19 2015-12-08 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US20100012273A1 (en) * 2008-06-19 2010-01-21 Applied Materials, Inc. Method and System for Supplying a Cleaning Gas Into a Process Chamber
CN103170478A (en) * 2008-06-19 2013-06-26 应用材料公司 Method and system for supplying a cleaning gas into a process chamber
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US9053909B2 (en) * 2008-08-29 2015-06-09 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US8951347B2 (en) * 2008-11-14 2015-02-10 Tokyo Electron Limited Film deposition apparatus
US20110214611A1 (en) * 2008-11-14 2011-09-08 Tokyo Electron Limited Film deposition apparatus
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US20110100554A1 (en) * 2009-09-09 2011-05-05 Applied Materials, Inc. Parallel system for epitaxial chemical vapor deposition
US20110100489A1 (en) * 2009-11-04 2011-05-05 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US8746170B2 (en) * 2009-11-04 2014-06-10 Tokyo Electron Limited Substrate process apparatus, substrate process method, and computer readable storage medium
US8845857B2 (en) * 2009-12-02 2014-09-30 Tokyo Electron Limited Substrate processing apparatus
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US8721790B2 (en) * 2009-12-10 2014-05-13 Tokyo Electron Limited Film deposition apparatus
US20110139074A1 (en) * 2009-12-10 2011-06-16 Tokyo Electron Limited Film deposition apparatus
US9285079B2 (en) * 2011-07-28 2016-03-15 Horiba Stec, Co., Ltd. Gas supply system

Also Published As

Publication number Publication date
US6591850B2 (en) 2003-07-15
US20030005958A1 (en) 2003-01-09

Similar Documents

Publication Publication Date Title
US6591850B2 (en) Method and apparatus for fluid flow control
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
US20200149166A1 (en) Flow control features of cvd chambers
US5500256A (en) Dry process apparatus using plural kinds of gas
CN101065513B (en) Gas distribution system for improving transient vapor phase deposition
US8202393B2 (en) Alternate gas delivery and evacuation system for plasma processing apparatuses
US6251187B1 (en) Gas distribution in deposition chambers
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
KR102531896B1 (en) Gas delivery system
EP0550058B1 (en) A programmable multizone gas injector for single-wafer semiconductor processing equipment
US5453124A (en) Programmable multizone gas injector for single-wafer semiconductor processing equipment
US8123860B2 (en) Apparatus for cyclical depositing of thin films
US6333272B1 (en) Gas distribution apparatus for semiconductor processing
US6913652B2 (en) Gas flow division in a wafer processing system having multiple chambers
KR20090082493A (en) Fast gas switching plasma processing apparatus
KR20080091805A (en) Gas switching section including valves having different flow coefficients for gas distribution system
KR20130031236A (en) Twin chamber processing system
US20230126058A1 (en) Dielectric window for substrate processing chamber
US20220285133A1 (en) Methods and apparatus for processing a substrate
WO2024076479A1 (en) Adjustable pedestal
CN117198848A (en) Gas distribution device, plasma processing device and method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION