US20040043555A1 - Carbon doped oxide deposition - Google Patents

Carbon doped oxide deposition Download PDF

Info

Publication number
US20040043555A1
US20040043555A1 US10/654,109 US65410903A US2004043555A1 US 20040043555 A1 US20040043555 A1 US 20040043555A1 US 65410903 A US65410903 A US 65410903A US 2004043555 A1 US2004043555 A1 US 2004043555A1
Authority
US
United States
Prior art keywords
carbon doped
doped oxide
substrate
oxide film
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/654,109
Inventor
Ebrahim Andideh
Kevin Peterson
Jeffery Bielefeld
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/654,109 priority Critical patent/US20040043555A1/en
Publication of US20040043555A1 publication Critical patent/US20040043555A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Definitions

  • the present invention relates to semiconductor layer deposition.
  • the present invention relates to carbon doped oxide deposition.
  • (d) is the distance between the conductive lines 275 , (A), the area of each conductive line interface, ( ⁇ ), the permeability of the ILD, and (k), the dielectric constant (a factor of how much effect the ILD material has on capacitor value).
  • the dielectric constant (k) noted above has no units of measure. For example, where the dielectric is of a vacuum or air, the dielectric constant (k) is about equal to 1, having no effect on capacitance. However, most intra-layer dielectric materials have a degree of polarity with a dielectric constant (k) above 1. For example, silicon dioxide, a common ILD material, has a dielectric constant generally exceeding about 4. Due to the decreasing size of semiconductor features (e.g. and reduced distance (d) leading to increased capacitance (C)), efforts have recently been made to reduce the dielectric constant (k) of the ILD as a means by which to reduce capacitance (C). That is, where capacitance (C) is k ⁇ ⁇ ⁇ ⁇ A d
  • Low dielectric constant (k) materials i.e. ‘low k’ materials
  • FSG fluorinated silica glass
  • CDO's carbon doped oxides
  • the deposition of ‘low k’ materials includes a problem of low deposition rate leading to increased semiconductor processing times, also referred to as low thruput.
  • FIG. 1 is a side cross-sectional view of an embodiment of a semiconductor substrate in a reactor.
  • FIG. 2A is a side cross-sectional view of the substrate of FIG. 1 following deposition of a CDO film.
  • FIG. 2B is a side cross-sectional view of the substrate of FIG. 2A following trench etch.
  • FIG. 2C is a side cross-sectional view of the substrate of FIG. 2B following formation of conductive metal lines.
  • FIG. 3 is a flowchart summarizing an embodiment of semiconductor substrate processing.
  • Embodiments described below are generally applicable to processing of a semiconductor substrate. Once a substrate has been obtained, initial processing can include the formation of a dielectric layer above a surface of the substrate. Embodiments described here focus on the deposition of CDO dielectric material, in particular, by introduction of oxygen in the presence of a CDO precursor to increase the rate of CDO deposition.
  • FIG. 1 an embodiment is shown of a substrate 100 that is exposed to a gas mixture 160 that includes a CDO precursor.
  • Oxygen is also included in the gas mixture 160 to increase the rate of CDO deposition on the substrate 100 when, for example, the gas mixture 160 is excited to cause deposition as described further herein.
  • the substrate 100 includes an initial dielectric etch-stop layer 120 .
  • the substrate 100 can be of silicon and other conventional materials.
  • the etch-stop layer 120 is not required but is shown for illustration as described further herein. Additionally, in other embodiments of the invention various other insulating or conducting layers and features may be present on the substrate 100 that are not necessarily described here.
  • the substrate 100 is placed within a reactor 180 for deposition of a material on the substrate 100 .
  • the material to be formed on the substrate 100 is a Carbon Doped Oxide (CDO) to act as an ILD.
  • CDO material is a material that has incorporated silicon (Si), carbon (C), and oxygen (O), providing relatively reduced polarity, density, and conductivity.
  • Si silicon
  • C carbon
  • O oxygen
  • CDO material can have a dielectric constant (k) that is less than about 3.0. Therefore, CDO materials are generally considered ‘low k’ materials, and useful for lowering capacitance when used as ILD's as discussed further herein.
  • the reactor 180 is a conventional chemical vapor deposition (CVD) apparatus.
  • the CVD apparatus may be plasma enhanced (i.e. a PECVD apparatus) and operated by conventional means.
  • the PECVD apparatus is provided with a shower plate 150 coupled to a power source 155 .
  • the substrate 100 is grounded and positioned adjacent the shower plate 150 .
  • the substrate 100 is positioned between about 15 mm and about 40 mm from the shower plate 150 , preferably between about 24 mm and about 26 mm.
  • the gas mixture 160 is introduced into the apparatus in vapor form. Simultaneously, radio frequency (RF) is applied through the PECVD apparatus such that the gas mixture 160 is excited to a plasma state to cause deposition on the surface of the substrate 100 .
  • RF radio frequency
  • at least a portion of the gas mixture 160 is excited to a plasma state at a remote location prior to introduction to the PECVD apparatus. In such an embodiment, this portion of the gas mixture 160 can enter the PECVD apparatus already in a plasma state.
  • the gas mixture 160 referenced above includes a CDO precursor and a deposition enhancing gas such as oxygen. As discussed further herein, the inclusion of oxygen increases the rate of deposition and formation of the CDO material.
  • An inert gas such as helium (He) can also be provided as part of the gas mixture 160 to serve as a volume-filler within the PECVD apparatus during deposition.
  • the inert gas can also be a good heat conductor to promote thermal uniformity. However, it does not actually take part, chemically, in the deposition process.
  • other inert gasses such as argon (Ar), neon (Ne), krypton (Kr), and xenon (Xe) can be used as a background gas.
  • a CDO precursor which is a part of the gas mixture 160 described above, supplies a source of carbon (C) and silicon (Si) for the formation of CDO features.
  • a CDO precursor can also supply a source of oxygen (O). However, this is not required, as oxygen (O) is separately provided according to embodiments described herein.
  • Embodiments of CDO precursors include tetramethylcyclotetrasiloxane ((HSiOCH 3 ) 4 ) and gasses having a formula of H X Si(CH 3 ) 4 ⁇ X or (CH 3 ) X Si(OCH 3 ) 4 ⁇ X .
  • dimethyldimethoxysilane (CH 3 ) 2 Si(OCH 3 ) 2 is used as the CDO precursor.
  • CDO precursors result in a CDO material with a dielectric constant (k) that is less than about 3.0 as described above.
  • oxygen gas is supplied to the PECVD apparatus and excited (e.g. O*) by application of RF.
  • the oxygen gas supplied as part of the gas mixture 160 can initially be in the form of ionic oxygen (e.g. O 2 ⁇ ), molecularly stable oxygen (O 2 ), elementally stable oxygen (O), or ozone (O 3 ).
  • RF is applied affecting the oxygen gas as it enters the PECVD apparatus such that at least a portion of the oxygen molecules will be in an excited state (e.g. O*).
  • the excited oxygen molecules interact with the CDO precursor to increase the rate of CDO deposition.
  • oxygen, in the form of ozone (O 3 ) is excited thermally without use of RF to increase the rate of CDO deposition.
  • the ozone (O 3 ) form of the oxygen gas is more readily excitable in this manner.
  • the oxygen gas is excited, along with the background gas, at a remote location separate from the CDO precursor of the gas mixture 160 .
  • the CDO precursor is excited upon introduction to the PECVD apparatus, where it combines with the already excited oxygen gas.
  • the gas mixture 160 enters the PECVD apparatus, which is energized by introduction of RF.
  • the process can proceed within the PECVD apparatus at conventional pressures, temperatures, radio frequency (RF) and power.
  • pressure is maintained between about 2.0 Torr and about 10.0 Torr, preferably between about 3.0 Torr and about 6.0 Torr
  • temperature of the susceptor 135 supporting the substrate 100 is maintained between about 250° C. and about 450° C.
  • RF is maintained at standard frequencies and between about 1,600 watts and about 1,800 watts are supplied.
  • the oxygen gas is in an amount that is less than about 5% of the volume taken up by the oxygen and CDO precursor.
  • flow rates, in standard cubic centimeters per minute (Sccm) for the individual gasses of the gas mixture 160 can be as follows: Precursor gas flow rate 50-200 Sccm Background gas flow rate 20-200 Sccm Oxygen gas flow rate 1.0-20 Sccm
  • CDO deposition rate is between about 5,620 angstroms per minute and about 9,600 angstroms per minute, preferably up to about 9,580 angstroms per minute. This can translate into about a 70% increase in CDO deposition rate as compared to conventional PECVD deposition of CDO without the introduction of oxygen.
  • the substrate 100 of the embodiment of FIG. 1 is shown with a CDO film 200 deposited above an etch-stop layer 120 .
  • the CDO film 200 has a dielectric constant that is less than about 3.0. In one embodiment the CDO film dielectric constant is less than about 2.7. Additionally, deposition of the CDO film 200 in the presence of oxygen may provide a slightly lower dielectric constant, when compared to the deposition of the same CDO film 200 in the absence of oxygen. In the embodiment shown the CDO film 200 is to form a CDO ILD (see FIG. 3). However this is not required.
  • the CDO film 200 can be used for a variety of insulating purposes.
  • the CDO film 200 is etched to form trenches 250 .
  • the CDO film 200 is patterned and etched by conventional means.
  • a protective mask pattern can be placed above the CDO film 200 exposing areas to form the parallel trenches 250 .
  • a chemical etchant is then applied to etch through exposed portions of the CDO film 200 .
  • the etch-stop layer 120 is of a material resistant to chemical etchant and helps control the depth of the etched trenches 250 .
  • the etch-stop layer 120 can be of silicon nitride (SiN), silicon carbide (SiC), or other conventional etch-stop material.
  • the deposited CDO film 200 provides structural support and isolation of conductive lines 275 in the form of a CDO ILD.
  • the conductive lines 275 are deposited upon etch of the CDO film 200 to form a CDO ILD down to the etch-stop layer 120 .
  • the conductive lines 275 are of copper (Cu).
  • the etch-stop layer 120 also acts as a barrier to prevent diffusion of copper ions (Cu + ) to below the etch-stop layer 120 , therefore maintaining a degree of isolation of the conductive lines 275 .
  • the conductive lines 275 can be deposited by conventional means.
  • an ionized form of conductive line material e.g. Cu +
  • RF can be applied to the apparatus to generate a plasma and effect deposition of a conductive layer including the conductive lines 275 .
  • Other excess of the conductive layer can be removed by conventional Chemical-Mechanical Polishing (CMP) techniques such that the substrate 100 includes a smooth upper surface 290 and further isolating the conductive lines 275 as shown in FIG. 2C.
  • CMP Chemical-Mechanical Polishing
  • the deposited conductive lines 275 are separated by a distance (d) wherein ILD material 200 is present isolating the conductive lines 275 .
  • capacitance (C) is k ⁇ ⁇ ⁇ ⁇ ⁇ A d .
  • a substrate is placed in a reactor where a CDO precursor and oxygen are introduced 310 .
  • Deposition results to form a CDO film on the substrate.
  • the deposition takes place in an otherwise conventional manner, such as within a PECVD apparatus operated under conventional conditions. Deposition in this manner takes place at an increased rate due to the presence of oxygen.
  • the CDO film is then etched 320 .
  • Etching 320 of the CDO is achieved by conventional methods, with conventional etchants applied to the CDO film.
  • conductive lines 275 are deposited 330 , again by conventional means such as within a PECVD apparatus operated under conventional conditions.
  • CMP 340 is then applied and the substrate is available for completion of further processing and packaging 350 .
  • Embodiments described above include CDO deposition in the presence of oxygen. Additionally, embodiments include reference to particular ‘low k’ materials deposited to form ILD's. Although exemplary embodiments describe particular CDO materials deposited to form ILD's, additional embodiments are possible. For example, CDO films can be formed at an increased rate according to embodiments discussed above for insulating purposes apart from ILD formation. Furthermore, many changes, modifications, and substitutions may be made without departing from the spirit and scope of these embodiments.

Abstract

Carbon doped oxide (CDO) deposition. One method of deposition includes providing a substrate and introducing oxygen to a carbon doped oxide precursor in the presence of the substrate. A carbon doped oxide film is formed on the substrate. In another method the substrate is placed on a susceptor of a chemical vapor deposition apparatus. A background gas is introduced along with the carbon doped oxide precursor and oxygen to form the carbon doped oxide film on the substrate.

Description

    BACKGROUND
  • The present invention relates to semiconductor layer deposition. In particular, the present invention relates to carbon doped oxide deposition. [0001]
  • BACKGROUND OF THE RELATED ART
  • In the fabrication of semiconductor devices, layers of varying purposes are formed on a semiconductor substrate. One such layer, an inter-layer dielectric (ILD), is deposited and patterned to isolate and support capacitor features such as parallel conductive metal lines. As semiconductor devices and device features decrease in size, the distance between such [0002] conductive lines 275 correspondingly decreases. All other factors remaining constant, this results in a higher capacitance (C). For example, given the parallel conductive lines 275 described, capacitance (C) can be viewed as k ɛ A d
    Figure US20040043555A1-20040304-M00001
  • where (d) is the distance between the [0003] conductive lines 275, (A), the area of each conductive line interface, (ε), the permeability of the ILD, and (k), the dielectric constant (a factor of how much effect the ILD material has on capacitor value).
  • It can be seen from the above equation that, all other factors remaining constant, as the distance (d) decreases, the capacitance (C) of the system increases. Unfortunately, as capacitance (C) increases so does signal transmission time. Other problems, such as power dissipation and increased cross-talk can also occur. Therefore, reduced capacitance (C) is sought. [0004]
  • The dielectric constant (k) noted above has no units of measure. For example, where the dielectric is of a vacuum or air, the dielectric constant (k) is about equal to 1, having no effect on capacitance. However, most intra-layer dielectric materials have a degree of polarity with a dielectric constant (k) above 1. For example, silicon dioxide, a common ILD material, has a dielectric constant generally exceeding about 4. Due to the decreasing size of semiconductor features (e.g. and reduced distance (d) leading to increased capacitance (C)), efforts have recently been made to reduce the dielectric constant (k) of the ILD as a means by which to reduce capacitance (C). That is, where capacitance (C) is [0005] k ɛ A d
    Figure US20040043555A1-20040304-M00002
  • and all other factors remaining constant, reduction of the dielectric constant (k) can reduce capacitance (C). [0006]
  • Low dielectric constant (k) materials (i.e. ‘low k’ materials), such as fluorinated silica glass (FSG), silk, and carbon doped oxides (CDO's) have been used to form the ILD, thereby reducing capacitance (C). However, the deposition of ‘low k’ materials includes a problem of low deposition rate leading to increased semiconductor processing times, also referred to as low thruput.[0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a side cross-sectional view of an embodiment of a semiconductor substrate in a reactor. [0008]
  • FIG. 2A is a side cross-sectional view of the substrate of FIG. 1 following deposition of a CDO film. [0009]
  • FIG. 2B is a side cross-sectional view of the substrate of FIG. 2A following trench etch. [0010]
  • FIG. 2C is a side cross-sectional view of the substrate of FIG. 2B following formation of conductive metal lines. [0011]
  • FIG. 3 is a flowchart summarizing an embodiment of semiconductor substrate processing.[0012]
  • DETAILED DESCRIPTION
  • Methods of deposition of CDO features are described. Aspects of embodiments are described and illustrated by the accompanying drawings. While the following embodiments are described with reference to deposition of particular carbon doped oxide films to form ILD's, the embodiments are applicable to the formation of any carbon doped oxide feature. This can include carbon doped oxide films formed from precursors having formulas such as H[0013] XSi(CH3)4−X and (CH3)XSi(OCH3)4−X, or tetramethylcyclotetrasiloxane, among others.
  • Embodiments described below are generally applicable to processing of a semiconductor substrate. Once a substrate has been obtained, initial processing can include the formation of a dielectric layer above a surface of the substrate. Embodiments described here focus on the deposition of CDO dielectric material, in particular, by introduction of oxygen in the presence of a CDO precursor to increase the rate of CDO deposition. [0014]
  • Referring to FIG. 1, an embodiment is shown of a [0015] substrate 100 that is exposed to a gas mixture 160 that includes a CDO precursor. Oxygen is also included in the gas mixture 160 to increase the rate of CDO deposition on the substrate 100 when, for example, the gas mixture 160 is excited to cause deposition as described further herein.
  • The [0016] substrate 100 includes an initial dielectric etch-stop layer 120. The substrate 100 can be of silicon and other conventional materials. The etch-stop layer 120 is not required but is shown for illustration as described further herein. Additionally, in other embodiments of the invention various other insulating or conducting layers and features may be present on the substrate 100 that are not necessarily described here.
  • The [0017] substrate 100 is placed within a reactor 180 for deposition of a material on the substrate 100. In the embodiment shown, the material to be formed on the substrate 100 is a Carbon Doped Oxide (CDO) to act as an ILD. A CDO material is a material that has incorporated silicon (Si), carbon (C), and oxygen (O), providing relatively reduced polarity, density, and conductivity. For example, CDO material can have a dielectric constant (k) that is less than about 3.0. Therefore, CDO materials are generally considered ‘low k’ materials, and useful for lowering capacitance when used as ILD's as discussed further herein.
  • In one embodiment, the [0018] reactor 180 is a conventional chemical vapor deposition (CVD) apparatus. The CVD apparatus may be plasma enhanced (i.e. a PECVD apparatus) and operated by conventional means. In the embodiment shown, the PECVD apparatus is provided with a shower plate 150 coupled to a power source 155. The substrate 100 is grounded and positioned adjacent the shower plate 150. In the embodiment shown, the substrate 100 is positioned between about 15 mm and about 40 mm from the shower plate 150, preferably between about 24 mm and about 26 mm.
  • Once the [0019] substrate 100 is positioned and the PECVD apparatus sealed, the gas mixture 160 is introduced into the apparatus in vapor form. Simultaneously, radio frequency (RF) is applied through the PECVD apparatus such that the gas mixture 160 is excited to a plasma state to cause deposition on the surface of the substrate 100. In alternate embodiments, at least a portion of the gas mixture 160 is excited to a plasma state at a remote location prior to introduction to the PECVD apparatus. In such an embodiment, this portion of the gas mixture 160 can enter the PECVD apparatus already in a plasma state.
  • The [0020] gas mixture 160 referenced above includes a CDO precursor and a deposition enhancing gas such as oxygen. As discussed further herein, the inclusion of oxygen increases the rate of deposition and formation of the CDO material. An inert gas such as helium (He) can also be provided as part of the gas mixture 160 to serve as a volume-filler within the PECVD apparatus during deposition. The inert gas can also be a good heat conductor to promote thermal uniformity. However, it does not actually take part, chemically, in the deposition process. In addition to helium (He), other inert gasses, such as argon (Ar), neon (Ne), krypton (Kr), and xenon (Xe) can be used as a background gas.
  • A CDO precursor, which is a part of the [0021] gas mixture 160 described above, supplies a source of carbon (C) and silicon (Si) for the formation of CDO features. A CDO precursor can also supply a source of oxygen (O). However, this is not required, as oxygen (O) is separately provided according to embodiments described herein. Embodiments of CDO precursors include tetramethylcyclotetrasiloxane ((HSiOCH3)4) and gasses having a formula of HXSi(CH3)4−X or (CH3)XSi(OCH3)4−X. For example, in one embodiment dimethyldimethoxysilane (CH3)2Si(OCH3)2 is used as the CDO precursor. Such CDO precursors result in a CDO material with a dielectric constant (k) that is less than about 3.0 as described above.
  • In the embodiment described above, oxygen gas is supplied to the PECVD apparatus and excited (e.g. O*) by application of RF. The oxygen gas supplied as part of the [0022] gas mixture 160 can initially be in the form of ionic oxygen (e.g. O2−), molecularly stable oxygen (O2), elementally stable oxygen (O), or ozone (O3). Regardless, RF is applied affecting the oxygen gas as it enters the PECVD apparatus such that at least a portion of the oxygen molecules will be in an excited state (e.g. O*). The excited oxygen molecules interact with the CDO precursor to increase the rate of CDO deposition. In another embodiment, oxygen, in the form of ozone (O3) is excited thermally without use of RF to increase the rate of CDO deposition. The ozone (O3) form of the oxygen gas is more readily excitable in this manner.
  • In another alternate embodiment, the oxygen gas is excited, along with the background gas, at a remote location separate from the CDO precursor of the [0023] gas mixture 160. In this embodiment, the CDO precursor is excited upon introduction to the PECVD apparatus, where it combines with the already excited oxygen gas.
  • As described above, the [0024] gas mixture 160 enters the PECVD apparatus, which is energized by introduction of RF. The process can proceed within the PECVD apparatus at conventional pressures, temperatures, radio frequency (RF) and power. For example, in one embodiment, pressure is maintained between about 2.0 Torr and about 10.0 Torr, preferably between about 3.0 Torr and about 6.0 Torr, temperature of the susceptor 135 supporting the substrate 100 is maintained between about 250° C. and about 450° C., and RF is maintained at standard frequencies and between about 1,600 watts and about 1,800 watts are supplied.
  • With respect to the embodiments described above, the oxygen gas is in an amount that is less than about 5% of the volume taken up by the oxygen and CDO precursor. Additionally, flow rates, in standard cubic centimeters per minute (Sccm), for the individual gasses of the [0025] gas mixture 160 can be as follows:
    Precursor gas flow rate  50-200 Sccm
    Background gas flow rate  20-200 Sccm
    Oxygen gas flow rate 1.0-20 Sccm
  • Flow rates such as those above can be established depending on a variety of factors, such as temperature and pressure conditions. In fact, flow rates other than those described above can be used without departing from the spirit and scope of the present invention. [0026]
  • The addition of oxygen to the [0027] gas mixture 160 in a manner such as that described above leads to a deposition rate of CDO onto the substrate 100 that can exceed about 5,620 angstroms per minute. In one embodiment, CDO deposition rate is between about 5,620 angstroms per minute and about 9,600 angstroms per minute, preferably up to about 9,580 angstroms per minute. This can translate into about a 70% increase in CDO deposition rate as compared to conventional PECVD deposition of CDO without the introduction of oxygen.
  • Referring to FIG. 2A, the [0028] substrate 100 of the embodiment of FIG. 1 is shown with a CDO film 200 deposited above an etch-stop layer 120. The CDO film 200 has a dielectric constant that is less than about 3.0. In one embodiment the CDO film dielectric constant is less than about 2.7. Additionally, deposition of the CDO film 200 in the presence of oxygen may provide a slightly lower dielectric constant, when compared to the deposition of the same CDO film 200 in the absence of oxygen. In the embodiment shown the CDO film 200 is to form a CDO ILD (see FIG. 3). However this is not required. The CDO film 200 can be used for a variety of insulating purposes.
  • Referring to FIG. 2B, the [0029] CDO film 200 is etched to form trenches 250. In the embodiment shown, the CDO film 200 is patterned and etched by conventional means. For example, a protective mask pattern can be placed above the CDO film 200 exposing areas to form the parallel trenches 250. A chemical etchant is then applied to etch through exposed portions of the CDO film 200. The etch-stop layer 120 is of a material resistant to chemical etchant and helps control the depth of the etched trenches 250. The etch-stop layer 120 can be of silicon nitride (SiN), silicon carbide (SiC), or other conventional etch-stop material.
  • Referring to FIG. 2C, the deposited [0030] CDO film 200 provides structural support and isolation of conductive lines 275 in the form of a CDO ILD. In the embodiment shown, the conductive lines 275 are deposited upon etch of the CDO film 200 to form a CDO ILD down to the etch-stop layer 120. In one embodiment, the conductive lines 275 are of copper (Cu). Additionally, in one embodiment the etch-stop layer 120 also acts as a barrier to prevent diffusion of copper ions (Cu+) to below the etch-stop layer 120, therefore maintaining a degree of isolation of the conductive lines 275.
  • The [0031] conductive lines 275 can be deposited by conventional means. For example, in one embodiment, an ionized form of conductive line material (e.g. Cu+) can be supplied in vapor form to a conventional PECVD apparatus. RF can be applied to the apparatus to generate a plasma and effect deposition of a conductive layer including the conductive lines 275. Other excess of the conductive layer can be removed by conventional Chemical-Mechanical Polishing (CMP) techniques such that the substrate 100 includes a smooth upper surface 290 and further isolating the conductive lines 275 as shown in FIG. 2C.
  • The deposited [0032] conductive lines 275 are separated by a distance (d) wherein ILD material 200 is present isolating the conductive lines 275. As described earlier, where capacitance (C) is k ɛ A d ,
    Figure US20040043555A1-20040304-M00003
  • reduction in the distance (d) can increase capacitance (C). However, embodiments described here include the use of ‘low k’ [0033] CDO ILD material 200 to compensate for this problem in a manner that does not sacrifice reasonable thruput (e.g. semiconductor processing time) due to lengthy CDO deposition times.
  • Referring to FIG. 3, a summary of a preferred embodiment of CDO deposition according to the methods described above is shown in the form of a flowchart. In embodiments described here, a substrate is placed in a reactor where a CDO precursor and oxygen are introduced [0034] 310. Deposition results to form a CDO film on the substrate. The deposition takes place in an otherwise conventional manner, such as within a PECVD apparatus operated under conventional conditions. Deposition in this manner takes place at an increased rate due to the presence of oxygen. The CDO film is then etched 320. Etching 320 of the CDO is achieved by conventional methods, with conventional etchants applied to the CDO film. Once etching 320 is complete, conductive lines 275 are deposited 330, again by conventional means such as within a PECVD apparatus operated under conventional conditions. CMP 340 is then applied and the substrate is available for completion of further processing and packaging 350.
  • Embodiments described above include CDO deposition in the presence of oxygen. Additionally, embodiments include reference to particular ‘low k’ materials deposited to form ILD's. Although exemplary embodiments describe particular CDO materials deposited to form ILD's, additional embodiments are possible. For example, CDO films can be formed at an increased rate according to embodiments discussed above for insulating purposes apart from ILD formation. Furthermore, many changes, modifications, and substitutions may be made without departing from the spirit and scope of these embodiments. [0035]

Claims (20)

We claim:
1. A method comprising:
providing a substrate; and
introducing oxygen to a carbon doped oxide precursor in the presence of said substrate for deposition of a carbon doped oxide film on said substrate.
2. The method of claim 1 wherein said carbon doped oxide precursor is selected from a group consisting of tetramethylcyclotetrasiloxane, a precursor having a formula of HxSi(CH3)4−x, and a precursor having a formula of (CH3)xSi(OCH3)4−x.
3. The method of claim 1 wherein said oxygen is selected from a group consisting of ionic oxygen, molecularly stable oxygen, elementally stable oxygen, and ozone.
4. The method of claim 1 wherein said introducing comprises adding an inert background gas in the presence of said substrate to provide a volume filler for said deposition of said carbon doped oxide film.
5. The method of claim 1 wherein said introducing is via a chemical vapor deposition apparatus.
6. The method of claim 1 wherein said carbon doped oxide film has a dielectric constant of less than about 3.0.
7. The method of claim 1 wherein said deposition of said carbon doped oxide film occurs at a rate exceeding about 5,620 angstroms per minute.
8. The method of claim 1 further comprising etching said carbon doped oxide film for deposition of conductive lines, said carbon doped oxide film to act as an inter-layer dielectric between said conductive lines
9. A method of forming a carbon doped oxide film on a substrate, said method comprising:
placing said substrate on a susceptor of a chemical vapor deposition apparatus;
introducing a background gas, a carbon doped oxide precursor and oxygen into said apparatus; and
operating said apparatus at conditions to cause said carbon doped oxide film to form on said substrate.
10. The method of claim 9 wherein said carbon doped oxide precursor is selected from a group consisting of tetramethylcyclotetrasiloxane, a precursor having a formula of HxSi(CH3)4−x, and a precursor having a formula of (CH3)xSi(OCH3)4−x.
11. The method of claim 9 wherein said conditions include a temperature of between about 250° C. and about 450° C. of said susceptor.
12. The method of claim 9 wherein said conditions include a pressure within said apparatus of between about 2 Torr and about 10 Torr.
13. The method of claim 9 wherein said background gas is inert helium.
14. The method of claim 9 wherein said introducing includes a flow rate of between about 50 Sccm and about 200 Sccm of said carbon doped oxide precursor, a flow rate of between about 20 Sccm and about 200 Sccm of said background gas, and a flow rate of between about 1.0 Sccm and about 20 Sccm of said oxygen.
15. The method of claim 9 wherein said chemical vapor deposition apparatus is a plasma enhanced chemical vapor deposition apparatus.
16. The method of claim 9 wherein said carbon doped oxide film is dimethyldimethoxysilane.
17. A carbon doped oxide film to be formed on a substrate from a carbon doped oxide precursor in the presence of oxygen.
18. The carbon doped oxide film of claim 17 to act as an inter-layer dielectric between conductive lines deposited on said substrate following etching of said carbon doped oxide film.
19. The carbon doped oxide film of claim 17 having a dielectric constant of less than about 3.0.
20. The carbon doped oxide film of claim 17 formed at a rate exceeding about 5,620 angstroms per minute on said substrate.
US10/654,109 2001-10-05 2003-09-02 Carbon doped oxide deposition Abandoned US20040043555A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/654,109 US20040043555A1 (en) 2001-10-05 2003-09-02 Carbon doped oxide deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/972,228 US6677253B2 (en) 2001-10-05 2001-10-05 Carbon doped oxide deposition
US10/654,109 US20040043555A1 (en) 2001-10-05 2003-09-02 Carbon doped oxide deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/972,228 Division US6677253B2 (en) 2001-10-05 2001-10-05 Carbon doped oxide deposition

Publications (1)

Publication Number Publication Date
US20040043555A1 true US20040043555A1 (en) 2004-03-04

Family

ID=25519375

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/972,228 Expired - Lifetime US6677253B2 (en) 2001-10-05 2001-10-05 Carbon doped oxide deposition
US10/654,109 Abandoned US20040043555A1 (en) 2001-10-05 2003-09-02 Carbon doped oxide deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/972,228 Expired - Lifetime US6677253B2 (en) 2001-10-05 2001-10-05 Carbon doped oxide deposition

Country Status (8)

Country Link
US (2) US6677253B2 (en)
EP (1) EP1432843B1 (en)
CN (1) CN1723295A (en)
AT (1) ATE321899T1 (en)
DE (1) DE60210337T2 (en)
MY (1) MY122888A (en)
TW (1) TWI297738B (en)
WO (1) WO2003031676A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050059264A1 (en) * 1998-09-29 2005-03-17 David Cheung CVD plasma assisted low dielectric constant films
WO2020046980A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Non-uv high hardness low k film deposition

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7125583B2 (en) 2002-05-23 2006-10-24 Intel Corporation Chemical vapor deposition chamber pre-deposition treatment for improved carbon doped oxide thickness uniformity and throughput
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
US20060105581A1 (en) * 2004-11-18 2006-05-18 Bielefeld Jeffery D Glycol doping agents in carbon doped oxide films
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
DE102007023970A1 (en) * 2007-05-23 2008-12-04 Aixtron Ag Apparatus for coating a plurality of densely packed substrates on a susceptor
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN111194482A (en) 2017-11-30 2020-05-22 英特尔公司 Fin patterning for advanced integrated circuit structure fabrication
KR20210028093A (en) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. Structures including dielectric layers and methods of forming same

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872401A (en) * 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6211096B1 (en) * 1997-03-21 2001-04-03 Lsi Logic Corporation Tunable dielectric constant oxide and method of manufacture
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6331494B1 (en) * 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6350670B1 (en) * 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer
US6362091B1 (en) * 2000-03-14 2002-03-26 Intel Corporation Method for making a semiconductor device having a low-k dielectric layer
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US20020093075A1 (en) * 2001-01-12 2002-07-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6423630B1 (en) * 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US20030042605A1 (en) * 2001-08-31 2003-03-06 Ebrahim Andideh Concentration graded carbon doped oxide
US6531398B1 (en) * 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872401A (en) * 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
US6211096B1 (en) * 1997-03-21 2001-04-03 Lsi Logic Corporation Tunable dielectric constant oxide and method of manufacture
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US20010010970A1 (en) * 1999-06-30 2001-08-02 Uglow Jay E. Dual - damascene dielectric structures
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6350670B1 (en) * 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer
US6331494B1 (en) * 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6362091B1 (en) * 2000-03-14 2002-03-26 Intel Corporation Method for making a semiconductor device having a low-k dielectric layer
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6531398B1 (en) * 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6423630B1 (en) * 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
US20020093075A1 (en) * 2001-01-12 2002-07-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US20030042605A1 (en) * 2001-08-31 2003-03-06 Ebrahim Andideh Concentration graded carbon doped oxide

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050059264A1 (en) * 1998-09-29 2005-03-17 David Cheung CVD plasma assisted low dielectric constant films
US7205249B2 (en) 1998-09-29 2007-04-17 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
WO2020046980A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Non-uv high hardness low k film deposition
CN112513321A (en) * 2018-08-29 2021-03-16 应用材料公司 non-UV high hardness low dielectric constant film deposition
JP7465256B2 (en) 2018-08-29 2024-04-10 アプライド マテリアルズ インコーポレイテッド Non-UV high hardness low K film deposition

Also Published As

Publication number Publication date
DE60210337T2 (en) 2006-12-14
MY122888A (en) 2006-05-31
ATE321899T1 (en) 2006-04-15
US20030077921A1 (en) 2003-04-24
DE60210337D1 (en) 2006-05-18
EP1432843A1 (en) 2004-06-30
EP1432843B1 (en) 2006-03-29
WO2003031676A1 (en) 2003-04-17
TWI297738B (en) 2008-06-11
CN1723295A (en) 2006-01-18
US6677253B2 (en) 2004-01-13

Similar Documents

Publication Publication Date Title
US6677253B2 (en) Carbon doped oxide deposition
KR100896160B1 (en) Plasma etching of silicon carbide
US7117064B2 (en) Method of depositing dielectric films
US6670278B2 (en) Method of plasma etching of silicon carbide
KR100430046B1 (en) Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window
US6589888B2 (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
KR100407012B1 (en) Semiconductor device and method of fabricating the same
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
EP0934433B1 (en) Method for depositing fluorine doped silicon dioxide films
US20030036280A1 (en) Low dielectric constant etch stop films
US20030148223A1 (en) Method of depositing low dielectric constant silicon carbide layers
US6093637A (en) Method of making a multi-layer interconnection structure
KR20080002642A (en) Method for depositing an amorphous carbon film with improved density and step coverage
JPH1174257A (en) Fluorine-containing silicon oxide film and its manufacture
WO2003050863A1 (en) Process for selectively etching dielectric layers
US20020155386A1 (en) Fluorine-containing layers for damascene structures
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US6475918B1 (en) Plasma treatment apparatus and plasma treatment method
US7001854B1 (en) Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US7344996B1 (en) Helium-based etch process in deposition-etch-deposition gap fill
US6034418A (en) Semiconductor device having improved insulation film and manufacturing method thereof
US5281557A (en) Soluble oxides for integrated circuit fabrication formed by the incomplete dissociation of the precursor gas
US6521546B1 (en) Method of making a fluoro-organosilicate layer
KR20060123144A (en) Minimizing the loss of barrier materials during photoresist stripping
US7476621B1 (en) Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION