US20040035826A1 - Etching method for insulating film - Google Patents

Etching method for insulating film Download PDF

Info

Publication number
US20040035826A1
US20040035826A1 US10/451,107 US45110703A US2004035826A1 US 20040035826 A1 US20040035826 A1 US 20040035826A1 US 45110703 A US45110703 A US 45110703A US 2004035826 A1 US2004035826 A1 US 2004035826A1
Authority
US
United States
Prior art keywords
gas
ratio
etching
insulating film
fluorocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/451,107
Inventor
Kenji Adachi
Noriyuki Kobayashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADACHI, KENJI, KOBAYASHI, NORIYUKI
Publication of US20040035826A1 publication Critical patent/US20040035826A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask

Definitions

  • the present invention relates to an insulating film etching method, and more particularly to that suitable for use in etching for a high-aspect-ratio contact hole.
  • an insulating film etching method in which a mixed gas containing at least a first fluorocarbon gas having C ⁇ 4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F ⁇ 4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O 2 gas is used as an etching gas, the method characterized in that a ratio between flow rates of the first fluorocarbon gas and the second fluorocarbon gas (the flow rate of the first fluorocarbon gas/the flow rate of the second fluorocarbon gas) is 0.50 or higher, and a C/F ratio of the mixed gas as a whole is 0.52 or higher.
  • the first fluorocarbon gas can contribute to the increase in the resist mask selection ratio
  • the second fluorocarbon gas can contribute to the restraint of the occurrence of the bowing shape and the increase in the etching rate, and as a result, the etching rate and the resist mask selection ratio can be both increased.
  • the first fluorocarbon gas is a C 5 F 8 gas or a C 4 F 6 gas having C ⁇ 4 and a C/F ratio of 0.625 or higher.
  • the second fluorocarbon gas is one selected from a CF 4 gas, a C 2 F 6 gas, a C 3 F 8 gas, and a C 4 F 8 gas.
  • an etching species (mainly, a CF x radical) can be produced efficiently, which can further improve the etching rate.
  • the mixed gas further contains a hydrofluorocarbon gas.
  • the hydrofluorocarbon gas is preferably a CH 2 F 2 gas.
  • the insulating film is a silicon oxide film. Further, according to yet another aspect of the present invention, it is characterized in that, when the silicon oxide film is etched, a silicon nitride film is exposed to an upper layer or a lower layer. It is further characterized in that the silicon oxide film is thus etched in a self-alignment contact forming step.
  • an insulating film etching method in which a mixed gas containing at least a first fluorocarbon gas having C ⁇ 4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F ⁇ 4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O 2 gas is used as an etching gas, the method characterized in that a temperature of a substrate on which the insulating film is formed is 80° C. to 120° C.
  • FIG. 1 is a sectional view showing the schematic configuration of an etching apparatus according to an embodiment of the present invention.
  • FIG. 2A and FIG. 2B are sectional views showing the structure of an etching sample according to an example of the present invention, FIG. 2A showing the state before etching and FIG. 2B showing the state after etching.
  • FIG. 3 is a chart showing etching characteristics according to an example of the present invention when the kind and the flow rate ratio of fluorocarbon are defined as parameters.
  • FIG. 4 is a table showing the calculation result of the C/F ratio of each etching gas as a whole according to an example of the present invention.
  • FIG. 5A and FIG. 5B are charts showing the correlation between an addition amount of CF 4 and etching characteristics according to an example of the present invention, FIG. 5A showing an etching rate and a resist mask selection ratio and FIG. 5B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 6A and FIG. 6B are charts showing the correlation between an addition amount of C 2 F 6 and etching characteristics according to an example of the present invention, FIG. 6A showing an etching rate and a resist mask selection ratio and FIG. 6B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 7A and FIG. 7B are charts showing the correlation between an addition amount of C 3 F 8 and etching characteristics according to an example of the present invention, FIG. 7A showing an etching rate and a resist mask selection ratio and FIG. 7B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 8 is a sectional view showing the structure of an etching sample according to an example of the present invention.
  • FIG. 9 is a chart showing the correlation between an addition amount of CF 4 and etching characteristics according to an example of the present invention.
  • FIG. 10 is a chart showing temperature dependency of etching characteristics according to an example of the present invention.
  • FIG. 1 is a sectional view showing the schematic configuration of an etching apparatus according to an embodiment of the present invention. This embodiment shows a case of etching through the use of a C 4 F 6 /CF 4 /Ar/O 2 mixed gas in which a first fluorocarbon gas is C 4 F 6 having a straight-chain molecular structure and a second fluorocarbon gas is CF 4 .
  • an upper electrode 2 and a susceptor 3 are provided in a processing chamber 1 .
  • This susceptor 3 also serves as a lower electrode.
  • the upper electrode 2 has gas ejecting ports 2 a through which an etching gas is introduced into the processing chamber 1 .
  • the abovementioned susceptor 3 is supported on a susceptor supporting table 4 , and the susceptor supporting table 4 is held in the processing chamber 1 via an insulating board 5 .
  • Radio-frequency power supplies 13 , 11 are connected to the upper electrode 2 and the susceptor 3 respectively so that the etching gas introduced into the processing chamber 1 is plasmatized.
  • the susceptor supporting table 4 has a refrigerant chamber 10 provided therein, and a refrigerant such as liquid nitrogen circulates inside the refrigerant chamber 10 through a refrigerant supply pipe 10 a and a refrigerant discharge pipe 10 b . Then, a cooling energy generated therefrom is transmitted to a wafer W via the susceptor supporting table 4 and the susceptor 3 so that the wafer W can be cooled.
  • a refrigerant such as liquid nitrogen circulates inside the refrigerant chamber 10 through a refrigerant supply pipe 10 a and a refrigerant discharge pipe 10 b .
  • An electrostatic chuck 6 is provided on the susceptor 3 .
  • the electrostatic chuck 6 is so structured that a conductive layer 7 is sandwiched between polyimide films 8 a and 8 b .
  • a DC high-voltage power supply 12 is connected to the conductive layer 7 , and when a DC high voltage is applied to the conductive layer 7 , a Coulomb force acts on the wafer W so that the wafer W can be fixed on the susceptor 3 .
  • a gas passage 9 through which a He gas is introduced is provided in the susceptor 3 and the electrostatic chuck 6 .
  • the He gas is supplied to the rear face side of the wafer W via this gas passage 9 so that the wafer W mounted on the susceptor 3 can be cooled.
  • the gas passage 9 is connected to a He gas supply source 18 via an open/close valve 18 a and a flow control valve 18 b so that the pressure of the He gas on the rear face of the wafer W can be controlled.
  • a gas supply pipe 1 a and an exhaust pipe 1 b are connected to the processing chamber 1 .
  • the gas supply pipe 1 a is connected to a C 4 F 6 gas supply source 14 , a CF 4 gas supply source 15 , an Ar gas supply source 16 , and an O 2 gas supply source 17 via open/close valves 14 a to 17 a and flow control valves 14 b to 17 b.
  • the exhaust pipe 1 b is connected to a vacuum pump and the inside of the processing chamber 1 is exhausted with this vacuum pump so that the pressure of the processing chamber 1 can be adjusted.
  • the wafer W on which the insulating film is formed is mounted on the susceptor 3 and fixed by the electrostatic chuck 6 .
  • the open/close valves 14 a to 17 a are opened to introduce a C 4 F 6 gas, a CF 4 gas, an Ar gas, and an O 2 gas into the processing chamber 1 .
  • the ratio among the flow rates of the C 4 F 6 gas, the CF 4 gas, the Ar gas, and the O 2 gas is adjustable by the flow control valves 14 b to 17 b .
  • the ratio between the flow rates of the C 4 F 6 gas and the CF 4 gas (the flow rate of the C 4 F 6 gas/the flow rate of the CF 4 gas) is preferably 0.5 or higher in order to ensure a resist mask selection ratio.
  • an RF power (60 MHz) from the radio-frequency power supply 13 is applied to the upper electrode 2 and an RF power (2 MHz) from the radio-frequency power supply 11 is applied to the susceptor 3 , thereby plasmatizing the etching gas to etch the insulating film.
  • the open/close valve 18 a is opened to supply the He gas to the rear face side of the wafer W through the gas passage 9 .
  • the temperature for cooling the wafer W is controllable by the adjustment of the pressure of the He gas through the use of the flow control valve 18 b .
  • Preferable etching conditions are such that the RF powers to the upper electrode 2 and the susceptor 3 are about 140 W to about 2100 W, the inner pressure of the processing chamber 1 is about 1.33 Pa to about 9.31 Pa (about 10 mTorr to about 70 mTorr), the temperature of the susceptor 3 is about ⁇ 20° C. to about 20° C., and the temperature of the wafer W is about 80° C. to about 120° C.
  • the C 4 F 6 gas in which the number of C (carbon atoms) in a molecule is large promotes the deposition of carbon polymers while supplying a large amount of etching species such as a CF radical (CF*, CF 2 *, and CF 3 *), and consequently, it can increase a resist selection ratio while increasing an etching rate, but it is likely to cause a bowing shape to occur.
  • etching species such as a CF radical (CF*, CF 2 *, and CF 3 *
  • the reason why the deposition of the carbon polymers increases the resist selection ratio is that, on an etched surface of the oxide film, oxygen contained in the oxide film is sputtered out to contribute to the decomposition of the carbon polymers while, on a resist surface, the carbon polymers are not easily removed even by ion bombardment or the like. Moreover, since the CF 4 gas promotes etching while restraining the deposition of the carbon polymers, the etching rate can be increased. Especially, the mixture of the CF 4 gas in the C 4 F 6 gas makes it possible to restrain the deposition of the carbon polymers while supplying a large amount of the etching species. Consequently, even when a contact hole having an aspect ratio of 10 or higher is to be formed, the lack of etching in the hole is also prevented to allow the efficient formation of the contact hole having the high aspect ratio of 10 or higher.
  • the insulating film may be, for example, a PSG film, a BSG film, a BPSG film, an AsSG film, an AsPSG film, an AsBSG film, or the like besides a SiO 2 film.
  • a C 4 F 6 gas or a C 5 F 8 gas having a cyclic molecular structure may be used instead of the C 4 F 6 gas having the straight-chain molecular structure.
  • a C 2 F 6 gas, a C 3 F 8 gas, or a C 4 F 8 gas may be used instead of the CF 4 gas.
  • FIG. 2A is a sectional view showing the structure of an etching sample according to an example of the present invention.
  • a silicon oxide film 22 thermal oxide film
  • a photoresist film 23 having opening portions 24 formed therein is formed on the silicon oxide film 22 .
  • a film thickness Th of the silicon oxide film 22 is 2 ⁇ m
  • a film thickness Tr of the photoresist film 23 is 600 nm
  • a diameter H ⁇ of the opening portion 24 is 0.15 ⁇ m.
  • the sample shown in FIG. 2A is used and is subjected to etching ET through the use of the etching apparatus shown in FIG. 1.
  • FIG. 2B is a sectional view showing a bowing shape after the etching.
  • a contact hole having a bowing shape is formed in the silicon oxide film 22 after the sample shown in FIG. 2A is subjected to the etching ET.
  • a bowing ratio representing the degree of the bowing is defined as a bowing diameter Gc/a top diameter Tc. This bowing ratio is most preferably 1, and preferably falls within a range from 0.95 to 1.05 (within ⁇ 5%). Note that the bowing diameter Gc is a diameter of a portion of the contact hole 25 having the largest bulge, and the top diameter Tc is a diameter of a top portion of the contact hole 25 .
  • a bottom diameter Bc is a diameter of a bottom of the contact hole 25 .
  • a bottom diameter ratio defined as the bottom diameter Bc/the top diameter Tc is most preferably 1. In the case of a hole having a small diameter and a large depth, however, the bottom diameter ratio becomes low. In general, when the top diameter is about 0.15 ⁇ m and the depth of the hole is 2 ⁇ m to 3 ⁇ m, 30% overetching is performed so that the bottom diameter ratio is about 70%.
  • a resist mask selection ratio in this example is equal to a value obtained by dividing an etching rate of the silicon oxide film 22 by an etching rate of the photoresist film 23 in a flat portion.
  • the higher resist mask selection ratio is the better, and it is preferably 5.0 or higher.
  • Etching according to a conventional example was performed in order to compare the result of the etching according to an example of the present invention with that of the conventional example.
  • the conventional etching was performed under such conditions that a C 5 F 8 /Ar/O 2 mixed gas was used under the flow rate ratio of 15/380/19 sccm.
  • the settings were such that the RF power of the upper electrode 2 was 2170 W, the RF power of the lower electrode 3 was 1550 W, the pressure was 2.00 Pa (15 mTorr), the He pressure on the rear face of the wafer W was 2000 Pa (15 Torr) at the center and 3330 Pa (25 Torr) at an edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was 20° C.
  • the etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained, and was set to 4 minutes and 48 seconds that corresponds to 30% overetching when a sectional shape was to be evaluated.
  • the spaced interval between the electrodes was 25 mm.
  • a sectional shape having a bowing shape was obtained, where, at the center, middle, and edge of the wafer W, the etching rates were 560 nm/min, 558 nm/min, and 504 nm/min respectively, the resist mask selection ratios on a facet face were 4.9, 5.4, and 5.0 respectively, the bowing ratios were 1.02, 1.06, and 1.03 respectively, the bottom diameters Bc were 107 nm, 108 nm, and 95 nm respectively, and the bottom diameter ratios were 71.3%, 72.0%, and 63.3% respectively.
  • a C 4 F 6 /CF 4 /Ar/O 2 mixed gas containing C 4 F 6 as a first fluorocarbon gas and CF 4 as a second fluorocarbon gas was used under the flow rate ratio of 25/10/500/26 sccm.
  • the settings were such that the RF power of the upper electrode 2 was 1800 W, the RF power of the lower electrode 3 was 1800 W, the pressure was 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W was 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was ⁇ 10° C.
  • the etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained and was set to 4 minutes and 24 seconds corresponding to 30% overetching when the sectional shape was to be evaluated.
  • the sectional shape without any bowing shape was obtained, where, at the center, middle and edge of the wafer W, the etching rates were 588 nm/min, 606 nm/min, and 622 nm/min respectively, the resist mask selection ratios on the facet face were 5.7, 5.3, and 5.5 respectively, the bowing ratios were 1.00, 1.00, and 1 . 00 respectively, the bottom diameters Bc were 99 nm, 93 nm, and 109 nm respectively, and the bottom diameter ratios were 66.0%, 62.0%, and 72.7% respectively.
  • etching using the C 4 F 6 /CF 4 /Ar/O 2 mixed gas instead of the C 5 F 8 /Ar/O 2 mixed gas realized the control of the bowing ratio within ⁇ 5% and the increase in the etching rate to about 1.12 times and the resist selection ratio to about 1.08 times.
  • etching was performed using a C 4 F 6 /C 2 F 6 /Ar/O 2 mixed gas in which C 2 F 6 was used as the second fluorocarbon gas, in place of the C 4 F 6 /CF 4 /Ar/O 2 mixed gas. All the etching conditions were set to the same as those in the above-described example except that the etching time corresponding to 30% overetching when the sectional shape was to be evaluated was set to 4 minutes and 32 seconds.
  • the etching rates were 608 nm/min, 636 nm/min, and 686 nm/min respectively
  • the resist mask selection ratios on the facet face were 6.2, 5.9, and 6.0 respectively
  • the bowing ratios were 0.98, 0.99, and 1.00 respectively
  • the bottom diameters Bc were 105 nm, 99 nm, and 99 nm respectively
  • the bottom diameter ratios were 70.0%, 66.0%, and 66.0% respectively.
  • etching using the C 4 F 6 /C 2 F 6 /Ar/O 2 mixed gas instead of the C 4 F 6 /CF 4 /Ar/O 2 mixed gas also realized the control of the bowing ratio within ⁇ 5% and the increase in the etching rate to about 1.19 times and the resist selection ratio to 1.18 times.
  • etching was performed using a C 5 F 8 /CF 4 /Ar/O 2 mixed gas in which C 5 F 8 was used as the first fluorocarbon gas, in place of the C 4 F 6 /CF 4 /Ar/O 2 mixed gas.
  • a sample constituted of a silicon base and a BPSG film with a 3 ⁇ m thickness formed thereon was used.
  • a diameter of a hole formed by the etching was 0.25 ⁇ m.
  • Etching conditions were such that the flow rate ratio was 25/15/500/25 sccm, the RF power of the upper electrode 2 was 1750 W, the RF power of the lower electrode 3 was 1800 W, the pressure was 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W was 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature was 20° C., the wall temperature was 60° C., the bottom temperature was 50° C., and the etching time was the time corresponding to 30% overetching.
  • the average of etching rates at the center, middle, and edge of the wafer W was 680.5 nm/min.
  • etching using a C 5 F 8 /Ar/O 2 mixed gas was performed under the same conditions as those in the above-described case except that CF 4 was removed from the gas used above.
  • the average etching rate was 561.1 nm/min, and at the center, middle, and edge of the wafer W, amounts of the remaining film of the resist mask on the facet face were 91 nm, 112 nm, and 33 nm respectively (the initial film thickness was about 800 nm), the bowing ratios were 1.15, 1.10, and 1.05 respectively, and the bottom diameter ratios were 0.77, 0.67, and 0.62 respectively.
  • FIG. 3 is a chart showing etching characteristics according to an example of the present invention when the kind and the flow rate ratio of fluorocarbon are defined as parameters. Processing conditions were the same as those in the aforesaid example, and overetching was 30%. In FIG.
  • the ratio of the flow rate of the C 4 F 6 gas to that of the C x F y gas is preferably 0.5 or higher, more preferably 1 or higher in view of the resist mask selection ratio.
  • the flow rate of the C 4 F 6 gas is preferably 20 sccm or higher.
  • FIG. 4 is a table showing the calculation result of the C/F ratio of each etching gas as a whole according to an example of the present invention.
  • the C/F ratio of the etching gas as a whole is 0.5 or higher when the ratio of the flow rate of the C 4 F 6 gas to that of the C x F y gas is 1 or higher.
  • the cases where the C/F ratio is 0.5 or higher are shown by the circles.
  • the C/F ratio of the whole etching gas in which two kinds of fluorocarbon gases are mixed is preferably 0.5 or higher in order to increase the resist mask selection ratio.
  • the etching rate can be increased while the resist mask selection ratio is maintained substantially constant. It can be reasoned that this is because the deposition of the carbon polymers does not have significant influence since the C/F ratio (x/y) in C x F y in which the etching species are increased due to the increase in the number (x) of C in C x F y is maintained at 0.5 or lower. Therefore, the number (x) of C in C x F y is preferably larger in view of the etching rate.
  • FIGS. 5A and 5B to FIGS. 7A and 7B respectively show the study results of the changes in the etching rate, the resist mask selection ratio in a flat portion, the bowing ratio, the bottom diameter ratio when the flow rate (addition amount) of the second fluorocarbon gas having a low C/F ratio is varied.
  • FIGS. 5A and 5B show the study results for CF 4
  • FIGS. 6A and 6B show the study results for C 2 F 6
  • FIGS. 7A and 7B show the study results for C 3 F 8 .
  • FIG. 5A, FIG. 6A, and FIG. 7A show the changes in the etching rate and the resist mask selection ratio in the flat portion
  • FIG. 6B, and FIG. 7B show the changes in the bowing ratio and the bottom diameter ratio.
  • Etching conditions are such that the flow rate ratio of C 4 F 6 /C x F y /Ar/O 2 is 35/0 to 35/700/36 sccm, the RF power of the upper electrode 2 is 2200 W, the RF power of the lower electrode 3 is 1800 W, the pressure is 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W is 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature is 60° C., the wall temperature is 50° C., and the bottom temperature is ⁇ 10° C.
  • the etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained, and set to the time corresponding to 30% overetching when the sectional shape was to be evaluated.
  • the addition of CF 4 , C 2 F 6 , or C 3 F 8 increases the etching rate, and also improves the bowing ratio and the bottom diameter ratio.
  • a contact hole self-alignment contact
  • a silicon oxide film 32 and so on are etched via a resist mask 31 to form a contact hole 34 reaching a silicon substrate 33
  • a silicon nitride film (SiN film) 36 formed around a gate electrode 35 formed on a lower layer is sometimes exposed, as shown in FIG. 8.
  • FIG. 9 shows the result of measuring the changes in the etching rate, the resist mask selection ratio (facet portion), the silicon nitride film selection ratio (SiN selection ratio) of a silicon oxide film (BPSG film) in accordance with the difference in an addition amount of CF 4 .
  • Etching conditions are such that the flow rate ratio of C 4 F 6 /CF 4 /Ar/O 2 is 16/0 to 10/800/16 sccm, the RF power of the upper electrode 2 is 1530 W, the RF power of the lower electrode 3 is 1350 W, the pressure is 3.99 Pa (30 mTorr), the He pressure on the rear face of the wafer W is 665 Pa (5 Torr) at the center and 1330 Pa (10 Torr) at the edge, the top temperature is 40° C., the wall temperature is 60° C., and the bottom temperature is 50° C.
  • the etching time is 90 seconds in the case of measuring the etching rate and the resist mask selection ratio, and is the time corresponding to 100% overetching in the case of measuring the silicon nitride film selection ratio.
  • the thickness of the silicon oxide film is 1400 nm and the diameter of a contact hole is 400 nm.
  • the addition of CF 4 increases the etching rate and the SiN selection ratio.
  • FIG. 10 shows the result of measuring temperature dependency of the etching rate, the resist mask selection ratio (facet portion), the bowing ratio (bowing CD ratio), the bottom diameter ratio (bottom diameter CD ratio), and the silicon nitride film selection ratio of a silicon oxide film (P-SiO 2 film).
  • etching conditions were so set that the RF power of the upper electrode 2 was 1800 W, the RF power of the lower electrode 3 was 2100 W, the pressure was 2.66 Pa (20 mTorr) to 3.33 Pa (25 mTorr), the He pressure on the rear face of the wafer W was 2000 Pa (15 Torr) at the center and 4660 Pa (35 Torr) at the edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was ⁇ 20° C. to 20° C. (the wafer temperature was 80° C. to 120° C.).
  • the etching time was 30% underetching in the case of obtaining the etching rate and the resist mask selection ratio of the silicon oxide film, and was the time corresponding to 20% overetching in other cases.
  • the etching rate, the resist mask selection ratio, the bowing ratio, the bottom diameter ratio, and the silicon nitride film selection ratio have temperature dependency.
  • the etching rate and the resist mask selection ratio are higher as the wafer temperature is lower, but the silicon nitride film selection ratio, the bowing ratio, and the bottom diameter ratio are better as the wafer temperature is higher. Therefore, it is seen that they are in a trade-off relation.
  • the wafer temperature exceeds 140° C. (the bottom temperature exceeds 40° C.)
  • the resist is softened to be deformed, and consequently, the shape as the mask cannot be maintained. Therefore, the wafer temperature is preferably 80° C. to 120° C.

Abstract

A mixed gas containing at least a first fluorocarbon gas having C≧4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F≧4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O2 gas is used as an etching gas to etch an insulating film formed of a silicon oxide film or the like. This can improve an etching rate and a resist mask selection ratio, and in addition, prevent the formation of a contact hole in a bowing shape even when a high-aspect-ratio contact hole is formed.

Description

    TECHNICAL FIELD
  • The present invention relates to an insulating film etching method, and more particularly to that suitable for use in etching for a high-aspect-ratio contact hole. [0001]
  • BACKGROUND ART
  • With the recent progress of high density design of semiconductor integrated circuits, the aspect ratio of contact holes is becoming higher, and a C[0002] 5F8/Ar/O2 etching gas has been in use for forming such contact holes.
  • With the C[0003] 5F8/Ar/O2 etching gas, however, there has been not only a problem of low throughput due to a low etching rate but also a problem of the occurrence of a bowing (sake bottle) shape due to a low resist mask selection ratio.
  • DISCLOSURE OF THE INVENTION
  • It is an object of the present invention to provide an insulating film etching method capable of not only increasing an etching rate and a resist mask selection ratio but also restraining the occurrence of a bowing shape. [0004]
  • In order to overcome the above-described problems, according to an aspect of the present invention, provided is an insulating film etching method in which a mixed gas containing at least a first fluorocarbon gas having C≧4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F≧4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O[0005] 2 gas is used as an etching gas, the method characterized in that a ratio between flow rates of the first fluorocarbon gas and the second fluorocarbon gas (the flow rate of the first fluorocarbon gas/the flow rate of the second fluorocarbon gas) is 0.50 or higher, and a C/F ratio of the mixed gas as a whole is 0.52 or higher.
  • Owing to the use of the mixture of the first fluorocarbon gas in which the C content is higher, that is, having a high C/F ratio, and the second fluorocarbon gas in which the F content is higher, that is, having a low C/F ratio, the first fluorocarbon gas can contribute to the increase in the resist mask selection ratio, and the second fluorocarbon gas can contribute to the restraint of the occurrence of the bowing shape and the increase in the etching rate, and as a result, the etching rate and the resist mask selection ratio can be both increased. [0006]
  • According to another aspect of the present invention, it is characterized in that the first fluorocarbon gas is a C[0007] 5F8 gas or a C4F6 gas having C≧4 and a C/F ratio of 0.625 or higher.
  • According to still another aspect of the present invention, it is characterized in that the second fluorocarbon gas is one selected from a CF[0008] 4 gas, a C2F6 gas, a C3F8 gas, and a C4F8 gas.
  • This allows the etching rate to be increased while the occurrence of the bowing shape is restrained, which enables efficient formation of high-aspect-ratio contact holes. [0009]
  • Here, when a C/F ratio of the second fluorocarbon gas is made higher (in other words, the second fluorocarbon gas is changed as CF[0010] 4→C2F6→C3F8→C4F8), an etching species (mainly, a CFx radical) can be produced efficiently, which can further improve the etching rate.
  • According to yet another aspect of the present invention, it is characterized in that the mixed gas further contains a hydrofluorocarbon gas. Here, the hydrofluorocarbon gas is preferably a CH[0011] 2F2 gas.
  • This makes it possible to further increase the resist mask selection ratio while giving little influence to the bowing shape and etching rate. [0012]
  • According to yet another aspect of the present invention, it is characterized in that the insulating film is a silicon oxide film. Further, according to yet another aspect of the present invention, it is characterized in that, when the silicon oxide film is etched, a silicon nitride film is exposed to an upper layer or a lower layer. It is further characterized in that the silicon oxide film is thus etched in a self-alignment contact forming step. [0013]
  • According to yet another aspect of the present invention, provided is an insulating film etching method in which a mixed gas containing at least a first fluorocarbon gas having C≧4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F≧4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O[0014] 2 gas is used as an etching gas, the method characterized in that a temperature of a substrate on which the insulating film is formed is 80° C. to 120° C.
  • Setting the substrate temperature within the above temperature range allows the etching rate, resist mask selection ratio, bowing ratio, bottom diameter ratio, and silicon nitride film selection ratio to be maintained at appropriate values.[0015]
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a sectional view showing the schematic configuration of an etching apparatus according to an embodiment of the present invention. [0016]
  • FIG. 2A and FIG. 2B are sectional views showing the structure of an etching sample according to an example of the present invention, FIG. 2A showing the state before etching and FIG. 2B showing the state after etching. [0017]
  • FIG. 3 is a chart showing etching characteristics according to an example of the present invention when the kind and the flow rate ratio of fluorocarbon are defined as parameters. [0018]
  • FIG. 4 is a table showing the calculation result of the C/F ratio of each etching gas as a whole according to an example of the present invention. [0019]
  • FIG. 5A and FIG. 5B are charts showing the correlation between an addition amount of CF[0020] 4 and etching characteristics according to an example of the present invention, FIG. 5A showing an etching rate and a resist mask selection ratio and FIG. 5B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 6A and FIG. 6B are charts showing the correlation between an addition amount of C[0021] 2F6 and etching characteristics according to an example of the present invention, FIG. 6A showing an etching rate and a resist mask selection ratio and FIG. 6B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 7A and FIG. 7B are charts showing the correlation between an addition amount of C[0022] 3F8 and etching characteristics according to an example of the present invention, FIG. 7A showing an etching rate and a resist mask selection ratio and FIG. 7B showing a bowing ratio and a bottom diameter ratio.
  • FIG. 8 is a sectional view showing the structure of an etching sample according to an example of the present invention. [0023]
  • FIG. 9 is a chart showing the correlation between an addition amount of CF[0024] 4 and etching characteristics according to an example of the present invention.
  • FIG. 10 is a chart showing temperature dependency of etching characteristics according to an example of the present invention.[0025]
  • BEST MODE FOR IMPLEMENTING THE INVENTION
  • Hereinafter, an etching method according to an embodiment of the present invention will be explained with reference to the drawings. [0026]
  • FIG. 1 is a sectional view showing the schematic configuration of an etching apparatus according to an embodiment of the present invention. This embodiment shows a case of etching through the use of a C[0027] 4F6/CF4/Ar/O2 mixed gas in which a first fluorocarbon gas is C4F6 having a straight-chain molecular structure and a second fluorocarbon gas is CF4.
  • In FIG. 1, an [0028] upper electrode 2 and a susceptor 3 are provided in a processing chamber 1. This susceptor 3 also serves as a lower electrode. The upper electrode 2 has gas ejecting ports 2 a through which an etching gas is introduced into the processing chamber 1.
  • The [0029] abovementioned susceptor 3 is supported on a susceptor supporting table 4, and the susceptor supporting table 4 is held in the processing chamber 1 via an insulating board 5. Radio- frequency power supplies 13, 11 are connected to the upper electrode 2 and the susceptor 3 respectively so that the etching gas introduced into the processing chamber 1 is plasmatized.
  • The susceptor supporting table [0030] 4 has a refrigerant chamber 10 provided therein, and a refrigerant such as liquid nitrogen circulates inside the refrigerant chamber 10 through a refrigerant supply pipe 10 a and a refrigerant discharge pipe 10 b. Then, a cooling energy generated therefrom is transmitted to a wafer W via the susceptor supporting table 4 and the susceptor 3 so that the wafer W can be cooled.
  • An [0031] electrostatic chuck 6 is provided on the susceptor 3. The electrostatic chuck 6 is so structured that a conductive layer 7 is sandwiched between polyimide films 8 a and 8 b. A DC high-voltage power supply 12 is connected to the conductive layer 7, and when a DC high voltage is applied to the conductive layer 7, a Coulomb force acts on the wafer W so that the wafer W can be fixed on the susceptor 3.
  • Further, a [0032] gas passage 9 through which a He gas is introduced is provided in the susceptor 3 and the electrostatic chuck 6. The He gas is supplied to the rear face side of the wafer W via this gas passage 9 so that the wafer W mounted on the susceptor 3 can be cooled. The gas passage 9 is connected to a He gas supply source 18 via an open/close valve 18 a and a flow control valve 18 b so that the pressure of the He gas on the rear face of the wafer W can be controlled.
  • A [0033] gas supply pipe 1 a and an exhaust pipe 1 b are connected to the processing chamber 1. The gas supply pipe 1 a is connected to a C4F6 gas supply source 14, a CF4 gas supply source 15, an Ar gas supply source 16, and an O2 gas supply source 17 via open/close valves 14 a to 17 a and flow control valves 14 b to 17 b.
  • The [0034] exhaust pipe 1 b is connected to a vacuum pump and the inside of the processing chamber 1 is exhausted with this vacuum pump so that the pressure of the processing chamber 1 can be adjusted.
  • When an insulating film is to be etched, the wafer W on which the insulating film is formed is mounted on the [0035] susceptor 3 and fixed by the electrostatic chuck 6.
  • Next, while the [0036] processing chamber 1 is exhausted to adjust the inner pressure of the processing chamber 1, the open/close valves 14 a to 17 a are opened to introduce a C4F6 gas, a CF4 gas, an Ar gas, and an O2 gas into the processing chamber 1.
  • The ratio among the flow rates of the C[0037] 4F6 gas, the CF4 gas, the Ar gas, and the O2 gas is adjustable by the flow control valves 14 b to 17 b. The ratio between the flow rates of the C4F6 gas and the CF4 gas (the flow rate of the C4F6 gas/the flow rate of the CF4 gas) is preferably 0.5 or higher in order to ensure a resist mask selection ratio.
  • Next, an RF power (60 MHz) from the radio-[0038] frequency power supply 13 is applied to the upper electrode 2 and an RF power (2 MHz) from the radio-frequency power supply 11 is applied to the susceptor 3, thereby plasmatizing the etching gas to etch the insulating film. At this time, in order to cool the wafer W efficiently, the open/close valve 18 a is opened to supply the He gas to the rear face side of the wafer W through the gas passage 9. The temperature for cooling the wafer W is controllable by the adjustment of the pressure of the He gas through the use of the flow control valve 18 b. Preferable etching conditions are such that the RF powers to the upper electrode 2 and the susceptor 3 are about 140 W to about 2100 W, the inner pressure of the processing chamber 1 is about 1.33 Pa to about 9.31 Pa (about 10 mTorr to about 70 mTorr), the temperature of the susceptor 3 is about −20° C. to about 20° C., and the temperature of the wafer W is about 80° C. to about 120° C.
  • The C[0039] 4F6 gas in which the number of C (carbon atoms) in a molecule is large promotes the deposition of carbon polymers while supplying a large amount of etching species such as a CF radical (CF*, CF2*, and CF3*), and consequently, it can increase a resist selection ratio while increasing an etching rate, but it is likely to cause a bowing shape to occur.
  • The reason why the C[0040] 4F6 gas is likely to cause the bowing to occur is that, since a large amount of the carbon polymers deposits near an entrance of a contact hole, the deposition does not easily occur, on the other hand, in a lower side of this deposition portion, resulting in the progress of etching of a contact hole sidewall in this portion.
  • Therefore, when CF[0041] 4 having a large number of F (fluorine atoms) in a molecule and having a low C/F ratio is added in the C4F6 gas that is likely to cause the bowing shape to occur, the deposition of the carbon polymers at the entrance of the contact hole can be restrained, which will end up with the prevention of occurrence of the bowing shape.
  • The reason why the deposition of the carbon polymers increases the resist selection ratio is that, on an etched surface of the oxide film, oxygen contained in the oxide film is sputtered out to contribute to the decomposition of the carbon polymers while, on a resist surface, the carbon polymers are not easily removed even by ion bombardment or the like. Moreover, since the CF[0042] 4 gas promotes etching while restraining the deposition of the carbon polymers, the etching rate can be increased. Especially, the mixture of the CF4 gas in the C4F6 gas makes it possible to restrain the deposition of the carbon polymers while supplying a large amount of the etching species. Consequently, even when a contact hole having an aspect ratio of 10 or higher is to be formed, the lack of etching in the hole is also prevented to allow the efficient formation of the contact hole having the high aspect ratio of 10 or higher.
  • Incidentally, the insulating film may be, for example, a PSG film, a BSG film, a BPSG film, an AsSG film, an AsPSG film, an AsBSG film, or the like besides a SiO[0043] 2 film. Though the case when the C4F6/CF4/Ar/O2 gas is used is explained in the above-described embodiment, a C4F6 gas or a C5F8 gas having a cyclic molecular structure may be used instead of the C4F6 gas having the straight-chain molecular structure. Further, a C2F6gas, a C3F8gas, or a C4F8 gas may be used instead of the CF4 gas.
  • Moreover, though the method of mixing two series of the fluorocarbon gases of different kinds and an Ar/O[0044] 2 gas is explained in the above-described embodiment, three series or more of fluorocarbon gases of different kinds may be used. A hydrofluorocarbon gas such as a CH2F2 gas or a CH3F gas containing hydrogen in a molecular structure thereof may be further added. When the CH2F2 gas or the like is added, hydrogen contained in the CH2F2 gas captures fluorine to increase the C/F ratio of the etching gas, which enables further increase in the resist selection ratio.
  • Further, though the explanation in the above-described embodiment is given on the etching method using an RIE apparatus that is a type of an apparatus that applies a radio-frequency voltage both to the upper electrode and the lower electrode, this method may be also applied to a magnetron RIE apparatus, an ECR (electron cyclotron resonance) plasma etching apparatus, a HEP (helicon excited plasma) etching apparatus, an ICP (inductively coupled plasma) etching apparatus, a TCP (transfer coupled plasma) etching apparatus, and so on. Hereinafter examples of the present invention will be explained with reference to experimental data. [0045]
  • FIG. 2A is a sectional view showing the structure of an etching sample according to an example of the present invention. In FIG. 2A, a silicon oxide film [0046] 22 (thermal oxide film) is formed on a silicon substrate 21, and a photoresist film 23 having opening portions 24 formed therein is formed on the silicon oxide film 22. Here, a film thickness Th of the silicon oxide film 22 is 2 μm, a film thickness Tr of the photoresist film 23 is 600 nm, and a diameter HΦ of the opening portion 24 is 0.15 μm. The sample shown in FIG. 2A is used and is subjected to etching ET through the use of the etching apparatus shown in FIG. 1.
  • FIG. 2B is a sectional view showing a bowing shape after the etching. In FIG. 2B, a contact hole having a bowing shape is formed in the [0047] silicon oxide film 22 after the sample shown in FIG. 2A is subjected to the etching ET.
  • A bowing ratio representing the degree of the bowing is defined as a bowing diameter Gc/a top diameter Tc. This bowing ratio is most preferably 1, and preferably falls within a range from 0.95 to 1.05 (within ±5%). Note that the bowing diameter Gc is a diameter of a portion of the [0048] contact hole 25 having the largest bulge, and the top diameter Tc is a diameter of a top portion of the contact hole 25.
  • A bottom diameter Bc is a diameter of a bottom of the [0049] contact hole 25. A bottom diameter ratio defined as the bottom diameter Bc/the top diameter Tc is most preferably 1. In the case of a hole having a small diameter and a large depth, however, the bottom diameter ratio becomes low. In general, when the top diameter is about 0.15 μm and the depth of the hole is 2 μm to 3 μm, 30% overetching is performed so that the bottom diameter ratio is about 70%.
  • A resist mask selection ratio in this example is equal to a value obtained by dividing an etching rate of the [0050] silicon oxide film 22 by an etching rate of the photoresist film 23 in a flat portion. The higher resist mask selection ratio is the better, and it is preferably 5.0 or higher.
  • Etching according to a conventional example was performed in order to compare the result of the etching according to an example of the present invention with that of the conventional example. The conventional etching was performed under such conditions that a C[0051] 5F8/Ar/O2 mixed gas was used under the flow rate ratio of 15/380/19 sccm. The settings were such that the RF power of the upper electrode 2 was 2170 W, the RF power of the lower electrode 3 was 1550 W, the pressure was 2.00 Pa (15 mTorr), the He pressure on the rear face of the wafer W was 2000 Pa (15 Torr) at the center and 3330 Pa (25 Torr) at an edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was 20° C. The etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained, and was set to 4 minutes and 48 seconds that corresponds to 30% overetching when a sectional shape was to be evaluated. The spaced interval between the electrodes was 25 mm.
  • In this case, a sectional shape having a bowing shape was obtained, where, at the center, middle, and edge of the wafer W, the etching rates were 560 nm/min, 558 nm/min, and 504 nm/min respectively, the resist mask selection ratios on a facet face were 4.9, 5.4, and 5.0 respectively, the bowing ratios were 1.02, 1.06, and 1.03 respectively, the bottom diameters Bc were 107 nm, 108 nm, and 95 nm respectively, and the bottom diameter ratios were 71.3%, 72.0%, and 63.3% respectively. [0052]
  • Meanwhile, as conditions of etching of this example, a C[0053] 4F6/CF4/Ar/O2 mixed gas containing C4F6 as a first fluorocarbon gas and CF4 as a second fluorocarbon gas was used under the flow rate ratio of 25/10/500/26 sccm. The settings were such that the RF power of the upper electrode 2 was 1800 W, the RF power of the lower electrode 3 was 1800 W, the pressure was 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W was 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was −10° C. The etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained and was set to 4 minutes and 24 seconds corresponding to 30% overetching when the sectional shape was to be evaluated.
  • In this case, the sectional shape without any bowing shape was obtained, where, at the center, middle and edge of the wafer W, the etching rates were 588 nm/min, 606 nm/min, and 622 nm/min respectively, the resist mask selection ratios on the facet face were 5.7, 5.3, and 5.5 respectively, the bowing ratios were 1.00, 1.00, and [0054] 1.00 respectively, the bottom diameters Bc were 99 nm, 93 nm, and 109 nm respectively, and the bottom diameter ratios were 66.0%, 62.0%, and 72.7% respectively.
  • Thus, etching using the C[0055] 4F6/CF4/Ar/O2 mixed gas instead of the C5F8/Ar/O2 mixed gas realized the control of the bowing ratio within ±5% and the increase in the etching rate to about 1.12 times and the resist selection ratio to about 1.08 times.
  • Further, etching was performed using a C[0056] 4F6/C2F6/Ar/O2 mixed gas in which C2F6 was used as the second fluorocarbon gas, in place of the C4F6/CF4/Ar/O2 mixed gas. All the etching conditions were set to the same as those in the above-described example except that the etching time corresponding to 30% overetching when the sectional shape was to be evaluated was set to 4 minutes and 32 seconds.
  • In this case, at the center, middle and edge of the wafer W, the etching rates were 608 nm/min, 636 nm/min, and 686 nm/min respectively, the resist mask selection ratios on the facet face were 6.2, 5.9, and 6.0 respectively, the bowing ratios were 0.98, 0.99, and 1.00 respectively, the bottom diameters Bc were 105 nm, 99 nm, and 99 nm respectively, and the bottom diameter ratios were 70.0%, 66.0%, and 66.0% respectively. [0057]
  • Thus, etching using the C[0058] 4F6/C2F6/Ar/O2 mixed gas instead of the C4F6/CF4/Ar/O2 mixed gas also realized the control of the bowing ratio within ±5% and the increase in the etching rate to about 1.19 times and the resist selection ratio to 1.18 times.
  • Further, etching was performed using a C[0059] 5F8/CF4/Ar/O2 mixed gas in which C5F8 was used as the first fluorocarbon gas, in place of the C4F6/CF4/Ar/O2 mixed gas. A sample constituted of a silicon base and a BPSG film with a 3 μm thickness formed thereon was used. A diameter of a hole formed by the etching was 0.25 μm.
  • Etching conditions were such that the flow rate ratio was 25/15/500/25 sccm, the RF power of the [0060] upper electrode 2 was 1750 W, the RF power of the lower electrode 3 was 1800 W, the pressure was 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W was 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature was 20° C., the wall temperature was 60° C., the bottom temperature was 50° C., and the etching time was the time corresponding to 30% overetching.
  • In this case, the average of etching rates at the center, middle, and edge of the wafer W was 680.5 nm/min. [0061]
  • At the center, middle, and edge of the wafer W, amounts of a remaining film of the resist mask on the facet face were 184 nm, 158 nm, and 86 nm respectively (the initial film thickness was about 800 nm), the bowing ratios were 1.00, 1.00, and 1.00 respectively, and the bottom diameter ratios were 0.59, 0.59, and 0.59 respectively. [0062]
  • Meanwhile, as a comparison example, etching using a C[0063] 5F8/Ar/O2mixed gas was performed under the same conditions as those in the above-described case except that CF4 was removed from the gas used above. As a result, the average etching rate was 561.1 nm/min, and at the center, middle, and edge of the wafer W, amounts of the remaining film of the resist mask on the facet face were 91 nm, 112 nm, and 33 nm respectively (the initial film thickness was about 800 nm), the bowing ratios were 1.15, 1.10, and 1.05 respectively, and the bottom diameter ratios were 0.77, 0.67, and 0.62 respectively.
  • Thus, when the C[0064] 5F8/CF4/Ar/O2mixed gas in which C5F8 is used as the first fluorocarbon gas is used, though the bottom diameter ratio was slightly worsened, the favorable bowing ratio of 1.00 was obtained and the increase in the etching rate to about 1.20 times was realized compared with that of the comparison example. Further, from the fact that the remaining amount of the resist mask was large compared with that in the comparison example, it is seen that the resist mask selection ratio was also increased.
  • FIG. 3 is a chart showing etching characteristics according to an example of the present invention when the kind and the flow rate ratio of fluorocarbon are defined as parameters. Processing conditions were the same as those in the aforesaid example, and overetching was 30%. In FIG. 3, a first fluorocarbon gas having a high C/F ratio is shown by C[0065] 4F6 and a second fluorocarbon gas having a low C/F ratio is shown by CxFy and the curves A1, A2, the curves B1, B2, the curves C1, C2, and the curves D1, D2 show the cases when CxFy=CF4, CxFy=C2F6, CxFy=C3F8, and CxFy=C4F8 respectively.
  • In FIG. 3, as the ratio of the flow rate of the C[0066] 4F6 gas to that of the CxFy (the flow rate of the C4F6 gas/the flow rate of the CxFy gas) becomes higher with the fixed total gas flow rate (35 sccm), the resist mask selection ratio is increased and the etching rate is also increased. It can be reasoned that this is because the increase in etching species due to the increase in the C/F ratio as the whole gas and the deposition of carbon polymers contribute to the increase in the etching rate and the increase in the resist mask selection ratio.
  • On the other hand, when the flow rate of the C[0067] xFy gas is increased under the same flow rate (25 sccm) of the C4F6 gas, the etching rate is increased but the resist mask selection ratio is lowered. It can be reasoned that this is because the increase in the flow rate of the CxFy gas causes the decrease in the C/F ratio as the whole gas, resulting in the decrease in the deposition of the carbon polymers. Therefore, the ratio of the flow rate of the C4F6 gas to that of the CxFy gas (the flow rate of the C4F6 gas/the flow rate of the CxFy gas) is preferably 0.5 or higher, more preferably 1 or higher in view of the resist mask selection ratio. In view of the etching rate, the flow rate of the C4F6 gas is preferably 20 sccm or higher.
  • FIG. 4 is a table showing the calculation result of the C/F ratio of each etching gas as a whole according to an example of the present invention. [0068]
  • It is seen from FIG. 4 that the C/F ratio of the etching gas as a whole is 0.5 or higher when the ratio of the flow rate of the C[0069] 4F6 gas to that of the CxFy gas is 1 or higher. In FIG. 3, the cases where the C/F ratio is 0.5 or higher are shown by the circles. As shown in FIG. 3, the C/F ratio of the whole etching gas in which two kinds of fluorocarbon gases are mixed is preferably 0.5 or higher in order to increase the resist mask selection ratio.
  • Further, when the C[0070] xFy is changed as CF4→C2F6→C3F8→C4F8, the etching rate can be increased while the resist mask selection ratio is maintained substantially constant. It can be reasoned that this is because the deposition of the carbon polymers does not have significant influence since the C/F ratio (x/y) in CxFy in which the etching species are increased due to the increase in the number (x) of C in CxFy is maintained at 0.5 or lower. Therefore, the number (x) of C in CxFy is preferably larger in view of the etching rate.
  • Incidentally, when C[0071] xFy=C4F8, the deposition of the carbon polymers is promoted due to the large number (x) of C. Therefore, when a contact hole having an aspect ratio of 10 or higher is formed in a SiO2 film, the lack of etching of the hole occurs. However, since the lack of etching of the hole can be prevented when the contact hole is formed in a low-melting film such as a PSG film, a BSG film, a BPSG film, an AsSG film, an AsPSG film, and an AsBSG film, the mixed gas in which CxFy=C4F8 is especially suitable for etching these low-melting films.
  • FIGS. 5A and 5B to FIGS. 7A and 7B respectively show the study results of the changes in the etching rate, the resist mask selection ratio in a flat portion, the bowing ratio, the bottom diameter ratio when the flow rate (addition amount) of the second fluorocarbon gas having a low C/F ratio is varied. FIGS. 5A and 5B show the study results for CF[0072] 4, FIGS. 6A and 6B show the study results for C2F6, and FIGS. 7A and 7B show the study results for C3F8. In these drawings, FIG. 5A, FIG. 6A, and FIG. 7A show the changes in the etching rate and the resist mask selection ratio in the flat portion, and FIG. 5B, FIG. 6B, and FIG. 7B show the changes in the bowing ratio and the bottom diameter ratio. Etching conditions are such that the flow rate ratio of C4F6/CxFy/Ar/O2 is 35/0 to 35/700/36 sccm, the RF power of the upper electrode 2 is 2200 W, the RF power of the lower electrode 3 is 1800 W, the pressure is 2.66 Pa (20 mTorr), the He pressure on the rear face of the wafer W is 665 Pa (5 Torr) at the center and 3330 Pa (25 Torr) at the edge, the top temperature is 60° C., the wall temperature is 50° C., and the bottom temperature is −10° C.
  • The etching time was set to a 30% underetching condition when the etching rate and the resist selection ratio were to be obtained, and set to the time corresponding to 30% overetching when the sectional shape was to be evaluated. [0073]
  • As shown in these drawings, the addition of CF[0074] 4, C2F6, or C3F8 increases the etching rate, and also improves the bowing ratio and the bottom diameter ratio. On the other hand, the resist mask selection ratio, though once increased by the addition of CF4, C2F6, or C3F8, tends to become gradually lower when the addition amount is further increased. Therefore, the addition amount of CF4, C2F6, or C3F8 preferably falls within the range demarcated by the vertical bold line in each drawing (left side of the bold line), where the C/F ratio=0.52 or higher.
  • Incidentally, in an etching step in which a contact hole (self-alignment contact) is formed by a so-called self-alignment technique, when a [0075] silicon oxide film 32 and so on are etched via a resist mask 31 to form a contact hole 34 reaching a silicon substrate 33, a silicon nitride film (SiN film) 36 formed around a gate electrode 35 formed on a lower layer is sometimes exposed, as shown in FIG. 8.
  • In the step in which the silicon nitride film is thus exposed, it is necessary in etching for forming the contact hole that the selection ratio of the silicon oxide film relative to the silicon nitride film (silicon nitride film selection ratio) is made high. FIG. 9 shows the result of measuring the changes in the etching rate, the resist mask selection ratio (facet portion), the silicon nitride film selection ratio (SiN selection ratio) of a silicon oxide film (BPSG film) in accordance with the difference in an addition amount of CF[0076] 4.
  • Etching conditions are such that the flow rate ratio of C[0077] 4F6/CF4/Ar/O2 is 16/0 to 10/800/16 sccm, the RF power of the upper electrode 2 is 1530 W, the RF power of the lower electrode 3 is 1350 W, the pressure is 3.99 Pa (30 mTorr), the He pressure on the rear face of the wafer W is 665 Pa (5 Torr) at the center and 1330 Pa (10 Torr) at the edge, the top temperature is 40° C., the wall temperature is 60° C., and the bottom temperature is 50° C. The etching time is 90 seconds in the case of measuring the etching rate and the resist mask selection ratio, and is the time corresponding to 100% overetching in the case of measuring the silicon nitride film selection ratio. Incidentally, the thickness of the silicon oxide film is 1400 nm and the diameter of a contact hole is 400 nm.
  • As shown in the drawing, the addition of CF[0078] 4 increases the etching rate and the SiN selection ratio. However, when the addition amount of CF4 is increased, the resist mask selection ratio tends to be lowered. Therefore, in the example shown in this drawing, it is preferable that the addition amount of CF4 is about 10 sccm or smaller, which, in terms of the C/F ratio, falls within the range demarcated by the bold line in the drawing, where the C/F ratio=0.54 or higher (left side of the bold line).
  • Incidentally, when a silicon oxide film is etched in the structure in which a silicon nitride film is formed on the silicon oxide film, the same effects as in the above-described case can be also obtained. [0079]
  • FIG. 10 shows the result of measuring temperature dependency of the etching rate, the resist mask selection ratio (facet portion), the bowing ratio (bowing CD ratio), the bottom diameter ratio (bottom diameter CD ratio), and the silicon nitride film selection ratio of a silicon oxide film (P-SiO[0080] 2 film).
  • Etching conditions were such that the flow rate ratio of a C[0081] 4F6/CF4/Ar/O2 mixed gas was 24/9/700/30 sccm (when the bottom temperature=−20° C., 0° C. (the wafer temperature=80° C., 100° C.)), and was 30/11/850/36 sccm (when the bottom temperature=0° C., 20° C. (wafer temperature=100° C., 120° C.)).
  • Other etching conditions were so set that the RF power of the [0082] upper electrode 2 was 1800 W, the RF power of the lower electrode 3 was 2100 W, the pressure was 2.66 Pa (20 mTorr) to 3.33 Pa (25 mTorr), the He pressure on the rear face of the wafer W was 2000 Pa (15 Torr) at the center and 4660 Pa (35 Torr) at the edge, the top temperature was 60° C., the wall temperature was 50° C., and the bottom temperature was −20° C. to 20° C. (the wafer temperature was 80° C. to 120° C.). The etching time was 30% underetching in the case of obtaining the etching rate and the resist mask selection ratio of the silicon oxide film, and was the time corresponding to 20% overetching in other cases.
  • As is seen in this drawing, the etching rate, the resist mask selection ratio, the bowing ratio, the bottom diameter ratio, and the silicon nitride film selection ratio have temperature dependency. [0083]
  • The etching rate and the resist mask selection ratio are higher as the wafer temperature is lower, but the silicon nitride film selection ratio, the bowing ratio, and the bottom diameter ratio are better as the wafer temperature is higher. Therefore, it is seen that they are in a trade-off relation. When the wafer temperature exceeds 140° C. (the bottom temperature exceeds 40° C.), the resist is softened to be deformed, and consequently, the shape as the mask cannot be maintained. Therefore, the wafer temperature is preferably 80° C. to 120° C. [0084]

Claims (11)

What is claimed is:
1. (amended) An insulating film etching method,
wherein a mixed gas containing at least a first fluorocarbon gas having C≧4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F≧4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O2 gas is used as an etching gas, and
wherein a ratio between flow rates of said first fluorocarbon gas and said second fluorocarbon gas (the flow rate of the first fluorocarbon gas/the flow rate of the second fluorocarbon gas) is 0.50 or higher, and a C/F ratio of said mixed gas as a whole is 0.52 or higher.
2. An insulating film etching method as set forth in claim 1, wherein said first fluorocarbon gas is a C5F8 gas or a C4F6 gas.
3. An insulating film etching method as set forth in claim 2, wherein said second fluorocarbon gas is one selected from a CF4 gas, a C2F6 gas, a C3F8 gas, and a C4F8 gas.
4. (deleted)
5. An insulating film etching method as set forth in claim 1, wherein said mixed gas further contains a hydrofluorocarbon gas.
6. An insulating film etching method as set forth in claim 5, wherein said hydrofluorocarbon gas is a CH2F2 gas.
7. (deleted)
8. An insulating film etching method as set forth in claim 1, wherein said insulating film is a silicon oxide film.
9. An insulating film etching method as set forth in claim 8, wherein a silicon nitride film is exposed to an upper layer or a lower layer of said silicon oxide film.
10. An insulating film etching method as set forth in claim 9, wherein said silicon oxide film is etched in a self-alignment contact forming step.
11. (amended) An insulating film etching method,
wherein a mixed gas containing at least a first fluorocarbon gas having C≧4 and a C/F ratio of 0.625 or higher, a second fluorocarbon gas having F≧4 and a C/F ratio of 0.5 or lower, an Ar gas, and an O2 gas is used as an etching gas, and
wherein a temperature of a substrate on which said insulating film is formed is 80° C. to 120° C.
US10/451,107 2000-12-21 2001-12-13 Etching method for insulating film Abandoned US20040035826A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000-389151 2000-12-21
JP2000389151 2000-12-21
PCT/JP2001/010932 WO2002050885A1 (en) 2000-12-21 2001-12-13 Etching method for insulating film

Publications (1)

Publication Number Publication Date
US20040035826A1 true US20040035826A1 (en) 2004-02-26

Family

ID=18855762

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/451,107 Abandoned US20040035826A1 (en) 2000-12-21 2001-12-13 Etching method for insulating film

Country Status (7)

Country Link
US (1) US20040035826A1 (en)
JP (1) JP4008352B2 (en)
KR (1) KR100782632B1 (en)
CN (1) CN1249788C (en)
AU (1) AU2002222631A1 (en)
TW (1) TW521335B (en)
WO (1) WO2002050885A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027530A1 (en) * 2004-08-09 2006-02-09 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US20060180571A1 (en) * 2005-02-16 2006-08-17 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
WO2008027240A2 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080305644A1 (en) * 2007-06-07 2008-12-11 Denso Corporation Method of manufacturing semiconductor device including trench-forming process
US20090181545A1 (en) * 2004-06-23 2009-07-16 Nobuyuki Negishi Dry-etching method and apparatus
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4164643B2 (en) * 2002-07-17 2008-10-15 日本ゼオン株式会社 Dry etching method and method for producing perfluoro-2-pentyne
JP4963156B2 (en) * 2003-10-03 2012-06-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
KR100650835B1 (en) * 2004-10-29 2006-11-27 에스티마이크로일렉트로닉스 엔.브이. Method of forming field oxide layer in semiconductor device
JP2007242753A (en) * 2006-03-07 2007-09-20 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP5214152B2 (en) * 2007-02-08 2013-06-19 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP4978512B2 (en) * 2008-02-29 2012-07-18 日本ゼオン株式会社 Plasma etching method
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN103578973B (en) * 2012-07-29 2017-09-05 中国科学院微电子研究所 The circulation lithographic method of silicon nitride high depth-to-width ratio hole
CN103903978B (en) * 2012-12-27 2016-12-28 南亚科技股份有限公司 Engraving method
CN106297831B (en) * 2015-05-21 2020-04-21 新科实业有限公司 Method of forming a pattern on a substrate
JP6836959B2 (en) 2017-05-16 2021-03-03 東京エレクトロン株式会社 Plasma processing equipment, processing systems, and methods for etching porous membranes

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338399A (en) * 1991-02-12 1994-08-16 Sony Corporation Dry etching method
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6316349B1 (en) * 1998-11-12 2001-11-13 Hyundai Electronics Industries Co., Ltd. Method for forming contacts of semiconductor devices
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6337285B1 (en) * 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
US6337244B1 (en) * 2000-03-01 2002-01-08 Micron Technology, Inc. Method of forming flash memory
US6346482B2 (en) * 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US20020024093A1 (en) * 2000-08-23 2002-02-28 Tae-Hyuk Ahn Semiconductor device with self-aligned contact structure employing dual spacers and method of manufacturing the same
US20020030174A1 (en) * 1997-12-27 2002-03-14 Masahiro Yamada Etchant for use in a semiconductor processing method and system
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US20020061654A1 (en) * 2000-04-19 2002-05-23 Kenshi Kanegae Etching method, semiconductor and fabricating method for the same
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6423242B1 (en) * 1998-12-02 2002-07-23 Tokyo Electron Limited Etching method
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US20020179577A1 (en) * 1999-09-13 2002-12-05 Chishio Koshimizu Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate
US20030000913A1 (en) * 1999-03-25 2003-01-02 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20030020411A1 (en) * 2001-07-24 2003-01-30 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio
US20030040194A1 (en) * 2000-05-02 2003-02-27 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6562714B1 (en) * 2001-06-08 2003-05-13 Promos Technologies, Inc. Consolidation method of junction contact etch for below 150 nanometer deep trench-based DRAM devices
US20030127422A1 (en) * 2000-05-12 2003-07-10 Kazuo Tsuchiya Method of high selectivity sac etching
US20040005789A1 (en) * 1999-11-10 2004-01-08 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US20050003675A1 (en) * 2000-11-01 2005-01-06 Carducci James D. Dielectric etch chamber with expanded process window
US6842658B2 (en) * 2001-02-01 2005-01-11 Hitachi, Ltd. Method of manufacturing a semiconductor device and manufacturing system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3154128B2 (en) * 1991-05-24 2001-04-09 ソニー株式会社 Dry etching method
KR100246029B1 (en) * 1997-10-20 2000-03-02 구자홍 An intermittent video record and replay device
JP3003657B2 (en) * 1997-12-24 2000-01-31 日本電気株式会社 Method for manufacturing semiconductor device
TW511335B (en) * 1998-06-09 2002-11-21 Mitsubishi Electric Corp Integrated circuit
JP2000252259A (en) * 1999-02-25 2000-09-14 Sony Corp Dry etching method and manufacture of semiconductor device
JP4839506B2 (en) * 2000-04-28 2011-12-21 ダイキン工業株式会社 Dry etching method

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338399A (en) * 1991-02-12 1994-08-16 Sony Corporation Dry etching method
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
US20020030174A1 (en) * 1997-12-27 2002-03-14 Masahiro Yamada Etchant for use in a semiconductor processing method and system
US6346482B2 (en) * 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6316349B1 (en) * 1998-11-12 2001-11-13 Hyundai Electronics Industries Co., Ltd. Method for forming contacts of semiconductor devices
US6423242B1 (en) * 1998-12-02 2002-07-23 Tokyo Electron Limited Etching method
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US20030000913A1 (en) * 1999-03-25 2003-01-02 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20020179577A1 (en) * 1999-09-13 2002-12-05 Chishio Koshimizu Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate
US20040005789A1 (en) * 1999-11-10 2004-01-08 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6337244B1 (en) * 2000-03-01 2002-01-08 Micron Technology, Inc. Method of forming flash memory
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6337285B1 (en) * 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
US20020061654A1 (en) * 2000-04-19 2002-05-23 Kenshi Kanegae Etching method, semiconductor and fabricating method for the same
US20030040194A1 (en) * 2000-05-02 2003-02-27 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US20030127422A1 (en) * 2000-05-12 2003-07-10 Kazuo Tsuchiya Method of high selectivity sac etching
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US20020024093A1 (en) * 2000-08-23 2002-02-28 Tae-Hyuk Ahn Semiconductor device with self-aligned contact structure employing dual spacers and method of manufacturing the same
US20050003675A1 (en) * 2000-11-01 2005-01-06 Carducci James D. Dielectric etch chamber with expanded process window
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US6842658B2 (en) * 2001-02-01 2005-01-11 Hitachi, Ltd. Method of manufacturing a semiconductor device and manufacturing system
US6562714B1 (en) * 2001-06-08 2003-05-13 Promos Technologies, Inc. Consolidation method of junction contact etch for below 150 nanometer deep trench-based DRAM devices
US20030020411A1 (en) * 2001-07-24 2003-01-30 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090181545A1 (en) * 2004-06-23 2009-07-16 Nobuyuki Negishi Dry-etching method and apparatus
US20060027530A1 (en) * 2004-08-09 2006-02-09 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US20060180571A1 (en) * 2005-02-16 2006-08-17 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
WO2008027240A2 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
WO2008027240A3 (en) * 2006-08-31 2008-05-15 Micron Technology Inc Selective etch chemistries for forming high aspect ratio features and associated structures
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8088691B2 (en) 2006-08-31 2012-01-03 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080305644A1 (en) * 2007-06-07 2008-12-11 Denso Corporation Method of manufacturing semiconductor device including trench-forming process
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Also Published As

Publication number Publication date
JPWO2002050885A1 (en) 2004-04-22
AU2002222631A1 (en) 2002-07-01
KR100782632B1 (en) 2007-12-06
CN1483219A (en) 2004-03-17
WO2002050885A1 (en) 2002-06-27
JP4008352B2 (en) 2007-11-14
KR20030066747A (en) 2003-08-09
TW521335B (en) 2003-02-21
CN1249788C (en) 2006-04-05

Similar Documents

Publication Publication Date Title
US6746961B2 (en) Plasma etching of dielectric layer with etch profile control
US6630407B2 (en) Plasma etching of organic antireflective coating
US6617257B2 (en) Method of plasma etching organic antireflective coating
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6696366B1 (en) Technique for etching a low capacitance dielectric layer
US6114250A (en) Techniques for etching a low capacitance dielectric layer on a substrate
EP1042796B1 (en) Improved techniques for etching an oxide layer
US6399511B2 (en) Plasma etch process in a single inter-level dielectric etch
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US20040035826A1 (en) Etching method for insulating film
US5935877A (en) Etch process for forming contacts over titanium silicide
US6015761A (en) Microwave-activated etching of dielectric layers
US6297163B1 (en) Method of plasma etching dielectric materials
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6217786B1 (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADACHI, KENJI;KOBAYASHI, NORIYUKI;REEL/FRAME:014861/0573

Effective date: 20030527

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION