US20030219975A1 - Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures - Google Patents

Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures Download PDF

Info

Publication number
US20030219975A1
US20030219975A1 US10/411,892 US41189203A US2003219975A1 US 20030219975 A1 US20030219975 A1 US 20030219975A1 US 41189203 A US41189203 A US 41189203A US 2003219975 A1 US2003219975 A1 US 2003219975A1
Authority
US
United States
Prior art keywords
recited
conductive material
semiconductor topography
dielectric layer
trenches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/411,892
Inventor
William Koutny
Anantha Sethuraman
Christopher Seams
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cypress Semiconductor Corp
Original Assignee
Cypress Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cypress Semiconductor Corp filed Critical Cypress Semiconductor Corp
Priority to US10/411,892 priority Critical patent/US20030219975A1/en
Assigned to CYPRESS SEMICONDUCTOR CORPORATION reassignment CYPRESS SEMICONDUCTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KOUTNY, JR., WILLIAM W.C., SEAMS, CHRISTOPHER A., SETHURAMAN, ANANTHA R.
Publication of US20030219975A1 publication Critical patent/US20030219975A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • This invention relates to integrated circuit manufacturing and, more particularly, to a substantially planarized interconnect topography and method for making substantially planarized electrically conductive features such as wide interconnect structures by fabricating the electrically conductive features around a plurality of posts.
  • Fabrication of an integrated circuit involves numerous processing steps. After implant regions (e.g., source/drain regions) have been placed within a semiconductor substrate and gate areas defined upon the substrate, an interlevel dielectric is formed across the topography to isolate the gate areas and the implant regions from overlying conducting regions. Interconnect routing is then patterned across the interlevel dielectric and connected to the implant regions and/or the gate areas by ohmic contacts formed through the interlevel dielectric. Alternating levels of interlevel dielectric and interconnect may be placed across the semiconductor topography to form a multi-level integrated circuit.
  • implant regions e.g., source/drain regions
  • Interconnect routing is then patterned across the interlevel dielectric and connected to the implant regions and/or the gate areas by ohmic contacts formed through the interlevel dielectric. Alternating levels of interlevel dielectric and interconnect may be placed across the semiconductor topography to form a multi-level integrated circuit.
  • step coverage problems may arise when a dielectric, conductive, or semiconductive material is deposited over a topological surface having elevationally raised and recessed regions.
  • Step coverage is defined as a measure of how well a film conforms over an underlying step and is expressed by the ratio of the minimum thickness of a film as it crosses a step to the nominal thickness of the film over horizontal regions.
  • stringers may arise from incomplete etching over severe steps.
  • correctly patterning layers upon a topological surface containing fluctuations in elevation may be difficult using optical lithography.
  • the depth-of-focus of the lithography alignment system may vary depending upon whether the resist resides in an elevational “hill” or “valley” area. The presence of such elevational disparities therefore makes it difficult to print high-resolution features.
  • CMP chemical-mechanical polishing
  • a typical chemical-mechanical polishing (“CMP”) process involves placing a semiconductor wafer 12 face-down on a polishing pad 14 which lies on or is attached to a rotatable table or platen 16 .
  • a popular polishing pad medium includes polyurethane or polyurethane-impregnated polyester felts.
  • polishing pad 14 and semiconductor wafer 12 may be rotated while a carrier 10 holding wafer 12 applies a downward force F upon polishing pad 14 .
  • An abrasive, fluid-based chemical suspension may be deposited from a conduit 18 positioned above pad 14 onto the surface of polishing pad 14 .
  • the slurry may fill the space between pad 14 and the surface of wafer 12 .
  • the polishing process may involve a chemical in the slurry reacting with the surface material being polished.
  • the rotational movement of polishing pad 14 relative to wafer 12 preferably causes abrasive particles entrained within the slurry to physically strip the reacted surface material from wafer 12 .
  • the pad 14 itself may also physically remove some material from the surface of the wafer 12 .
  • the abrasive slurry particles are typically composed of silica, alumina, or ceria.
  • CMP is commonly used to form a planarized level of an integrated circuit containing interconnect laterally spaced from each other in what is generally referred to as the “damascene” process. Laterally spaced trenches are first etched in an interlevel dielectric configured upon a semiconductor topography comprising electrically conductive features. A conductive material is then deposited into the trenches and on the interlevel dielectric between trenches to a level spaced above the upper surface of the interlevel dielectric. CMP is applied to the surface of the conductive material to remove that surface to a level substantially commensurate with that of the upper surface of the interlevel dielectric. In this manner, interconnect that are isolated from each other by the interlevel dielectric are formed exclusively in the trenches.
  • CMP can planarize only localized regions of the interconnect surface such that all interconnect traces have a coplanar upper surface, provided certain conditions are met.
  • the localized area must contain trenches that are consistently and closely spaced from each other. Moreover, the trenches must be relatively narrow in lateral dimension. If those rather restrictive requirements are not met, then thicknesses of a given interconnect layer can vary to such a degree that local regions of interconnect may suffer severe current-carrying limitations.
  • planarization may become quite difficult in a region where there is a relatively large distance between a series of relatively narrow interconnect, or if there is a relatively wide interconnect such as that found in, for example, a bond pad or a wide conductive line, such as a bus.
  • FIGS. 2 - 5 illustrate a typical damascene process and the localized thinning or “dishing” problem experienced by conventional metal CMP processes when a relatively wide interconnect is planarized.
  • FIG. 2 depicts a partial top view of a bond pad 22 , possibly up to or exceeding 100 ⁇ m per side, formed in an interlevel dielectric 20 according to a conventional process.
  • FIG. 3 shows a partial cross-sectional view of the semiconductor topography including the bond pad along line A.
  • a relatively wide trench 24 is formed in interlevel dielectric 20 using well-known lithography and etch techniques.
  • FIG. 4 illustrates a conductive material 28 , e.g., a metal, such as aluminum, tungsten, tantalum, or titanium, deposited across the topography to a level spaced above upper surface 26 .
  • the conductive material takes on an upper surface topography including a region 30 having a single wide valley area spaced above the wide trench 24 and a substantially flat region 32 spaced above smooth upper surface 26 .
  • Conductive material 28 is then polished, as shown in FIG. 5, using CMP to remove conductive material 28 from the upper surface of interlevel dielectric 20 .
  • CMP CMP to remove conductive material 28 from the upper surface of interlevel dielectric 20 .
  • a relatively wide interconnect 34 is formed exclusively in wide trench 24 .
  • the wide interconnect 34 may subsequently function as a bond pad.
  • a similar process may be used to form other wide interconnects, such as buses or other wide conductive lines.
  • the topological surface of the interconnect level is not absent of elevational disparity. That is, the upper surface of interconnect 34 includes a recessed area 36 that extends below a substantially planar upper surface 38 of interlevel dielectric 20 . Recessed area 36 may result from a phenomenon known as the “dishing” effect. Dishing naturally results from the polishing pad flexing or conforming to the surface being polished. If the surface being polished is initially bowed or arcuate (i.e., is not planar), the polishing pad will take on the shape of the non-planar regions causing further dishing of the surface being polished. The CMP slurry initiates the polishing process by chemically reacting with the surface material in both elevated and recessed areas.
  • the reacted surface material in recessed areas may be physically stripped in addition to the reacted surface material in elevated areas.
  • a surface having fluctuations in elevation may continue to have some elevational disparity even after it has been subjected to CMP.
  • the dishing effect is particularly a problem when forming a relatively wide interconnect between regions of a dielectric that is substantially more dense than the metal. While the dielectric is hard enough to support the overlying regions of the CMP pad, the metal is not, and thus allows significant flexing of the pad. Such flexing of the CMP pad may cause the surface of the metal interconnect to become recessed relative to adjacent regions of the dielectric.
  • a substantially planar semiconductor topography is fabricated by forming a plurality of posts in a dielectric layer in a region defined by a relatively wide interconnect.
  • the dielectric layer may include a material having a relatively low dielectric constant such as a glass- or silicate-based dielectric, preferably silicon dioxide.
  • trenches are first etched in the dielectric layer to form a plurality of posts surrounded by the trenches.
  • the widths, lengths, and depths of the trenches and the widths of the posts may vary according to design preferences and criteria.
  • both the trenches and the posts may have widths of about 10 ⁇ m.
  • the trenches may have a width of about 9 ⁇ m and the posts may have a width of about 1 ⁇ m.
  • the overall length (lateral dimension) of the etched area is preferably between about 75 ⁇ m and about 100 ⁇ m.
  • the depth of the trenches is preferably greater than about 0.2 ⁇ m.
  • the conductive line may have a width of at least about 5 ⁇ m and the posts may have a width of at least about 1 ⁇ m.
  • the depth of the trenches is preferably greater than about 0.2 ⁇ m.
  • the trenches are filled with a conductive material, e.g., a metal or an alloy of a metal such as aluminum, copper, tungsten, molybdenum, tantalum, or titanium.
  • the conductive material is preferably deposited to a level spaced above the upper surface of the dielectric layer.
  • the surface of the conductive material is then polished to a level substantially coplanar with the level of the upper surfaces of the dielectric layer and the posts.
  • the polish rate of the conductive material above the trenches and the posts is substantially uniform.
  • the posts preferably serve to improve the planarization of the conductive material surrounding them.
  • the conductive material may be polished using well-known CMP. That is, the front side of the semiconductor topography may be forced against a CMP polishing pad while the polishing pad and the topography are rotated relative to each other.
  • a CMP slurry entrained with abrasive particles e.g., ceria, silica, or alumina, may be dispensed upon the polishing pad surface to aid in the removal of the conductive material.
  • a “fixed-abrasive” technique may be used to polish the conductive material.
  • the fixed-abrasive technique involves placing a liquid that is substantially free of particulate matter between the surface of the conductive material and an abrasive polishing surface of a polishing pad.
  • the fixed abrasive technique avoids liquids that contain chemical constituents that could react with the topography.
  • the abrasive polishing surface is moved relative to the semiconductor topography so as to polish the conductive material.
  • the liquid applied to the polishing surface preferably comprises deionized water, however, other liquids which have a near-neutral pH value may alternatively be directed onto the fixed abrasive polishing surface.
  • the pH that is chosen for the polishing process is one suitable for the conductive material and the polishing pad.
  • the polishing surface may include a polymer-based matrix entrained with particles selected from the group consisting of cerium oxide, cerium dioxide, aluminum oxide, silicon dioxide, titanium oxide, chromium oxide, and zirconium oxide.
  • the abrasive polishing surface preferably belongs to a polishing pad which is substantially resistant to deformation even when placed across an elevationally recessed region of relatively large lateral dimension (e.g., over 200 ⁇ m lateral dimension). Therefore, the pad is preferably relatively non-conformal to the underlying surface and thus does not come in contact with elevationally recessed regions of the conductive material. It is believed that particles dispersed throughout the abrasive polishing surface in combination with the polishing liquid interact chemically and physically with elevated regions of the conductive material to remove those regions. However, the liquid alone may be incapable of removing the conductive material in elevationally recessed regions. As such, elevationally raised regions of the conductive material may be removed at a substantially faster rate than elevationally recessed regions. The polish rate preferably slows down significantly as the topological surface of the interconnect level approaches planarity.
  • the presence of the posts within the conductive material preferably provides for global planarization of the topography employing the posts. It is theorized that the dielectric material of the posts, being denser than the conductive material, causes the polishing pad to remain substantially flat when pressure is applied thereto. That is, the surface area of the dielectric protrusions within the conductive material is not sufficient to withstand the force of the polishing pad, and thus does not cause the pad to flex. Therefore, dishing of the conductive material in the large area metal-filled trenches (e.g., bond pads greater than about 75 ⁇ m per side or interconnects greater than about 5 ⁇ m wide) is less likely to occur as a result of the polishing process.
  • the dielectric material of the posts being denser than the conductive material, causes the polishing pad to remain substantially flat when pressure is applied thereto. That is, the surface area of the dielectric protrusions within the conductive material is not sufficient to withstand the force of the polishing pad, and thus does not cause the pad to flex.
  • the conductive material may continue to be polished more rapidly than the dielectric once the surface of the conductive material has been removed to the same elevational plane as the dielectric.
  • the dielectric protrusions within the conductive material may thus become elevated above the conductive material. Consequently, the entire topological surface of the bond pad may have surface disparities, causing the polish rate of the elevated dielectric protrusions to become greater than that of the recessed conductive material.
  • the dielectric protrusions are again made substantially coplanar with the conductive material. This cycle may be repeated until it is desirable to stop the polishing process.
  • FIG. 1 depicts a side plan view of an apparatus that may be used to chemical-mechanical polish a semiconductor topography
  • FIG. 2 depicts a partial top view of a conventional semiconductor topography including a bond pad
  • FIG. 3 depicts a partial cross-sectional view of the semiconductor topography, wherein a relatively wide trench is formed within an interlevel dielectric
  • FIG. 4 depicts a partial cross-sectional view of the semiconductor topography, wherein a conductive material is deposited into the trench to a level spaced above an upper surface of the interlevel dielectric;
  • FIG. 5 depicts a partial cross-sectional view of the semiconductor topography, wherein the surface of the conductive material is removed from the upper surface of the interlevel dielectric using a conventional CMP technique, thereby forming a topological surface having elevational disparities;
  • FIG. 6 depicts a partial top view of a semiconductor topography according to an embodiment of the present invention, including a bond pad having a plurality of dielectric posts surrounded by a conductive material;
  • FIG. 7 depicts a partial perspective view of the semiconductor topography, wherein a photoresist layer is formed above a dielectric layer;
  • FIG. 8 depicts a partial perspective view of the semiconductor topography, wherein the photoresist is lithographically patterned
  • FIG. 9 depicts a partial perspective view of the semiconductor topography, wherein the dielectric layer is selectively etched to form a plurality of dielectric posts surrounded by trenches;
  • FIG. 10 depicts a partial perspective view of the semiconductor topography, wherein the photoresist is removed from upon the dielectric layer;
  • FIG. 11 depicts a partial perspective view of the semiconductor topography, wherein a conductive material is deposited into the trenches to a level spaced above the upper surfaces of the dielectric layer and the posts;
  • FIG. 12 depicts a partial perspective view of the semiconductor topography, wherein the surface of the conductive material is removed to a level substantially commensurate with that of the upper surfaces of the dielectric layer and the posts using a planarization process according to an embodiment of the present invention, thereby forming a planarized topological surface;
  • FIG. 13 depicts a partial perspective view of the semiconductor topography, wherein a passivation layer is formed according to one embodiment across the upper surfaces of the conductive material, the dielectric layer, and the posts;
  • FIG. 14 depicts a partial perspective view of the semiconductor topography, wherein a contact window is formed by removing a portion of the passivation layer from above the conductive material;
  • FIG. 15 depicts a partial perspective view of the semiconductor topography, wherein a portion of the posts are removed from within the conductive material exposed by the contact window;
  • FIG. 16 depicts a partial top view of a semiconductor topography according to an alternative embodiment of the present invention, including a wide conductive line having a plurality of dielectric posts surrounded by a conductive material;
  • FIG. 17 depicts a partial perspective view of the semiconductor topography, wherein a dielectric layer is selectively etched to form a plurality of dielectric posts surrounded by trenches;
  • FIG. 18 depicts a partial perspective view of the semiconductor topography, wherein a conductive material is deposited into the trenches and wherein the surface of the conductive material is removed to a level substantially commensurate with that of the upper surfaces of the dielectric layer and the posts using a planarization process according to an embodiment of the present invention, thereby forming a planarized topological surface; and
  • FIG. 19 depicts a partial perspective view of the semiconductor topography, wherein an additional dielectric layer is formed upon the semiconductor topography.
  • semiconductor topography 110 includes a wide interconnect structure 120 formed within a dielectric layer 150 .
  • Wide interconnect structure 120 includes bond pad 130 and bus line 140 .
  • Bond pad 130 includes conductive portion 170 surrounding a plurality of dielectric posts 160 .
  • FIG. 7 shows a partial perspective view of the semiconductor topography 110 of FIG. 6 along line B prior to formation of posts 160 .
  • a layer of photoresist 152 may be deposited upon dielectric layer 150 and selectively patterned.
  • Dielectric layer 150 may include a dielectric material having a relatively low dielectric constant.
  • Dielectric layer 150 may include, e.g., a glass- or silicate-based material, such as an oxide that has been deposited by chemical-vapor deposition (“CVD”) from either a tetraethyl orthosilicate (“TEOS”) source or a silane source and doped with an impurity, e.g., boron or phosphorus.
  • CVD chemical-vapor deposition
  • Dielectric layer 150 may serve as a poly-metal interlevel dielectric (“PMD”) between a doped polycrystalline silicon (“polysilicon” or “poly”) gate layer and an ensuing metal interconnect layer. It is to be understood that the gate layer may include other conductive materials besides polysilicon. Alternatively, dielectric 150 may form an inter-metal interlevel dielectric (“IMD”) between an underlying metal interconnect layer and an ensuing overlying metal interconnect layer.
  • PMD poly-metal interlevel dielectric
  • IMD inter-metal interlevel dielectric
  • Photoresist 152 may be lithographically patterned as shown in FIG. 8 to expose select portions 156 of the dielectric 150 .
  • the patterning of the photoresist may leave retained photoresist portions 154 having a width S upon dielectric layer 150 and separated from each other by a distance W.
  • S may be about 10 ⁇ m and W may be about 10 ⁇ m.
  • S may be about 1 ⁇ m and W may be about 9 ⁇ m.
  • Photoresist 152 may be removed from dielectric layer 150 over an area having a total width L (see FIG. 6) in which bond pad 130 is to be formed.
  • L may be between about 75 ⁇ m and about 125 ⁇ m.
  • the select portions 156 of dielectric layer 150 not covered by the patterned photoresist 154 may then be etched using an etch technique such as a CF 4 plasma etch, as shown in FIG. 9, followed by removal of the patterned photoresist, as shown in FIG. 10.
  • the etching preferably forms trenches 158 having a depth D and a width W within dielectric layer 150 .
  • depth D may be between about 0.2 ⁇ m and about 1.0 ⁇ m.
  • Portions of dielectric layer 150 masked by patterned photoresist 154 may be retained and may form posts 160 of width S. Following the etching, photoresist 154 may be removed from upon dielectric layer 150 , as shown in FIG. 10.
  • bond pad 130 and posts 160 are depicted in FIG. 6 as having a substantially square shape, bond pad 130 and posts 160 may have a variety of topological shapes such as, but not limited to, rectangular, circular, or hexagonal. It should be further noted that although widths S and W are depicted as uniform across the semiconductor topography, trenches and posts of varying dimensions may be formed.
  • Conductive material 162 may include a metal such as aluminum, copper, tungsten, molybdenum, tantalum, titanium, or alloys thereof. Such a metal may be sputter deposited from a metal target or MOCVD (i.e., metal organic CVD) deposited from a metal organic source.
  • MOCVD metal organic CVD
  • the as-deposited conductive material 162 may have an elevationally disparate surface, with valley areas 164 directly above trenches 158 and hill areas 166 directly above posts 160 between the trenches.
  • conductive material 162 may be polished to a level substantially coplanar with the uppermost surface of dielectric layer 150 and posts 160 .
  • conductive portion 170 of bond pad 130 is formed in trenches 158 .
  • Placing posts 160 between the series of trenches 158 affords global planarization of the topological surface. That is, the polish rate is substantially uniform across the entire topological surface. Also, the polish rate of elevationally raised regions is greater than that of elevationally recessed regions. Further, a surface having elevational disparity is polished at a faster rate than a substantially flat surface.
  • posts 160 helps prevent the polishing pad from deforming about the length of the pad when the pad is subjected to normal pressure, as may occur when polishing a relatively wide trench. Further, it is postulated that posts 160 ensure that elevational fluctuations are present in different regions of the topological surface at the same time. That is, no particular region of the topological surface becomes substantially planarized before other regions and thereby causes fluctuations in the polish rate across the surface. Thus, the polish rate does not slow down until the entire topological surface is substantially free of elevational disparity.
  • a passivation layer may be formed upon the semiconductor topography, as shown in FIG. 13.
  • Passivation layer 180 may seal out moisture and contaminants and protect the underlying structures from scratches.
  • passivation layer 180 may include a dielectric material.
  • the dielectric material may include, for example, a CVD phosphosilicate glass or a plasma-enhanced silicon nitride.
  • An opening 182 may be formed in passivation layer 180 to expose portions of bond pad 130 , as shown in FIG. 14. Wires may be connected to metal 170 of bond pad 130 and to a chip package (not shown) to establish connections from the chip to the package leads.
  • Opening 182 maybe formed using a photolithography process similar to the process described for forming posts 160 and trenches 158 (FIGS. 8 - 9 ).
  • the etchant used to remove patterned portions of passivation layer 180 may also remove posts 160 from within conductive portion 170 , as shown in FIG. 15. As such, when a wire is connected to bond pad 130 , the connection preferably will be only to conductive portion 170 and not to a dielectric portion such as posts 160 .
  • FIG. 16 depicts a partial top view of an alternative embodiment of a semiconductor topography.
  • semiconductor topography 210 includes a wide conductive line 220 formed within a dielectric layer 250 .
  • Wide conductive line 220 includes conductive portion 230 surrounding a plurality of dielectric posts 240 .
  • FIG. 17 shows a partial perspective view of the semiconductor topography 210 of FIG. 16 along line C.
  • a layer of photoresist may be deposited upon dielectric layer 250 and selectively patterned as described previously with respect to FIG. 8.
  • Dielectric layer 250 may include a dielectric material having a relatively low dielectric constant and serve as a PMD or IMD as previously described.
  • the photoresist may be lithographically patterned and trenches may be formed in dielectric material 250 according to a procedure similar to the method described with respect to FIGS. 8 - 10 .
  • Trenches 258 having a depth D′ and a width W′ are preferably formed within dielectric layer 250 .
  • Portions of dielectric layer 250 not removed during trench formation may form posts 240 of width S′.
  • width L′ of the wide conductive line is at least about 5 ⁇ m
  • widths W′ and S′ may be at least about 1 ⁇ m and depth D′ may be at least about 0.2 ⁇ m. It should be noted that although posts 240 are depicted in FIG.
  • posts 240 may have a variety of shapes such as, but not limited to, rectangular, circular, or hexagonal. It should be further noted that although widths S′ and W′ are depicted as uniform across the semiconductor topography, trenches and posts of varying dimensions may be formed.
  • a layer of conductive material may be deposited across the semiconductor topography, preferably to a level spaced above the uppermost horizontal surface of dielectric layer 250 and posts 240 .
  • the conductive material may be polished to a level substantially coplanar with the uppermost surface of dielectric layer 250 and posts 240 , as shown in FIG. 18, to form conductive portion 230 of wide conductive line 220 in trenches 258 . Placing posts 240 between the series of trenches 258 affords global planarization of the topological surface as previously described.
  • an additional interlevel dielectric 260 may be formed upon the semiconductor topography, as shown in FIG. 19.
  • Additional interlevel dielectric 260 may include, e.g., a glass- or silicate-based material, such as an oxide that has been deposited by chemical-vapor deposition (“CVD”) from either a tetraethyl orthosilicate (“TEOS”) source or a silane source and doped with an impurity, e.g., boron or phosphorus.
  • Dielectric 250 may form an interlevel dielectric between wide conductive line 220 and an ensuing overlying interconnect layer.
  • this invention is believed to provide a method for forming a substantially planar semiconductor topography by placing a plurality of dielectric posts within a relatively wide interconnect such as a bond pad or wide conductive line. Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. For example, electrically conductive features isolated from each other by a dielectric may subsequently be formed upon the planarized semiconductor topography. It is intended that the following claims be interpreted to embrace all such modifications and changes and, accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Abstract

The present invention advantageously provides a substantially planarized semiconductor topography and method for making the same by selectively etching a dielectric layer to form a plurality of posts surrounded by trenches. The trenches are filled with a conductive material, such as a metal, deposited to a level spaced above the upper surfaces of the dielectric layer and the posts. The surface of the conductive material is then polished to a level substantially coplanar with the upper surfaces of the dielectric layer and the posts. Advantageously, the polish rate of the conductive material above the trenches is substantially uniform. In this manner, the topological surface of the resulting interconnect level is substantially void of surface disparity.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates to integrated circuit manufacturing and, more particularly, to a substantially planarized interconnect topography and method for making substantially planarized electrically conductive features such as wide interconnect structures by fabricating the electrically conductive features around a plurality of posts. [0002]
  • 2. Description of the Related Art [0003]
  • Fabrication of an integrated circuit involves numerous processing steps. After implant regions (e.g., source/drain regions) have been placed within a semiconductor substrate and gate areas defined upon the substrate, an interlevel dielectric is formed across the topography to isolate the gate areas and the implant regions from overlying conducting regions. Interconnect routing is then patterned across the interlevel dielectric and connected to the implant regions and/or the gate areas by ohmic contacts formed through the interlevel dielectric. Alternating levels of interlevel dielectric and interconnect may be placed across the semiconductor topography to form a multi-level integrated circuit. [0004]
  • As successive layers are deposited across previously patterned layers of an integrated circuit, elevational disparities develop across the surface of each layer. If left unattended, the elevational disparities in each level of an integrated circuit can lead to various problems. For example, when a dielectric, conductive, or semiconductive material is deposited over a topological surface having elevationally raised and recessed regions, step coverage problems may arise. Step coverage is defined as a measure of how well a film conforms over an underlying step and is expressed by the ratio of the minimum thickness of a film as it crosses a step to the nominal thickness of the film over horizontal regions. Also, stringers may arise from incomplete etching over severe steps. Furthermore, correctly patterning layers upon a topological surface containing fluctuations in elevation may be difficult using optical lithography. The depth-of-focus of the lithography alignment system may vary depending upon whether the resist resides in an elevational “hill” or “valley” area. The presence of such elevational disparities therefore makes it difficult to print high-resolution features. [0005]
  • Techniques involving chemical and mechanical abrasion (e.g., chemical-mechanical polishing) to planarize or remove the surface irregularities have grown in popularity. As shown in FIG. 1, a typical chemical-mechanical polishing (“CMP”) process involves placing a semiconductor wafer [0006] 12 face-down on a polishing pad 14 which lies on or is attached to a rotatable table or platen 16. A popular polishing pad medium includes polyurethane or polyurethane-impregnated polyester felts. During the CMP process, polishing pad 14 and semiconductor wafer 12 may be rotated while a carrier 10 holding wafer 12 applies a downward force F upon polishing pad 14. An abrasive, fluid-based chemical suspension, often referred to as a “slurry”, may be deposited from a conduit 18 positioned above pad 14 onto the surface of polishing pad 14. The slurry may fill the space between pad 14 and the surface of wafer 12. The polishing process may involve a chemical in the slurry reacting with the surface material being polished. The rotational movement of polishing pad 14 relative to wafer 12 preferably causes abrasive particles entrained within the slurry to physically strip the reacted surface material from wafer 12. The pad 14 itself may also physically remove some material from the surface of the wafer 12. The abrasive slurry particles are typically composed of silica, alumina, or ceria.
  • CMP is commonly used to form a planarized level of an integrated circuit containing interconnect laterally spaced from each other in what is generally referred to as the “damascene” process. Laterally spaced trenches are first etched in an interlevel dielectric configured upon a semiconductor topography comprising electrically conductive features. A conductive material is then deposited into the trenches and on the interlevel dielectric between trenches to a level spaced above the upper surface of the interlevel dielectric. CMP is applied to the surface of the conductive material to remove that surface to a level substantially commensurate with that of the upper surface of the interlevel dielectric. In this manner, interconnect that are isolated from each other by the interlevel dielectric are formed exclusively in the trenches. CMP can planarize only localized regions of the interconnect surface such that all interconnect traces have a coplanar upper surface, provided certain conditions are met. The localized area must contain trenches that are consistently and closely spaced from each other. Moreover, the trenches must be relatively narrow in lateral dimension. If those rather restrictive requirements are not met, then thicknesses of a given interconnect layer can vary to such a degree that local regions of interconnect may suffer severe current-carrying limitations. [0007]
  • In particular, planarization may become quite difficult in a region where there is a relatively large distance between a series of relatively narrow interconnect, or if there is a relatively wide interconnect such as that found in, for example, a bond pad or a wide conductive line, such as a bus. FIGS. [0008] 2-5 illustrate a typical damascene process and the localized thinning or “dishing” problem experienced by conventional metal CMP processes when a relatively wide interconnect is planarized.
  • FIG. 2 depicts a partial top view of a [0009] bond pad 22, possibly up to or exceeding 100 μm per side, formed in an interlevel dielectric 20 according to a conventional process. FIG. 3 shows a partial cross-sectional view of the semiconductor topography including the bond pad along line A. A relatively wide trench 24 is formed in interlevel dielectric 20 using well-known lithography and etch techniques. FIG. 4 illustrates a conductive material 28, e.g., a metal, such as aluminum, tungsten, tantalum, or titanium, deposited across the topography to a level spaced above upper surface 26. Due to the conformal nature of the sputter or CVD process used to apply the conductive material, the conductive material takes on an upper surface topography including a region 30 having a single wide valley area spaced above the wide trench 24 and a substantially flat region 32 spaced above smooth upper surface 26. Conductive material 28 is then polished, as shown in FIG. 5, using CMP to remove conductive material 28 from the upper surface of interlevel dielectric 20. As a result of CMP, a relatively wide interconnect 34 is formed exclusively in wide trench 24. As shown in FIG. 5, the wide interconnect 34 may subsequently function as a bond pad. A similar process may be used to form other wide interconnects, such as buses or other wide conductive lines.
  • Unfortunately, the topological surface of the interconnect level is not absent of elevational disparity. That is, the upper surface of [0010] interconnect 34 includes a recessed area 36 that extends below a substantially planar upper surface 38 of interlevel dielectric 20. Recessed area 36 may result from a phenomenon known as the “dishing” effect. Dishing naturally results from the polishing pad flexing or conforming to the surface being polished. If the surface being polished is initially bowed or arcuate (i.e., is not planar), the polishing pad will take on the shape of the non-planar regions causing further dishing of the surface being polished. The CMP slurry initiates the polishing process by chemically reacting with the surface material in both elevated and recessed areas. Because of the deformation of the CMP pad, the reacted surface material in recessed areas may be physically stripped in addition to the reacted surface material in elevated areas. As such, a surface having fluctuations in elevation may continue to have some elevational disparity even after it has been subjected to CMP. The dishing effect is particularly a problem when forming a relatively wide interconnect between regions of a dielectric that is substantially more dense than the metal. While the dielectric is hard enough to support the overlying regions of the CMP pad, the metal is not, and thus allows significant flexing of the pad. Such flexing of the CMP pad may cause the surface of the metal interconnect to become recessed relative to adjacent regions of the dielectric.
  • It would therefore be desirable to develop a polishing process which can achieve global planarization across the entire topological surface of an interconnect level. Global planarization requires that the polish rate be uniform in all elevated areas of the topography. Such uniformity of the polish rate is particularly needed when polishing a topography having a relatively wide interconnect, a wide interconnect interspersed with other wide interconnects, or a wide interconnect interspersed with densely spaced or sparsely spaced narrow (or “small”) interconnects. The desired polishing process must avoid problems typically arising during CMP of varying metal substrate area, such as metal dishing. [0011]
  • SUMMARY OF THE INVENTION
  • The problems outlined above are in large part solved by an embodiment of the present invention in which a substantially planar semiconductor topography is fabricated by forming a plurality of posts in a dielectric layer in a region defined by a relatively wide interconnect. The dielectric layer may include a material having a relatively low dielectric constant such as a glass- or silicate-based dielectric, preferably silicon dioxide. [0012]
  • According to an embodiment, trenches are first etched in the dielectric layer to form a plurality of posts surrounded by the trenches. The widths, lengths, and depths of the trenches and the widths of the posts may vary according to design preferences and criteria. In an embodiment in which a bond pad is to be formed, both the trenches and the posts may have widths of about 10 μm. In an alternative embodiment, the trenches may have a width of about 9 μm and the posts may have a width of about 1 μm. The overall length (lateral dimension) of the etched area is preferably between about 75 μm and about 100 μm. The depth of the trenches is preferably greater than about 0.2 μm. According to an embodiment in which a wide conductive line such as, e.g., a power or ground conductor within a bus is to be formed, the conductive line may have a width of at least about 5 μm and the posts may have a width of at least about 1 μm. The depth of the trenches is preferably greater than about 0.2 μm. [0013]
  • The trenches are filled with a conductive material, e.g., a metal or an alloy of a metal such as aluminum, copper, tungsten, molybdenum, tantalum, or titanium. The conductive material is preferably deposited to a level spaced above the upper surface of the dielectric layer. The surface of the conductive material is then polished to a level substantially coplanar with the level of the upper surfaces of the dielectric layer and the posts. Advantageously, the polish rate of the conductive material above the trenches and the posts is substantially uniform. The posts preferably serve to improve the planarization of the conductive material surrounding them. [0014]
  • In one embodiment, the conductive material may be polished using well-known CMP. That is, the front side of the semiconductor topography may be forced against a CMP polishing pad while the polishing pad and the topography are rotated relative to each other. A CMP slurry entrained with abrasive particles, e.g., ceria, silica, or alumina, may be dispensed upon the polishing pad surface to aid in the removal of the conductive material. In an alternate embodiment, a “fixed-abrasive” technique may be used to polish the conductive material. The fixed-abrasive technique involves placing a liquid that is substantially free of particulate matter between the surface of the conductive material and an abrasive polishing surface of a polishing pad. The fixed abrasive technique avoids liquids that contain chemical constituents that could react with the topography. The abrasive polishing surface is moved relative to the semiconductor topography so as to polish the conductive material. The liquid applied to the polishing surface preferably comprises deionized water, however, other liquids which have a near-neutral pH value may alternatively be directed onto the fixed abrasive polishing surface. The pH that is chosen for the polishing process is one suitable for the conductive material and the polishing pad. The polishing surface may include a polymer-based matrix entrained with particles selected from the group consisting of cerium oxide, cerium dioxide, aluminum oxide, silicon dioxide, titanium oxide, chromium oxide, and zirconium oxide. [0015]
  • The abrasive polishing surface preferably belongs to a polishing pad which is substantially resistant to deformation even when placed across an elevationally recessed region of relatively large lateral dimension (e.g., over 200 μm lateral dimension). Therefore, the pad is preferably relatively non-conformal to the underlying surface and thus does not come in contact with elevationally recessed regions of the conductive material. It is believed that particles dispersed throughout the abrasive polishing surface in combination with the polishing liquid interact chemically and physically with elevated regions of the conductive material to remove those regions. However, the liquid alone may be incapable of removing the conductive material in elevationally recessed regions. As such, elevationally raised regions of the conductive material may be removed at a substantially faster rate than elevationally recessed regions. The polish rate preferably slows down significantly as the topological surface of the interconnect level approaches planarity. [0016]
  • Whatever polishing technique is applied to the conductive material, the presence of the posts within the conductive material preferably provides for global planarization of the topography employing the posts. It is theorized that the dielectric material of the posts, being denser than the conductive material, causes the polishing pad to remain substantially flat when pressure is applied thereto. That is, the surface area of the dielectric protrusions within the conductive material is not sufficient to withstand the force of the polishing pad, and thus does not cause the pad to flex. Therefore, dishing of the conductive material in the large area metal-filled trenches (e.g., bond pads greater than about 75 μm per side or interconnects greater than about 5 μm wide) is less likely to occur as a result of the polishing process. [0017]
  • The conductive material may continue to be polished more rapidly than the dielectric once the surface of the conductive material has been removed to the same elevational plane as the dielectric. The dielectric protrusions within the conductive material may thus become elevated above the conductive material. Consequently, the entire topological surface of the bond pad may have surface disparities, causing the polish rate of the elevated dielectric protrusions to become greater than that of the recessed conductive material. As the polishing process continues, the dielectric protrusions are again made substantially coplanar with the conductive material. This cycle may be repeated until it is desirable to stop the polishing process. [0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which: [0019]
  • FIG. 1 depicts a side plan view of an apparatus that may be used to chemical-mechanical polish a semiconductor topography; [0020]
  • FIG. 2 depicts a partial top view of a conventional semiconductor topography including a bond pad; [0021]
  • FIG. 3 depicts a partial cross-sectional view of the semiconductor topography, wherein a relatively wide trench is formed within an interlevel dielectric; [0022]
  • FIG. 4 depicts a partial cross-sectional view of the semiconductor topography, wherein a conductive material is deposited into the trench to a level spaced above an upper surface of the interlevel dielectric; [0023]
  • FIG. 5 depicts a partial cross-sectional view of the semiconductor topography, wherein the surface of the conductive material is removed from the upper surface of the interlevel dielectric using a conventional CMP technique, thereby forming a topological surface having elevational disparities; [0024]
  • FIG. 6 depicts a partial top view of a semiconductor topography according to an embodiment of the present invention, including a bond pad having a plurality of dielectric posts surrounded by a conductive material; [0025]
  • FIG. 7 depicts a partial perspective view of the semiconductor topography, wherein a photoresist layer is formed above a dielectric layer; [0026]
  • FIG. 8 depicts a partial perspective view of the semiconductor topography, wherein the photoresist is lithographically patterned; [0027]
  • FIG. 9 depicts a partial perspective view of the semiconductor topography, wherein the dielectric layer is selectively etched to form a plurality of dielectric posts surrounded by trenches; [0028]
  • FIG. 10 depicts a partial perspective view of the semiconductor topography, wherein the photoresist is removed from upon the dielectric layer; [0029]
  • FIG. 11 depicts a partial perspective view of the semiconductor topography, wherein a conductive material is deposited into the trenches to a level spaced above the upper surfaces of the dielectric layer and the posts; [0030]
  • FIG. 12 depicts a partial perspective view of the semiconductor topography, wherein the surface of the conductive material is removed to a level substantially commensurate with that of the upper surfaces of the dielectric layer and the posts using a planarization process according to an embodiment of the present invention, thereby forming a planarized topological surface; [0031]
  • FIG. 13 depicts a partial perspective view of the semiconductor topography, wherein a passivation layer is formed according to one embodiment across the upper surfaces of the conductive material, the dielectric layer, and the posts; [0032]
  • FIG. 14 depicts a partial perspective view of the semiconductor topography, wherein a contact window is formed by removing a portion of the passivation layer from above the conductive material; [0033]
  • FIG. 15 depicts a partial perspective view of the semiconductor topography, wherein a portion of the posts are removed from within the conductive material exposed by the contact window; [0034]
  • FIG. 16 depicts a partial top view of a semiconductor topography according to an alternative embodiment of the present invention, including a wide conductive line having a plurality of dielectric posts surrounded by a conductive material; [0035]
  • FIG. 17 depicts a partial perspective view of the semiconductor topography, wherein a dielectric layer is selectively etched to form a plurality of dielectric posts surrounded by trenches; [0036]
  • FIG. 18 depicts a partial perspective view of the semiconductor topography, wherein a conductive material is deposited into the trenches and wherein the surface of the conductive material is removed to a level substantially commensurate with that of the upper surfaces of the dielectric layer and the posts using a planarization process according to an embodiment of the present invention, thereby forming a planarized topological surface; and [0037]
  • FIG. 19 depicts a partial perspective view of the semiconductor topography, wherein an additional dielectric layer is formed upon the semiconductor topography.[0038]
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. [0039]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Turning to FIG. 6, a partial top view of an embodiment of semiconductor topography is presented. Electrically conductive features may be embodied within the topography. As depicted in FIG. 6, [0040] semiconductor topography 110 includes a wide interconnect structure 120 formed within a dielectric layer 150. Wide interconnect structure 120 includes bond pad 130 and bus line 140. Bond pad 130 includes conductive portion 170 surrounding a plurality of dielectric posts 160.
  • FIG. 7 shows a partial perspective view of the [0041] semiconductor topography 110 of FIG. 6 along line B prior to formation of posts 160. According to an embodiment of a method for forming wide interconnect structure 120, a layer of photoresist 152 may be deposited upon dielectric layer 150 and selectively patterned. Dielectric layer 150 may include a dielectric material having a relatively low dielectric constant. Dielectric layer 150 may include, e.g., a glass- or silicate-based material, such as an oxide that has been deposited by chemical-vapor deposition (“CVD”) from either a tetraethyl orthosilicate (“TEOS”) source or a silane source and doped with an impurity, e.g., boron or phosphorus. Dielectric layer 150 may serve as a poly-metal interlevel dielectric (“PMD”) between a doped polycrystalline silicon (“polysilicon” or “poly”) gate layer and an ensuing metal interconnect layer. It is to be understood that the gate layer may include other conductive materials besides polysilicon. Alternatively, dielectric 150 may form an inter-metal interlevel dielectric (“IMD”) between an underlying metal interconnect layer and an ensuing overlying metal interconnect layer.
  • [0042] Photoresist 152 may be lithographically patterned as shown in FIG. 8 to expose select portions 156 of the dielectric 150. The patterning of the photoresist may leave retained photoresist portions 154 having a width S upon dielectric layer 150 and separated from each other by a distance W. For example, in an embodiment, S may be about 10 μm and W may be about 10 μm. According to an alternative embodiment, S may be about 1 μm and W may be about 9 μm. Photoresist 152 may be removed from dielectric layer 150 over an area having a total width L (see FIG. 6) in which bond pad 130 is to be formed. In an embodiment, L may be between about 75 μm and about 125 μm.
  • The [0043] select portions 156 of dielectric layer 150 not covered by the patterned photoresist 154 may then be etched using an etch technique such as a CF4 plasma etch, as shown in FIG. 9, followed by removal of the patterned photoresist, as shown in FIG. 10. The etching preferably forms trenches 158 having a depth D and a width W within dielectric layer 150. According to an exemplary embodiment, depth D may be between about 0.2 μm and about 1.0 μm. Portions of dielectric layer 150 masked by patterned photoresist 154 may be retained and may form posts 160 of width S. Following the etching, photoresist 154 may be removed from upon dielectric layer 150, as shown in FIG. 10. It should be noted that although bond pad 130 and posts 160 are depicted in FIG. 6 as having a substantially square shape, bond pad 130 and posts 160 may have a variety of topological shapes such as, but not limited to, rectangular, circular, or hexagonal. It should be further noted that although widths S and W are depicted as uniform across the semiconductor topography, trenches and posts of varying dimensions may be formed.
  • Turning now to FIG. 11, a layer of conductive material may be deposited across the semiconductor topography, preferably to a level spaced above the uppermost horizontal surface of [0044] dielectric layer 150 and posts 160. Conductive material 162 may include a metal such as aluminum, copper, tungsten, molybdenum, tantalum, titanium, or alloys thereof. Such a metal may be sputter deposited from a metal target or MOCVD (i.e., metal organic CVD) deposited from a metal organic source. The as-deposited conductive material 162 may have an elevationally disparate surface, with valley areas 164 directly above trenches 158 and hill areas 166 directly above posts 160 between the trenches.
  • Turning now to FIG. 12, [0045] conductive material 162 may be polished to a level substantially coplanar with the uppermost surface of dielectric layer 150 and posts 160. As a result of polishing conductive material 162, conductive portion 170 of bond pad 130 is formed in trenches 158. Placing posts 160 between the series of trenches 158 affords global planarization of the topological surface. That is, the polish rate is substantially uniform across the entire topological surface. Also, the polish rate of elevationally raised regions is greater than that of elevationally recessed regions. Further, a surface having elevational disparity is polished at a faster rate than a substantially flat surface.
  • It is believed that the presence of [0046] posts 160 helps prevent the polishing pad from deforming about the length of the pad when the pad is subjected to normal pressure, as may occur when polishing a relatively wide trench. Further, it is postulated that posts 160 ensure that elevational fluctuations are present in different regions of the topological surface at the same time. That is, no particular region of the topological surface becomes substantially planarized before other regions and thereby causes fluctuations in the polish rate across the surface. Thus, the polish rate does not slow down until the entire topological surface is substantially free of elevational disparity.
  • Following the formation of [0047] bond pad 130, a passivation layer may be formed upon the semiconductor topography, as shown in FIG. 13. Passivation layer 180 may seal out moisture and contaminants and protect the underlying structures from scratches. In an embodiment, passivation layer 180 may include a dielectric material. The dielectric material may include, for example, a CVD phosphosilicate glass or a plasma-enhanced silicon nitride. An opening 182 may be formed in passivation layer 180 to expose portions of bond pad 130, as shown in FIG. 14. Wires may be connected to metal 170 of bond pad 130 and to a chip package (not shown) to establish connections from the chip to the package leads.
  • [0048] Opening 182 maybe formed using a photolithography process similar to the process described for forming posts 160 and trenches 158 (FIGS. 8-9). In an embodiment, the etchant used to remove patterned portions of passivation layer 180 may also remove posts 160 from within conductive portion 170, as shown in FIG. 15. As such, when a wire is connected to bond pad 130, the connection preferably will be only to conductive portion 170 and not to a dielectric portion such as posts 160.
  • FIG. 16 depicts a partial top view of an alternative embodiment of a semiconductor topography. As depicted in FIG. 16, [0049] semiconductor topography 210 includes a wide conductive line 220 formed within a dielectric layer 250. Wide conductive line 220 includes conductive portion 230 surrounding a plurality of dielectric posts 240. FIG. 17 shows a partial perspective view of the semiconductor topography 210 of FIG. 16 along line C. According to an embodiment of a method for forming wide conductive line 220, a layer of photoresist may be deposited upon dielectric layer 250 and selectively patterned as described previously with respect to FIG. 8. Dielectric layer 250 may include a dielectric material having a relatively low dielectric constant and serve as a PMD or IMD as previously described.
  • The photoresist may be lithographically patterned and trenches may be formed in [0050] dielectric material 250 according to a procedure similar to the method described with respect to FIGS. 8-10. Trenches 258 having a depth D′ and a width W′ are preferably formed within dielectric layer 250. Portions of dielectric layer 250 not removed during trench formation may form posts 240 of width S′. According to an exemplary embodiment, in which the width L′ of the wide conductive line is at least about 5 μm, widths W′ and S′ may be at least about 1 μm and depth D′ may be at least about 0.2 μm. It should be noted that although posts 240 are depicted in FIG. 17 as having a substantially square shape, posts 240 may have a variety of shapes such as, but not limited to, rectangular, circular, or hexagonal. It should be further noted that although widths S′ and W′ are depicted as uniform across the semiconductor topography, trenches and posts of varying dimensions may be formed.
  • A layer of conductive material (similar to [0051] layer 162 shown in FIG. 11) may be deposited across the semiconductor topography, preferably to a level spaced above the uppermost horizontal surface of dielectric layer 250 and posts 240. The conductive material may be polished to a level substantially coplanar with the uppermost surface of dielectric layer 250 and posts 240, as shown in FIG. 18, to form conductive portion 230 of wide conductive line 220 in trenches 258. Placing posts 240 between the series of trenches 258 affords global planarization of the topological surface as previously described.
  • Following the formation of wide [0052] conductive line 220, an additional interlevel dielectric 260 may be formed upon the semiconductor topography, as shown in FIG. 19. Additional interlevel dielectric 260 may include, e.g., a glass- or silicate-based material, such as an oxide that has been deposited by chemical-vapor deposition (“CVD”) from either a tetraethyl orthosilicate (“TEOS”) source or a silane source and doped with an impurity, e.g., boron or phosphorus. Dielectric 250 may form an interlevel dielectric between wide conductive line 220 and an ensuing overlying interconnect layer.
  • It will be appreciated to those skilled in the art having the benefit of this disclosure that this invention is believed to provide a method for forming a substantially planar semiconductor topography by placing a plurality of dielectric posts within a relatively wide interconnect such as a bond pad or wide conductive line. Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. For example, electrically conductive features isolated from each other by a dielectric may subsequently be formed upon the planarized semiconductor topography. It is intended that the following claims be interpreted to embrace all such modifications and changes and, accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense. [0053]

Claims (21)

What is claimed is:
1. A method for forming a semiconductor topography having a substantially planar electrically conductive feature, comprising:
forming a plurality of trenches within a region of a dielectric layer to form from said dielectric layer a plurality of posts surrounded by said trenches;
filling said trenches with a conductive material; and
planarizing upper surfaces of said conductive material to an elevational level commensurate with upper surfaces of said dielectric layer.
2. The method as recited in claim 1 wherein forming said plurality of trenches comprises:
depositing a layer of photoresist upon said dielectric layer;
patterning said photoresist;
removing said photoresist from first regions of said dielectric layer where said trenches are to be formed while retaining said photoresist upon second regions of said dielectric layer from which said posts are to be formed; and
removing a portion of said dielectric layer from said first regions to form said trenches while retaining said dielectric layer in said second regions.
3. The method as recited in claim 1 wherein filling said trenches with a conductive material comprises depositing a metal.
4. The method as recited in claim 3 wherein said metal is selected from the group consisting of aluminum, copper, tungsten, molybdenum, tantalum, titanium, and alloys thereof.
5. The method as recited in claim 1 wherein said planarizing comprises chemical-mechanical polishing.
6. The method as recited in claim 1, further comprising forming a passivation layer upon said conductive material and said dielectric layer.
7. The method as recited in claim 6, further comprising removing portions of said passivation layer to form an opening above a portion of said conductive material.
8. The method as recited in claim 7, further comprising removing said posts subsequent to said forming said passivation layer.
9. The method as recited in claim 1, further comprising removing said posts subsequent to said filling.
10. A substantially planar semiconductor topography comprising a conductive interconnect structure formed entirely within a trench and having at least one opening extending entirely through the conductive interconnect structure.
11. The semiconductor topography as recited in claim 10, further comprising a dielectric material within said at least one opening.
12. The semiconductor topography as recited in claim 10, further comprising a passivation layer upon said dielectric material.
13. The semiconductor topography as recited in claim 12 wherein said conductive interconnect structure comprises a bond pad and wherein said passivation layer has an opening formed therein above said conductive material.
14. The semiconductor topography as recited in claim 10 wherein said conductive interconnect structure comprises a bond pad.
15. The semiconductor topography as recited in claim 14 wherein said trench comprises a width of about 10 μm, said conductive material comprises a depth of at least about 0.2 μm, said opening comprises a width of about 10 μm, and said bond pad comprises a lateral dimension of between about 75 μm and about 100 μm.
16. The semiconductor topography as recited in claim 14 wherein said trench comprises a width of about 9 μm, said conductive material comprises a depth of at least about 0.2 μm, said opening comprises a width of about 1 μm, and said bond pad comprises a lateral dimension of between about 75 μm and about 100 μm.
17. The semiconductor topography as recited in claim 10 wherein said conductive interconnect structure comprises a conductive line.
18. The semiconductor topography as recited in claim 17 wherein said conductive line comprises a width of at least about 5 μm, said conductive material comprises a depth of at least about 0.2 μm, and said opening comprises a width of about 1 μm.
19. The semiconductor topography as recited in claim 10 wherein said conductive material comprises a metal.
20. The semiconductor topography as recited in claim 19 wherein said metal comprises a material selected form the group consisting of aluminum, copper, tungsten, molybdenum, tantalum, titanium, and alloys thereof.
21. A substantially planar semiconductor topography comprising a conductive interconnect structure formed entirely within a trench and extending laterally between posts rising upward from a base of the trench.
US10/411,892 1998-11-09 2003-04-11 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures Abandoned US20030219975A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/411,892 US20030219975A1 (en) 1998-11-09 2003-04-11 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/189,411 US6566249B1 (en) 1998-11-09 1998-11-09 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US10/411,892 US20030219975A1 (en) 1998-11-09 2003-04-11 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/189,411 Division US6566249B1 (en) 1998-11-09 1998-11-09 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures

Publications (1)

Publication Number Publication Date
US20030219975A1 true US20030219975A1 (en) 2003-11-27

Family

ID=22697217

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/189,411 Expired - Lifetime US6566249B1 (en) 1998-11-09 1998-11-09 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US10/411,892 Abandoned US20030219975A1 (en) 1998-11-09 2003-04-11 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/189,411 Expired - Lifetime US6566249B1 (en) 1998-11-09 1998-11-09 Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures

Country Status (2)

Country Link
US (2) US6566249B1 (en)
TW (1) TWI232510B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080185715A1 (en) * 2007-02-07 2008-08-07 Infineon Technologies Ag Semiconductor device and method
US20110189852A1 (en) * 2007-12-04 2011-08-04 Advanced Semiconductor Engineering, Inc. Method for Forming a Via in a Substrate and Substrate with a Via
CN105448808A (en) * 2014-06-05 2016-03-30 北大方正集团有限公司 Integrated circuit chip and filling method for contact hole thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9646934B2 (en) * 2015-05-26 2017-05-09 Globalfoundries Singapore Pte. Ltd. Integrated circuits with overlay marks and methods of manufacturing the same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246879A (en) * 1990-09-28 1993-09-21 The United States Of America As Represented By The Secretary Of The Navy Method of forming nanometer-scale trenches and holes
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
US5686762A (en) * 1995-12-21 1997-11-11 Micron Technology, Inc. Semiconductor device with improved bond pads
US5883435A (en) * 1996-07-25 1999-03-16 International Business Machines Corporation Personalization structure for semiconductor devices
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6042996A (en) * 1998-02-13 2000-03-28 United Microelectronics Corp. Method of fabricating a dual damascene structure
US6362528B2 (en) * 1996-08-21 2002-03-26 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6803302B2 (en) * 1999-11-22 2004-10-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a mechanically robust pad interface

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3629023A (en) 1968-07-17 1971-12-21 Minnesota Mining & Mfg METHOD OF CHEMICALLY POLISHING CRYSTALS OF II(b){14 VI(a) SYSTEM
US4010583A (en) 1974-05-28 1977-03-08 Engelhard Minerals & Chemicals Corporation Fixed-super-abrasive tool and method of manufacture thereof
US3979239A (en) 1974-12-30 1976-09-07 Monsanto Company Process for chemical-mechanical polishing of III-V semiconductor materials
US4193226A (en) 1977-09-21 1980-03-18 Kayex Corporation Polishing apparatus
US4261791A (en) 1979-09-25 1981-04-14 Rca Corporation Two step method of cleaning silicon wafers
US4256535A (en) 1979-12-05 1981-03-17 Western Electric Company, Inc. Method of polishing a semiconductor wafer
US4393628A (en) 1981-05-04 1983-07-19 International Business Machines Corporation Fixed abrasive polishing method and apparatus
US4373991A (en) 1982-01-28 1983-02-15 Western Electric Company, Inc. Methods and apparatus for polishing a semiconductor wafer
US4505720A (en) 1983-06-29 1985-03-19 Minnesota Mining And Manufacturing Company Granular silicon carbide abrasive grain coated with refractory material, method of making the same and articles made therewith
US4778532A (en) 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4600469A (en) 1984-12-21 1986-07-15 Honeywell Inc. Method for polishing detector material
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4677043A (en) 1985-11-01 1987-06-30 Macdermid, Incorporated Stepper process for VLSI circuit manufacture utilizing radiation absorbing dyestuff for registration of alignment markers and reticle
US4768883A (en) 1986-11-07 1988-09-06 Motorola Inc. Alignment reticle for a semiconductor wafer stepper system and method of use
US4811522A (en) 1987-03-23 1989-03-14 Gill Jr Gerald L Counterbalanced polishing apparatus
US4956313A (en) 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
DE3735158A1 (en) 1987-10-16 1989-05-03 Wacker Chemitronic METHOD FOR VIAL-FREE POLISHING OF SEMICONDUCTOR DISC
JPH01187930A (en) 1988-01-22 1989-07-27 Nippon Telegr & Teleph Corp <Ntt> Abrasive powder and abrasive method
JP2622573B2 (en) 1988-01-27 1997-06-18 キヤノン株式会社 Mark detection apparatus and method
JP2657505B2 (en) 1988-01-27 1997-09-24 キヤノン株式会社 Mark position detecting device and mark arrangement method
JPH01193166A (en) 1988-01-28 1989-08-03 Showa Denko Kk Pad for specularly grinding semiconductor wafer
JP2517637B2 (en) 1988-02-15 1996-07-24 キヤノン株式会社 Mark position detecting method and apparatus to which the same is applied
JP2643262B2 (en) 1988-03-23 1997-08-20 日本電気株式会社 Method for manufacturing semiconductor device
US4986878A (en) 1988-07-19 1991-01-22 Cypress Semiconductor Corp. Process for improved planarization of the passivation layers for semiconductor devices
US4879258A (en) 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5057462A (en) 1989-09-27 1991-10-15 At&T Bell Laboratories Compensation of lithographic and etch proximity effects
US5234867A (en) 1992-05-27 1993-08-10 Micron Technology, Inc. Method for planarizing semiconductor wafers with a non-circular polishing pad
US5064683A (en) 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
US5290396A (en) 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5273558A (en) 1991-08-30 1993-12-28 Minnesota Mining And Manufacturing Company Abrasive composition and articles incorporating same
US5320706A (en) 1991-10-15 1994-06-14 Texas Instruments Incorporated Removing slurry residue from semiconductor wafer planarization
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP3057882B2 (en) 1992-03-09 2000-07-04 日本電気株式会社 Method for manufacturing semiconductor device
KR960004073B1 (en) 1992-05-20 1996-03-26 현대전자산업주식회사 Photomask
US5209816A (en) 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5292689A (en) 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5312777A (en) 1992-09-25 1994-05-17 International Business Machines Corporation Fabrication methods for bidirectional field emission devices and storage structures
US5363550A (en) 1992-12-23 1994-11-15 International Business Machines Corporation Method of Fabricating a micro-coaxial wiring structure
US5389194A (en) 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
KR0121992B1 (en) 1993-03-03 1997-11-12 모리시다 요이치 Semiconductor device and method of manufacturing the same
US5397741A (en) 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
US5389579A (en) 1993-04-05 1995-02-14 Motorola, Inc. Method for single sided polishing of a semiconductor wafer
US5435772A (en) 1993-04-30 1995-07-25 Motorola, Inc. Method of polishing a semiconductor substrate
US5362669A (en) 1993-06-24 1994-11-08 Northern Telecom Limited Method of making integrated circuits
DE59402986D1 (en) 1993-07-27 1997-07-10 Siemens Ag Method for producing a semiconductor layer structure with a planarized surface and its use in the production of a bipolar transistor and a DRAM
US5494857A (en) 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5346584A (en) 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5320978A (en) 1993-07-30 1994-06-14 The United States Of America As Represented By The Secretary Of The Navy Selective area platinum film deposition
US5395801A (en) 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
US5531861A (en) 1993-09-29 1996-07-02 Motorola, Inc. Chemical-mechanical-polishing pad cleaning process for use during the fabrication of semiconductor devices
US5387540A (en) 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP2595885B2 (en) 1993-11-18 1997-04-02 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5541427A (en) 1993-12-03 1996-07-30 International Business Machines Corporation SRAM cell with capacitor
US5863348A (en) 1993-12-22 1999-01-26 International Business Machines Corporation Programmable method for cleaning semiconductor elements
JPH07245306A (en) 1994-01-17 1995-09-19 Sony Corp Method for flattening film of semiconductor device
US5607345A (en) 1994-01-13 1997-03-04 Minnesota Mining And Manufacturing Company Abrading apparatus
US5406111A (en) 1994-03-04 1995-04-11 Motorola Inc. Protection device for an intergrated circuit and method of formation
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5401691A (en) 1994-07-01 1995-03-28 Cypress Semiconductor Corporation Method of fabrication an inverse open frame alignment mark
US5459096A (en) 1994-07-05 1995-10-17 Motorola Inc. Process for fabricating a semiconductor device using dual planarization layers
US5503962A (en) 1994-07-15 1996-04-02 Cypress Semiconductor Corporation Chemical-mechanical alignment mark and method of fabrication
JP2933488B2 (en) 1994-08-10 1999-08-16 日本電気株式会社 Polishing method and polishing apparatus
US5591239A (en) 1994-08-30 1997-01-07 Minnesota Mining And Manufacturing Company Nonwoven abrasive article and method of making same
US5691219A (en) 1994-09-17 1997-11-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor memory device
US5486265A (en) 1995-02-06 1996-01-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of thin materials using a pulse polishing technique
US5551986A (en) 1995-02-15 1996-09-03 Taxas Instruments Incorporated Mechanical scrubbing for particle removal
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5652176A (en) 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
JP2728025B2 (en) 1995-04-13 1998-03-18 日本電気株式会社 Method for manufacturing semiconductor device
JP3438410B2 (en) 1995-05-26 2003-08-18 ソニー株式会社 Slurry for chemical mechanical polishing, method for producing the same, and polishing method using the same
US5616513A (en) 1995-06-01 1997-04-01 International Business Machines Corporation Shallow trench isolation with self aligned PSG layer
JP3311203B2 (en) 1995-06-13 2002-08-05 株式会社東芝 Semiconductor device manufacturing method, semiconductor manufacturing apparatus, and chemical mechanical polishing method for semiconductor wafer
US5643823A (en) 1995-09-21 1997-07-01 Siemens Aktiengesellschaft Application of thin crystalline Si3 N4 liners in shallow trench isolation (STI) structures
US5573633A (en) 1995-11-14 1996-11-12 International Business Machines Corporation Method of chemically mechanically polishing an electronic component
US5665202A (en) 1995-11-24 1997-09-09 Motorola, Inc. Multi-step planarization process using polishing at two different pad pressures
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5664990A (en) 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
US5972792A (en) 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5782675A (en) 1996-10-21 1998-07-21 Micron Technology, Inc. Apparatus and method for refurbishing fixed-abrasive polishing pads used in chemical-mechanical planarization of semiconductor wafers
US5721172A (en) 1996-12-02 1998-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers
US5786260A (en) 1996-12-16 1998-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a readable alignment mark structure using enhanced chemical mechanical polishing
US5776808A (en) 1996-12-26 1998-07-07 Siemens Aktiengesellschaft Pad stack with a poly SI etch stop for TEOS mask removal with RIE
US5702977A (en) 1997-03-03 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method employing self-aligned and planarized trench fill dielectric layer
US5837612A (en) 1997-08-01 1998-11-17 Motorola, Inc. Silicon chemical mechanical polish etch (CMP) stop for reduced trench fill erosion and method for formation
US5963841A (en) 1997-08-01 1999-10-05 Advanced Micro Devices, Inc. Gate pattern formation using a bottom anti-reflective coating
US6010964A (en) 1997-08-20 2000-01-04 Micron Technology, Inc. Wafer surface treatment methods and systems using electrocapillarity
US5919082A (en) 1997-08-22 1999-07-06 Micron Technology, Inc. Fixed abrasive polishing pad
US5943590A (en) 1997-09-15 1999-08-24 Winbond Electronics Corp. Method for improving the planarity of shallow trench isolation
US5928959A (en) 1997-09-30 1999-07-27 Siemens Aktiengesellschaft Dishing resistance

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246879A (en) * 1990-09-28 1993-09-21 The United States Of America As Represented By The Secretary Of The Navy Method of forming nanometer-scale trenches and holes
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
US5686762A (en) * 1995-12-21 1997-11-11 Micron Technology, Inc. Semiconductor device with improved bond pads
US5883435A (en) * 1996-07-25 1999-03-16 International Business Machines Corporation Personalization structure for semiconductor devices
US6362528B2 (en) * 1996-08-21 2002-03-26 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6042996A (en) * 1998-02-13 2000-03-28 United Microelectronics Corp. Method of fabricating a dual damascene structure
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6803302B2 (en) * 1999-11-22 2004-10-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a mechanically robust pad interface

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080185715A1 (en) * 2007-02-07 2008-08-07 Infineon Technologies Ag Semiconductor device and method
US7988794B2 (en) * 2007-02-07 2011-08-02 Infineon Technologies Ag Semiconductor device and method
US20110189852A1 (en) * 2007-12-04 2011-08-04 Advanced Semiconductor Engineering, Inc. Method for Forming a Via in a Substrate and Substrate with a Via
US8937015B2 (en) * 2007-12-04 2015-01-20 Advanced Semiconductor Engineering, Inc. Method for forming vias in a substrate
CN105448808A (en) * 2014-06-05 2016-03-30 北大方正集团有限公司 Integrated circuit chip and filling method for contact hole thereof

Also Published As

Publication number Publication date
US6566249B1 (en) 2003-05-20
TWI232510B (en) 2005-05-11

Similar Documents

Publication Publication Date Title
US6849946B2 (en) Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
JP2702398B2 (en) Method for forming a flat surface on a semiconductor structure
US5942449A (en) Method for removing an upper layer of material from a semiconductor wafer
US5302233A (en) Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US5801099A (en) Method for forming interconnection of semiconductor device
KR100219508B1 (en) Forming method for matal wiring layer of semiconductor device
US6017803A (en) Method to prevent dishing in chemical mechanical polishing
US6879049B1 (en) Damascene interconnection and semiconductor device
US5960310A (en) Polishing methods for forming a contact plug
US6509270B1 (en) Method for polishing a semiconductor topography
US6294470B1 (en) Slurry-less chemical-mechanical polishing
US6251789B1 (en) Selective slurries for the formation of conductive structures
US6124640A (en) Scalable and reliable integrated circuit inter-level dielectric
WO2000002235A1 (en) Method of planarizing integrated circuits
US6319820B1 (en) Fabrication method for dual damascene structure
JP3315605B2 (en) Method for forming interlayer stud on semiconductor wafer
JP3946880B2 (en) Contact plug forming method for semiconductor device
US20060183333A1 (en) Methods of fabricating semiconductor device using sacrificial layer
US6326293B1 (en) Formation of recessed polysilicon plugs using chemical-mechanical-polishing (CMP) and selective oxidation
US6376378B1 (en) Polishing apparatus and method for forming an integrated circuit
US6566249B1 (en) Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US6863595B1 (en) Methods for polishing a semiconductor topography
US6069085A (en) Slurry filling a recess formed during semiconductor fabrication
US6833622B1 (en) Semiconductor topography having an inactive region formed from a dummy structure pattern

Legal Events

Date Code Title Description
AS Assignment

Owner name: CYPRESS SEMICONDUCTOR CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KOUTNY, JR., WILLIAM W.C.;SETHURAMAN, ANANTHA R.;SEAMS, CHRISTOPHER A.;REEL/FRAME:013966/0543

Effective date: 19981028

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION