US20030209520A1 - Methods for etching an organic anti-reflective coating - Google Patents

Methods for etching an organic anti-reflective coating Download PDF

Info

Publication number
US20030209520A1
US20030209520A1 US10/143,489 US14348902A US2003209520A1 US 20030209520 A1 US20030209520 A1 US 20030209520A1 US 14348902 A US14348902 A US 14348902A US 2003209520 A1 US2003209520 A1 US 2003209520A1
Authority
US
United States
Prior art keywords
layer
etch
range
chamber
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/143,489
Other versions
US6649532B1 (en
Inventor
Hui Chen
Xikun Wang
Hong Shih
Chun Yan
Wai-Fan Yau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/143,489 priority Critical patent/US6649532B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, HUI, SHIH, HONG, WANG, XIKUN, YAU, WAI-FAN, YAN, CHUN
Publication of US20030209520A1 publication Critical patent/US20030209520A1/en
Application granted granted Critical
Publication of US6649532B1 publication Critical patent/US6649532B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Definitions

  • One or more embodiments of the present invention pertain to methods for use in fabricating integrated circuit device structures on a substrate, and, in particular, to methods for etching an organic anti-reflective coating.
  • a typical fabrication process utilizes photolithographic techniques to pattern films or layers. These techniques typically entail fabricating a pattern in a photoresist layer deposited on a substrate. With the photoresist pattern acting as a mask, underlying layer(s) are further processed. For example, the underlying layer(s) may be doped or etched, or other processing may be performed.
  • ARC anti-reflective coating
  • TiN ARC titanium nitride anti-reflective coating
  • a TiN ARC is typically used with substrates that have conductive features (for example, conductive features that include an aluminum alloy) used to electrically connect devices formed on the substrates. The conductive features are typically formed over a barrier-adhesion layer, and under a TiN ARC.
  • a TiN ARC by itself does not satisfy processing requirements, and as a result, another anti-reflective layer, such as an organic anti-reflective coating (“OARC”), is typically spun on top of the TiN ARC.
  • OARC organic anti-reflective coating
  • the substrate with the OARC is then processed using an etch process to selectively etch portions of the substrate.
  • the etch process entails introducing a selected process gas into a processing chamber, and producing a plasma of the process gas. The plasma selectively etches the substrate, and creates volatile etch by-products that are exhausted from the processing chamber.
  • a process gas used to etch the OARC (“OARC-open” etch) is a mixture of gases such as, for example Cl 2 /(CHF 3 or CF 4 ), and a process gas used to etch an aluminum alloy layer (“Al main etch”) is a mixture of gases such as, for example Cl 2 /BCl 3 /CHF 3 .
  • OARC-open etch and Al main etch create a problem because of the following.
  • AlCl 3 containing etch by-products are deposited in the processing chamber (for example, on a dome of a Decoupled Plasma Source (“DPS”) Metal Etch chamber available from Applied Materials, Inc. of Santa Clara, Calif.).
  • DPS Decoupled Plasma Source
  • the OARC-open etch creates a “fluorine-rich” environment in the processing chamber.
  • the problem arises because the fluorine reacts with the AlCl 3 containing etch by-products to form A 1 F 3 , containing by-products, and A 1 F 3 containing by-products are difficult to remove from the processing chamber (for example, by use of in-situ chamber cleaning processes available with the DPS Metal Etch chamber).
  • the A 1 F 3 containing by-products are a potential particle source, and thus the mean wafer between clean (MWBC) is reduced.
  • An additional problem with the use of the above-described OARC-open etch process and Al main etch process is that: (a) during the OARC-open etch, CHF 3 interacts with the photoresist mask and substrate material to form polymers which are deposited, for example, on the photoresist; and (b) during the Al main etch process, CHF 3 interacts with A 1 and forms A 1 F 3 that coats the polymers and the aluminum features. Later, when the substrate undergoes processing to strip the photoresist mask, A 1 F 3 coated polymers may remain as an unwanted structure that is sometimes referred to as “rabbit ears.” Thus, the strippability is affected.
  • O 2 might appear to be useful in solving the above-described problems its use creates new problems. Namely, O 2 reacts with BCl 3 that is utilized in the Al main etch. This creates a problem because this reaction may clog up gas lines or a gas manifold used to dispense processing gases into the processing chamber.
  • one embodiment of the present invention is a process for etching an organic anti-reflective coating on a base of a substrate, the process comprising steps of: (a) placing the substrate into a processing chamber; (b) introducing into the processing chamber a processing gas comprising one or more of carbon monoxide (CO), carbon dioxide (CO 2 ), and sulfur oxide (SO 2 ); and (c) forming a plasma from the processing gas to etch the organic anti-reflective coating layer.
  • a processing gas comprising one or more of carbon monoxide (CO), carbon dioxide (CO 2 ), and sulfur oxide (SO 2 )
  • FIG. 1 shows a block diagram of a film structure used to fabricate integrated circuits
  • FIG. 2 is a cross-section of an apparatus suitable for practicing one or more embodiments of the present invention.
  • FIG. 1 shows a block diagram of a cross section of an example of a film stack structure used to fabricate integrated circuits.
  • the film stack structure comprises insulative layer 1000 (for example, and without limitation, insulative layer 1000 is a silicon oxide layer) that is formed over base structure 990 .
  • Base structure 990 can be made of any material, such as semiconductor, glass, ceramic, metal, or polymer, and may be a semiconductor wafer.
  • Barrier-adhesion layer 1010 is formed on insulative layer 1000 (for example, and without limitation, barrier-adhesion layer 1010 includes a Ti, Ta, or W adhesion layer, and a TiN, TaN, or WN barrier layer), and barrier-adhesion layer 1010 might have a total thickness in a range from about 250 ⁇ to about 500 ⁇ .
  • Aluminum layer 1020 (“Al layer 1020”) is formed on barrier-adhesion layer 1010 (for example, and without limitation, Al layer 1020 typically comprises an alloy of aluminum such an alloy of aluminum and copper, or an alloy of aluminum, silicon, and copper), and might have a thickness in a range from about 2500 ⁇ to about 5000 ⁇ .
  • TiN anti-reflective coating layer 1030 (“TiN ARC layer 1030 ”) is formed over Al layer 1020 , and might have a thickness in a range from about 250 ⁇ to about 400 ⁇ .
  • Organic anti-reflective coating layer 1040 (“OARC layer 1040”) is formed (for example, by a spin-on process) on TiN ARC 1030 , and typically might have a thickness in a range from about 250 ⁇ to about 600 ⁇ .
  • patterned photoresist layer 1050 (“PR layer 1050”) is formed on OARC 1040 , and might have a thickness in a range from about 4000 ⁇ to about 6000 ⁇ .
  • OARC 1040 and TiN ARC 1030 are utilized to enable photolithographic processes that pattern PR layer 1050 , which PR layer 1050 enables etching of Al layer 1020 .
  • OARC layer 1040 maybe a carbon containing material that is formed, for example, and without limitation, by a spin-on process on top of TiN ARC layer 1030 (the carbon containing material can be a polymeric material). After the film stack shown in FIG. 1 is formed, one proceeds to etch OARC layer 1040 in accordance with one or more embodiments of the present invention.
  • One or more embodiments of the present invention are fluorine-free, organic anti-reflective coating (“ORAC”) layer etch processes (“OARC-open” etch processes).
  • ORAC organic anti-reflective coating
  • OARC-open etch processes
  • a processing gas that includes carbon monoxide (CO) is utilized in a plasma chamber (for example, and without limitation, a high density plasma chamber) to perform an OARC-open etch process.
  • a processing gas that includes CO and/or carbon dioxide (CO 2 ) and/or sulfur oxide (SO 2 ) is utilized in a plasma chamber (for example, and without limitation, a high density plasma chamber) to perform the OARC-open etch process.
  • the processing gas of one or more of the above-described embodiments further includes a chlorine-based gas such as, for example, and without limitation, Cl 2 .
  • the processing gas of one or more of the above-described embodiments further includes BCl 3 and/or a diluent such as, for example, and without limitation, Ar or He.
  • FIG. 2 is a cross-section of an apparatus suitable for use in practicing one or more embodiments of the present invention.
  • an inductively-coupled, RF plasma etching apparatus includes processing chamber 500 that includes grounded, conductive, cylindrical sidewall, and dielectric ceiling 502 .
  • the etching apparatus includes wafer pedestal (or cathode) 526 that supports semiconductor wafer or substrate 506 substantially in the center of processing chamber 500 .
  • processing chamber 500 further includes: (a) cylindrical inductor coil 508 that surrounds an upper portion of processing chamber 500 (beginning near a plane at the top of wafer pedestal 526 and extending upwardly therefrom toward top 510 of processing chamber 500 ); (b) processing gas source 512 ; (c) gas inlet 514 that furnishes a processing gas into the interior of processing chamber 500 ; and (d) pump 516 that controls the pressure in processing chamber 500 .
  • Inductor coil 508 is energized by plasma source power supply (or RF generator) 518 through RF match network 520 , the top winding of inductor coil 508 being “hot” and the bottom winding being grounded.
  • Wafer pedestal 526 includes an interior conductive portion 522 that is connected to RF bias power supply (or generator) 524 and an exterior grounded conductor (insulated from interior conductive portion 522 ).
  • Conductive, grounded, RF shield 530 surrounds inductor coil 508 .
  • substrate 506 is transferred into processing chamber 500 , and placed on wafer pedestal 526 .
  • a process gas output from processing gas source 512 is introduced into processing chamber 500 through gas inlet 514 (in alternative embodiments, the processing gas may be input through a showerhead apparatus that is well known to those of ordinary skill in the art).
  • a plasma is generated and maintained by applying power to inductor coil 508 from RF generator 518 through RF match network 520 , and by applying power to interior conductive portion 522 of wafer pedestal 504 from RF bias power supply 524 .
  • Processing chamber 500 is maintained at a process pressure that is determined by the flow of the process gas into processing chamber 500 , and the pumping rate of pump 516 .
  • anodized aluminum sidewall is heated to a temperature of about 80° C. to prevent deposition of condensable etchant by-product species on sidewall 501 in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. Appropriate ranges of values of sidewall temperature may be determined routinely by one of ordinary skill in the art without undue experimentation.
  • dielectric ceiling 502 is maintained at a temperature of about 80° C. to prevent polymer deposition thereon to reduce particles in the process zone in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • wafer pedestal 526 is heated, for example, and without limitation, resistively, to a temperature in a range from about 30° C. to about 50° C. in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • the plasma formed from the process gas may heat substrate 506 to a temperature in a range of about 60° C. to about 80° C.
  • the temperature of substrate 506 is maintained at a substantially constant level by passing a cooling gas (for example, and without limitation, helium) over a backside of substrate 506 in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • a cooling gas for example, and without limitation, helium
  • Helium may flowed at pressure in a range from about 4 Torr to about 10 Torr. Appropriate ranges of values of backside cooling gas pressure may be determined routinely by one of ordinary skill in the art without undue experimentation.
  • process conditions for a 19.5 liter chamber are: a flow rate for CO in a range from about 5 sccm to about 30 sccm; (optional) a flow rate for Cl 2 in a range from about 50 sccm to about 100 sccm; a chamber pressure in a range from about 2 mT to about 20 mT; an RF current applied to inductive coil 508 at a frequency (for example, and without limitation, a frequency of about 2 MHz) and at a power level (for example, and without limitation, a power level in a range from about 400 W to 1000 W) sufficient to generate a plasma to etch the OARC layer; (optional) an RF bias current applied to wafer pedestal 526 at a frequency (for example, and without limitation, a frequency of about 13.56 MHz) and at power level (for example, and without limitation, a power level in
  • the flow rates may be scaled to be a factor of about 2 larger than for the 200 mm chamber; the source power may be scaled to be about a factor of 1 larger than for the 200 mm chamber; and the bias power may be scaled to be about a factor of about 1.5-2 larger than for the 200 mm chamber.
  • the width of patterned photoresist layer 1050 at a location just above OARC layer 1040 is typically referred to as a pre-CD (“pre-etch critical dimension”).
  • a corresponding width at about the bottom of Al layer 1020 after Al layer 1020 is etched (and, typically, after PR layer 1050 is stripped) is typically referred to as a post-CD (“post-etch critical dimension”).
  • post-etch critical dimension the width of patterned photoresist layer 1050 at a location just above OARC layer 1040.
  • process parameters may be varied within ranges of values that can be determined routinely by one of ordinary skill in the art without undue experimentation.
  • a processing gas includes CO and/or CO 2 and/or SO 2 .
  • This processing gas is advantageous for an OARC-open etch because: (a) CO, CO 2 , and SO 2 do not react with BCl 3 used to etch the aluminum layer; (b) they can etch organic material such as OARC isotropically; and (c) with the addition of CO 2 and/or SO 2 to CO, one can control CD-bias.

Abstract

One embodiment of the present invention is a process for etching an organic anti-reflective coating on a base of a substrate, the process including steps of: (a) placing the substrate into a processing chamber; (b) introducing into the processing chamber a processing gas including one or more of carbon monoxide (CO), carbon dioxide (CO2), and sulfur oxide (SO2); and (c) forming a plasma from the processing gas to etch the organic anti-reflective coating layer.

Description

    TECHNICAL FIELD OF THE INVENTION
  • One or more embodiments of the present invention pertain to methods for use in fabricating integrated circuit device structures on a substrate, and, in particular, to methods for etching an organic anti-reflective coating. [0001]
  • BACKGROUND OF THE INVENTION
  • Critical dimensions and geometries of semiconductor devices have decreased steadily in size since they were first introduced. Although, currently, most semiconductor devices are fabricated with feature sizes of about 0.18 μm-0.25 μm, it is desirable to produce semiconductor devices, for example, semiconductor integrated circuit chips, with smaller feature sizes, such as 0.13 μm microns and lower. Fabricating such semiconductor devices includes forming a patterned thin film or layer on a base substrate of the device by chemical reaction of gases. When patterning thin films, it is desirable that fluctuations in line width and other critical dimensions be minimized. Errors in these critical dimensions can result in variations in device characteristics or open-/short-circuited devices, thereby adversely affecting device yield. Some manufacturers now require that variations in dimensional accuracy of patterning operations be held to within 5% of dimensions specified by a designer. [0002]
  • A typical fabrication process utilizes photolithographic techniques to pattern films or layers. These techniques typically entail fabricating a pattern in a photoresist layer deposited on a substrate. With the photoresist pattern acting as a mask, underlying layer(s) are further processed. For example, the underlying layer(s) may be doped or etched, or other processing may be performed. [0003]
  • As is known, some photolithographic techniques entail the use of monochromatic radiation to produce the patterns. However, as a substrate is processed, a topology of the substrate's upper surface becomes progressively uneven. This can cause reflection and refraction of the monochromatic radiation, resulting in exposure of some of the photoresist layer beneath portions of the mask. As a result, the uneven surface can alter the pattern transferred to the photoresist layer, thereby altering desired dimensions of structures fabricated subsequently. [0004]
  • As is also known, when a photoresist layer is deposited on a reflective underlying layer, and is exposed to monochromatic radiation, standing waves may be produced within the photoresist layer. The existence of standing waves in the photoresist layer during exposure can cause roughness in vertical walls formed when sections of the photoresist layer are removed during patterning. This can translate into variations in line widths, spacing, and other critical dimensions. [0005]
  • The use of an anti-reflective coating (“ARC”) is one technique currently used to help reduce and/or eliminate the standing waves. An ARC's optical characteristics are such that reflections occurring at inter-layer interfaces are minimized. One type of ARC is a titanium nitride anti-reflective coating (“TiN ARC”). A TiN ARC is typically used with substrates that have conductive features (for example, conductive features that include an aluminum alloy) used to electrically connect devices formed on the substrates. The conductive features are typically formed over a barrier-adhesion layer, and under a TiN ARC. [0006]
  • It has been determined that (for certain applications) a TiN ARC (by itself) does not satisfy processing requirements, and as a result, another anti-reflective layer, such as an organic anti-reflective coating (“OARC”), is typically spun on top of the TiN ARC. The substrate with the OARC is then processed using an etch process to selectively etch portions of the substrate. The etch process entails introducing a selected process gas into a processing chamber, and producing a plasma of the process gas. The plasma selectively etches the substrate, and creates volatile etch by-products that are exhausted from the processing chamber. In accordance with an etch process used for device dimensions of 0.25 μm and smaller, a process gas used to etch the OARC (“OARC-open” etch) is a mixture of gases such as, for example Cl[0007] 2/(CHF3 or CF4), and a process gas used to etch an aluminum alloy layer (“Al main etch”) is a mixture of gases such as, for example Cl2/BCl3/CHF3. The above-described OARC-open etch and Al main etch create a problem because of the following. During the Al main etch, AlCl3 containing etch by-products are deposited in the processing chamber (for example, on a dome of a Decoupled Plasma Source (“DPS”) Metal Etch chamber available from Applied Materials, Inc. of Santa Clara, Calif.). Further, the OARC-open etch creates a “fluorine-rich” environment in the processing chamber. The problem arises because the fluorine reacts with the AlCl3 containing etch by-products to form A1F3, containing by-products, and A1F3 containing by-products are difficult to remove from the processing chamber (for example, by use of in-situ chamber cleaning processes available with the DPS Metal Etch chamber). As a result, the A1F3 containing by-products are a potential particle source, and thus the mean wafer between clean (MWBC) is reduced.
  • An additional problem with the use of the above-described OARC-open etch process and Al main etch process is that: (a) during the OARC-open etch, CHF[0008] 3 interacts with the photoresist mask and substrate material to form polymers which are deposited, for example, on the photoresist; and (b) during the Al main etch process, CHF3 interacts with A1 and forms A1F3 that coats the polymers and the aluminum features. Later, when the substrate undergoes processing to strip the photoresist mask, A1F3 coated polymers may remain as an unwanted structure that is sometimes referred to as “rabbit ears.” Thus, the strippability is affected.
  • Although O[0009] 2 might appear to be useful in solving the above-described problems its use creates new problems. Namely, O2 reacts with BCl3 that is utilized in the Al main etch. This creates a problem because this reaction may clog up gas lines or a gas manifold used to dispense processing gases into the processing chamber.
  • SUMMARY OF THE INVENTION
  • One or more embodiments of the present invention advantageously solve one or more of the above-identified problems. In particular, one embodiment of the present invention is a process for etching an organic anti-reflective coating on a base of a substrate, the process comprising steps of: (a) placing the substrate into a processing chamber; (b) introducing into the processing chamber a processing gas comprising one or more of carbon monoxide (CO), carbon dioxide (CO[0010] 2), and sulfur oxide (SO2); and (c) forming a plasma from the processing gas to etch the organic anti-reflective coating layer.
  • BRIEF DESCRIPTION OF THE FIGURE
  • FIG. 1 shows a block diagram of a film structure used to fabricate integrated circuits; and [0011]
  • FIG. 2 is a cross-section of an apparatus suitable for practicing one or more embodiments of the present invention.[0012]
  • DETAILED DESCRIPTION
  • FIG. 1 shows a block diagram of a cross section of an example of a film stack structure used to fabricate integrated circuits. As shown in FIG. 1, the film stack structure comprises insulative layer [0013] 1000 (for example, and without limitation, insulative layer 1000 is a silicon oxide layer) that is formed over base structure 990. Base structure 990 can be made of any material, such as semiconductor, glass, ceramic, metal, or polymer, and may be a semiconductor wafer. Barrier-adhesion layer 1010 is formed on insulative layer 1000 (for example, and without limitation, barrier-adhesion layer 1010 includes a Ti, Ta, or W adhesion layer, and a TiN, TaN, or WN barrier layer), and barrier-adhesion layer 1010 might have a total thickness in a range from about 250 Å to about 500 Å. Aluminum layer 1020 (“Al layer 1020”) is formed on barrier-adhesion layer 1010 (for example, and without limitation, Al layer 1020 typically comprises an alloy of aluminum such an alloy of aluminum and copper, or an alloy of aluminum, silicon, and copper), and might have a thickness in a range from about 2500 Å to about 5000 Å. TiN anti-reflective coating layer 1030 (“TiN ARC layer 1030”) is formed over Al layer 1020, and might have a thickness in a range from about 250 Å to about 400 Å. Organic anti-reflective coating layer 1040 (“OARC layer 1040”) is formed (for example, by a spin-on process) on TiN ARC 1030, and typically might have a thickness in a range from about 250 Å to about 600 Å. Lastly, patterned photoresist layer 1050 (“PR layer 1050”) is formed on OARC 1040, and might have a thickness in a range from about 4000 Å to about 6000 Å.
  • As is well known, OARC [0014] 1040 and TiN ARC 1030 are utilized to enable photolithographic processes that pattern PR layer 1050, which PR layer 1050 enables etching of Al layer 1020. In various embodiments, OARC layer 1040 maybe a carbon containing material that is formed, for example, and without limitation, by a spin-on process on top of TiN ARC layer 1030 (the carbon containing material can be a polymeric material). After the film stack shown in FIG. 1 is formed, one proceeds to etch OARC layer 1040 in accordance with one or more embodiments of the present invention.
  • One or more embodiments of the present invention are fluorine-free, organic anti-reflective coating (“ORAC”) layer etch processes (“OARC-open” etch processes). In accordance with one embodiment of the present invention, a processing gas that includes carbon monoxide (CO) is utilized in a plasma chamber (for example, and without limitation, a high density plasma chamber) to perform an OARC-open etch process. Further, in accordance with one or more embodiments of the present invention, a processing gas that includes CO and/or carbon dioxide (CO[0015] 2) and/or sulfur oxide (SO2) is utilized in a plasma chamber (for example, and without limitation, a high density plasma chamber) to perform the OARC-open etch process. Still further, in accordance with one or more embodiments of the present invention, the processing gas of one or more of the above-described embodiments further includes a chlorine-based gas such as, for example, and without limitation, Cl2. Yet still further, in accordance with one or more of embodiments of the present invention, the processing gas of one or more of the above-described embodiments further includes BCl3 and/or a diluent such as, for example, and without limitation, Ar or He.
  • Any one of a number of processing apparatus can be used to practice one or more embodiments of the present invention. For example, a Decoupled Plasma Source (“DPS”) Metal Etch Chamber apparatus available from Applied Materials, Inc. of Santa Clara, Calif. may be used to practice one or more embodiments of the present invention. FIG. 2 is a cross-section of an apparatus suitable for use in practicing one or more embodiments of the present invention. As shown in FIG. 2, an inductively-coupled, RF plasma etching apparatus includes [0016] processing chamber 500 that includes grounded, conductive, cylindrical sidewall, and dielectric ceiling 502. As further shown in FIG. 2, the etching apparatus includes wafer pedestal (or cathode) 526 that supports semiconductor wafer or substrate 506 substantially in the center of processing chamber 500. In accordance with one or more embodiments of the present invention, wafer pedestal 526 actively holds the substrate in place, for example, and without limitation, by including an electrostatic chuck mechanism that is well known to those of ordinary skill in the art. Processing chamber 500 further includes: (a) cylindrical inductor coil 508 that surrounds an upper portion of processing chamber 500 (beginning near a plane at the top of wafer pedestal 526 and extending upwardly therefrom toward top 510 of processing chamber 500); (b) processing gas source 512; (c) gas inlet 514 that furnishes a processing gas into the interior of processing chamber 500; and (d) pump 516 that controls the pressure in processing chamber 500.
  • [0017] Inductor coil 508 is energized by plasma source power supply (or RF generator) 518 through RF match network 520, the top winding of inductor coil 508 being “hot” and the bottom winding being grounded. Wafer pedestal 526 includes an interior conductive portion 522 that is connected to RF bias power supply (or generator) 524 and an exterior grounded conductor (insulated from interior conductive portion 522). Conductive, grounded, RF shield 530 surrounds inductor coil 508.
  • To effect an OARC-open etch, [0018] substrate 506 is transferred into processing chamber 500, and placed on wafer pedestal 526. A process gas output from processing gas source 512 is introduced into processing chamber 500 through gas inlet 514 (in alternative embodiments, the processing gas may be input through a showerhead apparatus that is well known to those of ordinary skill in the art). A plasma is generated and maintained by applying power to inductor coil 508 from RF generator 518 through RF match network 520, and by applying power to interior conductive portion 522 of wafer pedestal 504 from RF bias power supply 524. Processing chamber 500 is maintained at a process pressure that is determined by the flow of the process gas into processing chamber 500, and the pumping rate of pump 516.
  • In accordance with one or more embodiments of the present invention, anodized aluminum sidewall is heated to a temperature of about 80° C. to prevent deposition of condensable etchant by-product species on [0019] sidewall 501 in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. Appropriate ranges of values of sidewall temperature may be determined routinely by one of ordinary skill in the art without undue experimentation. In addition, in accordance with one or more embodiments of the present invention, dielectric ceiling 502 is maintained at a temperature of about 80° C. to prevent polymer deposition thereon to reduce particles in the process zone in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. Appropriate ranges of values of dielectric ceiling temperature may be determined routinely by one of ordinary skill in the art without undue experimentation. In accordance with one or more embodiments of the present invention, wafer pedestal 526 is heated, for example, and without limitation, resistively, to a temperature in a range from about 30° C. to about 50° C. in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. The plasma formed from the process gas may heat substrate 506 to a temperature in a range of about 60° C. to about 80° C. Further, in accordance with one or more embodiments of the present invention, the temperature of substrate 506 is maintained at a substantially constant level by passing a cooling gas (for example, and without limitation, helium) over a backside of substrate 506 in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. Helium may flowed at pressure in a range from about 4 Torr to about 10 Torr. Appropriate ranges of values of backside cooling gas pressure may be determined routinely by one of ordinary skill in the art without undue experimentation.
  • In accordance with one embodiment of the present invention, process conditions for a 19.5 liter chamber (for example, a 200 mm DPS Metal Etch chamber) are: a flow rate for CO in a range from about 5 sccm to about 30 sccm; (optional) a flow rate for Cl[0020] 2 in a range from about 50 sccm to about 100 sccm; a chamber pressure in a range from about 2 mT to about 20 mT; an RF current applied to inductive coil 508 at a frequency (for example, and without limitation, a frequency of about 2 MHz) and at a power level (for example, and without limitation, a power level in a range from about 400 W to 1000 W) sufficient to generate a plasma to etch the OARC layer; (optional) an RF bias current applied to wafer pedestal 526 at a frequency (for example, and without limitation, a frequency of about 13.56 MHz) and at power level (for example, and without limitation, a power level in a range from about 30 W to about 100 W) sufficient to cause the plasma generated by inductive coil 508 to impinge upon and etch the OARC layer; and a temperature of wafer pedestal 526 maintained in a range from about 30° C. to about 50° C. Further embodiments include substituting and/or adding one or more SO2 and CO2 to the process gas at flow rates for each in a range from about 5 sccm to about 30 sccm.
  • For a 300 mm chamber, for the above-described embodiments, the flow rates may be scaled to be a factor of about 2 larger than for the 200 mm chamber; the source power may be scaled to be about a factor of 1 larger than for the 200 mm chamber; and the bias power may be scaled to be about a factor of about 1.5-2 larger than for the 200 mm chamber. [0021]
  • Referring to FIG. 1, the width of patterned [0022] photoresist layer 1050 at a location just above OARC layer 1040 is typically referred to as a pre-CD (“pre-etch critical dimension”). A corresponding width at about the bottom of Al layer 1020 after Al layer 1020 is etched (and, typically, after PR layer 1050 is stripped) is typically referred to as a post-CD (“post-etch critical dimension”). Finally, CD-bias=(post-CD -pre-CD). Advantageously, in accordance with one or more of such embodiments, the above-defined CD-bias can be controlled using one or embodiments of the present invention to produce CD-bias gain (i.e., CD-bias>0), CD-bias loss (i.e., CD-bias<0), or CD-bias=0 by varying one or more of the following process parameters: processing and diluent gas flow rate ratios; processing chamber pressure; temperature of a wafer pedestal heater and backside gas pressure to help define wafer temperature; power applied to a source of electromagnetic energy to generate a plasma; and power applied to a bias mechanism (if used). These process parameters may be varied within ranges of values that can be determined routinely by one of ordinary skill in the art without undue experimentation. In particular, in accordance with one embodiment of the present invention, a processing gas includes CO and/or CO2 and/or SO2. This processing gas is advantageous for an OARC-open etch because: (a) CO, CO2, and SO2 do not react with BCl3 used to etch the aluminum layer; (b) they can etch organic material such as OARC isotropically; and (c) with the addition of CO2 and/or SO2 to CO, one can control CD-bias.
  • Those skilled in the art will recognize that the foregoing description has been presented for the sake o illustration and description only. As such, it is not intended to be exhaustive or to limit the invention to the precise form disclosed. For example, although certain dimensions were discussed above, they are merely illustrative since various designs may be fabricated using the embodiments described above, and the actual dimensions for such designs will be determined in accordance with circuit requirements. [0023]

Claims (13)

What is claimed is:
1. A process for etching an organic anti-reflective coating on a base of a substrate, the process comprising steps of:
placing the substrate on a pedestal in a processing chamber;
introducing into the processing chamber a processing gas comprising one or more of carbon monoxide (CO), carbon dioxide (CO2), and sulfur oxide (SO2); and
forming a plasma from the processing gas to etch the organic anti-reflective coating layer.
2. The process of claim wherein the processing gas further comprises a chlorine-based gas.
3. The process of claim 2 wherein the chloride-based gas includes chlorine (Cl2).
4. The process of claim 1 wherein the processing gas further comprises a diluent.
5. The process of claim 1 wherein the processing gas further comprises BCl3.
6. The process of claim 1 wherein the step of forming a plasma comprises forming an inductively coupled plasma.
7. The process of claim 6 wherein the process zone is maintained at a pressure from about 2 mT to about 20 mT.
8. The process of claim 6 wherein the step of forming an inductively coupled plasma includes applying an RF current to an inductive coil at a power level in a range from about 400 W to about 1000 W.
9. The process of claim 8 which further comprises applying an RF bias current to the pedestal at a power level in a range from about 30 W to about 100 W.
10. The process of claim 9 which further comprises heating the pedestal to a temperature in a range from about 30° C. to about 50° C.
11. The process of claim 10 wherein a flow rate of CO for a chamber volume of around 19 to 20 liters is in a range from about 5 sccm to about 30 sccm.
12. The process of claim 11 wherein the process gas further comprises Cl2 at a flow rate in a range from about 50 sccm to about 100 sccm.
13. The process of claim 12 which is carried out in a chamber having a volume larger than around 19 to 20 liters, wherein the flow rates, the power level of the RF current applied to the inductive coil, and the power level of the RF bias current applied to the pedestal are scaled.
US10/143,489 2002-05-09 2002-05-09 Methods for etching an organic anti-reflective coating Expired - Fee Related US6649532B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/143,489 US6649532B1 (en) 2002-05-09 2002-05-09 Methods for etching an organic anti-reflective coating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/143,489 US6649532B1 (en) 2002-05-09 2002-05-09 Methods for etching an organic anti-reflective coating

Publications (2)

Publication Number Publication Date
US20030209520A1 true US20030209520A1 (en) 2003-11-13
US6649532B1 US6649532B1 (en) 2003-11-18

Family

ID=29400151

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/143,489 Expired - Fee Related US6649532B1 (en) 2002-05-09 2002-05-09 Methods for etching an organic anti-reflective coating

Country Status (1)

Country Link
US (1) US6649532B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040041272A1 (en) * 2002-08-29 2004-03-04 Signorini Karen T. Method for etching anti-reflectant coating layers
US20060046483A1 (en) * 2004-08-31 2006-03-02 Abatchev Mirzafer K Critical dimension control for integrated circuits
US20080057724A1 (en) * 2006-08-31 2008-03-06 Mark Kiehlbauch Selective etch chemistries for forming high aspect ratio features and associated structures
US20090163028A1 (en) * 2007-12-24 2009-06-25 Hynix Semiconductor Inc. Method for fabricating semiconductor device

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7601112B2 (en) * 2001-03-13 2009-10-13 Jackson David P Dense fluid cleaning centrifugal phase shifting separation process and apparatus
KR100425467B1 (en) * 2001-09-29 2004-03-30 삼성전자주식회사 Method of dry etching for semiconductor device
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
JP2005243681A (en) * 2004-02-24 2005-09-08 Tokyo Electron Ltd Film modifying method, film modifying apparatus and control method of amount of slimming
US7767365B2 (en) * 2006-08-31 2010-08-03 Micron Technology, Inc. Methods for forming and cleaning photolithography reticles
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US7648806B2 (en) * 2007-02-02 2010-01-19 Micron Technology, Inc. Phase shift mask with two-phase clear feature
US8916067B2 (en) 2011-10-19 2014-12-23 The Aerospace Corporation Carbonaceous nano-scaled materials having highly functionalized surface

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100209698B1 (en) * 1996-10-11 1999-07-15 구본준 Organic antireflection film etching method
KR100232187B1 (en) * 1996-12-27 1999-12-01 김영환 Method for etching antireflection film
WO1998032162A1 (en) * 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Pattern forming method
JPH10242127A (en) * 1997-02-26 1998-09-11 Sony Corp Plasma etching method for antireflective org. film
US6296780B1 (en) 1997-12-08 2001-10-02 Applied Materials Inc. System and method for etching organic anti-reflective coating from a substrate
WO2002029879A1 (en) * 2000-10-04 2002-04-11 Infineon Technologies Ag Method for etching organic anti-reflecting coatings
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040041272A1 (en) * 2002-08-29 2004-03-04 Signorini Karen T. Method for etching anti-reflectant coating layers
US20060202298A1 (en) * 2002-08-29 2006-09-14 Signorini Karen T Device produced by method for etching a layered substrate
US20060046483A1 (en) * 2004-08-31 2006-03-02 Abatchev Mirzafer K Critical dimension control for integrated circuits
US20060270230A1 (en) * 2004-08-31 2006-11-30 Abatchev Mirzafer K Critical dimension control for integrated circuits
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7563723B2 (en) 2004-08-31 2009-07-21 Micron Technology, Inc. Critical dimension control for integrated circuits
US20080057724A1 (en) * 2006-08-31 2008-03-06 Mark Kiehlbauch Selective etch chemistries for forming high aspect ratio features and associated structures
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8088691B2 (en) 2006-08-31 2012-01-03 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20090163028A1 (en) * 2007-12-24 2009-06-25 Hynix Semiconductor Inc. Method for fabricating semiconductor device

Also Published As

Publication number Publication date
US6649532B1 (en) 2003-11-18

Similar Documents

Publication Publication Date Title
US6296780B1 (en) System and method for etching organic anti-reflective coating from a substrate
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US7335462B2 (en) Method of depositing an amorphous carbon layer
US6670278B2 (en) Method of plasma etching of silicon carbide
US6537918B2 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US20040171272A1 (en) Method of etching metallic materials to form a tapered profile
US20040053484A1 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
KR19980081057A (en) Method for Anisotropically Etching Tungsten
JP2004528711A (en) Method for plasma etching organic anti-reflective coating
US6103632A (en) In situ Etching of inorganic dielectric anti-reflective coating from a substrate
JP3213803B2 (en) Method for forming slope contact hole in semiconductor using high-density plasma etching equipment
US20080292973A1 (en) Method for etching using a multi-layer mask
US6649532B1 (en) Methods for etching an organic anti-reflective coating
US6911346B2 (en) Method of etching a magnetic material
US6897154B2 (en) Selective etching of low-k dielectrics
US20030022494A1 (en) Plasma etching process for metals and metal oxides relates inert to oxidation
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
JP4335441B2 (en) Etching process of organic antireflection coating
US20040084411A1 (en) Method of etching a silicon-containing dielectric material
US20030153193A1 (en) Etching method
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
JP2020177958A (en) Substrate processing method and substrate processing device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, HUI;WANG, XIKUN;SHIH, HONG;AND OTHERS;REEL/FRAME:012905/0885;SIGNING DATES FROM 20020506 TO 20020509

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20111118