US20030173346A1 - System and method for heating and cooling wafer at accelerated rates - Google Patents

System and method for heating and cooling wafer at accelerated rates Download PDF

Info

Publication number
US20030173346A1
US20030173346A1 US10/100,934 US10093402A US2003173346A1 US 20030173346 A1 US20030173346 A1 US 20030173346A1 US 10093402 A US10093402 A US 10093402A US 2003173346 A1 US2003173346 A1 US 2003173346A1
Authority
US
United States
Prior art keywords
wafer
gas
flow
temperature
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/100,934
Inventor
Wayne Renken
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sensarray Corp
Original Assignee
Sensarray Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sensarray Corp filed Critical Sensarray Corp
Priority to US10/100,934 priority Critical patent/US20030173346A1/en
Assigned to SENSARRAY CORPORATION reassignment SENSARRAY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RENKEN, WAYNE
Priority to TW092105381A priority patent/TWI304997B/en
Priority to AU2003225878A priority patent/AU2003225878A1/en
Priority to PCT/US2003/008464 priority patent/WO2003081646A2/en
Priority to JP2003579268A priority patent/JP2005521260A/en
Assigned to SENSARRAY CORPORATION reassignment SENSARRAY CORPORATION CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR'S NAME AND EXECUTION DATE PREVIOULSY RECORDED ON REEL 012725, FRAME 0353. ASSIGNOR HEREBY CONFIRMS THE ASSIGNMENT OF THE ENTIRE INTEREST. Assignors: RENKEN, WAYNE GLENN
Priority to US10/619,731 priority patent/US7156924B2/en
Publication of US20030173346A1 publication Critical patent/US20030173346A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • the present invention relates generally to semiconductor processing, and relates more specifically to the heating and cooling of wafers used to make integrated circuits.
  • Microelectronic devices are fabricated employing multiple layers of materials formed upon suitable carriers or substrates. Many of the layers of microelectronics materials must be patterned and registered accurately to produce fine dimensions. As circuit density and performance requirements have increased, the tolerances and dimensions of the patterns have become correspondingly smaller. It is common practice to form patterns in layers of microelectronic materials employing photolithography, wherein the layer of material to be patterned is coated with a light sensitive lacquer or photoresist material, which is then exposed to a pattern of light radiation to form the latent image of the pattern in the photoresist material. This latent image is then chemically developed to form a photoresist etch mask of the pattern, which can then be transferred to the underlying material layer by additive or subtractive processes such as etching or other analogous processes.
  • Photoresists and the manipulation of photoresists are well known in the art, but a short description of some important issues follows.
  • Photoresists are applied as a thin film coating to a suitable substrate.
  • actinic radiation the difference in solubility rates between exposed and unexposed areas produces an image on the substrate after development.
  • the uncovered substrate is thereafter subjected to an etching process. Frequently, this involves a plasma etching against which the resist coating must be sufficiently stable.
  • the coating protects those areas of the substrate from the etchant which were covered during the exposure, and thus the etchant is only able to etch the areas which were uncovered.
  • the photoresist coating protects the covered areas of the substrate from the etchant and thus the etchant is only able to etch the uncovered areas of the substrate.
  • a pattern can be created on the substrate which corresponds to the pattern of the mask or template that was used to create selective exposure patterns on the coated substrate prior to development.
  • the optimally obtainable microlithographic resolution is essentially determined by the radiation wavelengths used for the selective irradiation.
  • the resolution capacity that can be obtained with conventional deep UV microlithography i.e. 248 nm
  • conventional deep UV microlithography i.e. 248 nm
  • radiation of ever shorter wavelengths is being employed together with chemical amplification resist films.
  • a typical chemical amplification photoresist film comprises a polymer, a photoacid generator, and other optional additives.
  • the polymer is required to be soluble in the chosen developer solution, and have high thermal stability and low absorbtance to the exposure wavelength in addition to having excellent etch resistance.
  • Chemically amplified photoresists are based on chemically amplified deblocking. With this mechanism, a molecule of photogenerated acid catalyzes the breaking of bonds in a protecting group of a polymer. During the deblocking process, another molecule of the same acid is created as a byproduct, and continues the acid-catalytic deblocking cycle.
  • Chemically amplified resists require both an exposure dose to generate a latent acid and image and a thermal dose to drive the deblocking reaction that changes the solubility of the resist in developer. Because the photogenerated acid diffuses through the resist as it catalyzes the deblocking reaction, the acid could diffuse into unexposed regions and have a significant impact on the quality of the image generated in the resist.
  • An important criteria of the post-exposure bake process (PEB) is optimization of the balance between the relative rates of the diffusion and reaction processes.
  • Pre diffusion reaction processes may include photo acid loss to the environment.
  • the post diffusion reaction processes are more specifically, the amplification reaction and the acid loss reaction.
  • the diffusion process, the amplification reaction process, and the acid loss reaction each have different activation energies.
  • the activation energies for diffusion and for the amplification reaction are both high, whereas the activation energy for the acid loss reaction is low in comparison.
  • the ramp or rise time is critical in the formation of dense features. Lines or other features that are densely located may join together in a process known as scumming, whereas isolated lines or features exposed to the same thermal dose will increase linearly and be well resolved.
  • the acid loss reaction scavenges acid before the wafer reaches a temperature that is hot enough to drive the deblocking reaction. After the hotplate temperature is reached, acid loss, diffusion and amplification occur simultaneously. Delays in reaching the bake temperature can result in substantial acid loss before deblocking can begin, contributing to the aforementioned scumming process. For more information please refer to an article by Mark D.
  • FIGS. 1 - 3 illustrate a prior art oven used for post-exposure baking of silicon wafers.
  • FIG. 1 is an exploded view of a prior art oven illustrating a top enclosure 20 , gas inlet 22 , showerhead 24 , wafer 28 , hot plate 32 with proximity pins 34 , lift off pins 36 and bottom enclosure 40 .
  • FIG. 2 is a cross section of the prior art oven shown in FIG. 1 in the open position, with wafer 28 elevated from the surface of hotplate 32 and proximity pins 34 .
  • FIG. 3 is a cross section of the prior art oven shown in FIG. 1 in a closed potion, with the wafer 28 upon proximity pins 34 of hot plate 32 .
  • showerhead 24 has passages to distribute the gas arriving from gas inlet 22 .
  • TEC Tokyo Electron Corporation
  • the proximity pins raise wafer 28 about 100-150 microns off of the surface of hot plate 32 .
  • wafer 28 cannot be made or maintained during prior processing perfectly flat, and there are differences in the degree of flatness from wafer to wafer. Because of the vertical temperature gradient within the oven, even small variations in flatness can result in a relatively large disparity in the temperature at different points across wafer 28 . For example, if the wafer is concave such that the ends are further from hotplate 32 than the middle, the middle portion will be hotter than the ends. This variation may result in a rise time that differs by a factor of two at different areas of the wafer in the prior art design, and is thus detrimental to precision activation and control of the diffusion and reaction processes.
  • One aspect of the present invention is a system for varying the temperature of a wafer comprising a first temperature controlled plate.
  • a second temperature controlled plate has proximity pins, and the wafer is located between the first and second temperature controlled plates.
  • the distance of the wafer from the first temperature controlled plate is maintained by proximity pins.
  • the distance of the wafer from the second temperature controlled plate can be maintained in any number of ways but is preferably maintained by the proximity pins of the second hot plate.
  • An enclosure surrounds the first and second temperature controlled plates and the wafer, and the enclosure comprises a gas input and output. A heat conducting gas flows from the input past the wafer and to the output.
  • Another aspect of the present invention involves a method of conditioning a wafer having a first and a second side within a chamber.
  • the method comprises heating or cooling the wafer from the first side, heating or cooling the wafer from the second side, and applying a gas to the wafer, the gas distributed through a plurality of passages such that the gas flow is controlled and is substantially laminar and spatially distributed.
  • Yet another aspect of the invention is a device for controlling the temperature of a wafer comprising a temperature control element, and a gas distribution system configured to distribute gas about a surface of the wafer, the gas distribution system comprising a plurality of flow paths, each of the plurality of flow paths comprising a laminar flow element.
  • a wafer is located between the gas distribution system and the temperature control element.
  • FIG. 1 is an exploded view of a prior art oven.
  • FIG. 2 is a cross section of the oven shown in FIG. 1.
  • FIG. 3 is a cross section of the oven shown in FIG. 1.
  • FIG. 4 is an exploded view of semiconductor processing chamber (“SPC”) 100 .
  • FIG. 5 is a perspective view of flow channel plate 112 .
  • FIG. 6 is a cross section of SPC 100 in an open position.
  • FIG. 7 is a cross section of SPC 100 in a closed position.
  • FIG. 8 is a cross section of SPC 100 in a closed position.
  • FIG. 4 illustrates an exploded view of semiconductor processing chamber (“SPC”) 100 .
  • SPC 100 may be used to heat or cool a silicon wafer or other substrate in addition to supplying other process needs such as gas distribution and vapor removal.
  • SPC 100 has many applications in the field of silicon wafer processing. One such application is in the post-exposure bake process described earlier. Other applications will be readily apparent to those skilled in the art.
  • Silicon wafer 126 is conditioned within upper housing 104 and lower housing 134 .
  • SPC 100 may be used to cool the wafer as well as heat it and condition it in other ways, it may be referred to as an oven.
  • Mechanical assembly 102 drives the opening and closing of SPC 100 , i.e. it brings together or separates the upper housing 104 and lower housing 134 to close or open SPC 100 .
  • Gas transport tubes 106 a and 106 b are attached to upper housing 104 and cover plate 110 respectively.
  • Gas transport tubes 106 route an incoming gas used in the conditioning process to flow manifold 111 which is formed by cover plate 110 and flow channel plate 112 .
  • Gas flows through manifold 111 through upper hot (or chill) plate 124 which functions as part of a gas distribution system.
  • the gas applied is generally nitrogen but the gas distribution system can, of course, transport any gas to wafer 126 .
  • Springs 108 compress the various components of SPC 100 while allowing freedom of movement and size variations of the various components, including wafer 126 .
  • Wafer 126 is supported on lower hot (or chill) plate 132 by proximity pins 133 .
  • Proximity pins 133 are positioned to keep wafer 126 uniformly distanced from the upper surface of lower hot plate 132 . They may be concentrically arranged or arranged in any other pattern upon the surface of lower hot plate 132 to minimize warping of the wafer during the heating, cooling, and conditioning processes. Precise positioning of the wafer 126 is critical in order to uniformly heat, cool, or otherwise condition wafer 126 . Misalignment or improper distancing of wafer 126 from either lower hot plate 132 or upper hot plate 124 would subject different areas of wafer 126 to different temperature profiles or gradients.
  • hot plate 132 In the case where hot plate 132 is used to heat the wafer, it has electrical heating elements embedded within it. In the case that hot plate 132 is used as a chill plate to cool the wafer, a thermoelectric cooler or any other well known means such as cool liquid passages may be employed. Thus the term hot plate is defined as a temperature controlling plate that may either heat or cool its surroundings.
  • Lift pin assembly 130 is used to place wafer 126 on the proximity pins 133 when the wafer is inserted and also to lift wafer 126 from the proximity pins 133 when the wafer is removed from SPC 100 .
  • Exhaust ring 128 restricts and controls the flow of exhaust exiting from the edge of hot plate 132 within SPC 100 . Different levels of exhaust restriction can be tailored for different processing applications. Exhaust ring 128 assures uniformity of flow around the annular exhaust opening formed between exhausting 128 and hot plate 132 . With the input and exhaust rate controlled, SPC 100 provides for radial adjustment and control of the gas flow rate over the surface of wafer 126 . Distribution of the gas will now be described in further detail with regard to FIG. 5.
  • FIG. 5 shows flow channel plate (“FCP”) 112 of manifold 111 .
  • Cover plate 110 (not shown) seals against the topside of FCP 112 .
  • cover plate 110 makes direct contact with seal ring 113 and planar contact area 122 .
  • an additional gasket can be included between FCP 112 and cover plate 110 .
  • Gas arrives from gas transport tubes 106 through cover plate 110 into an annular channel formed by distribution ring 114 , which is a recessed portion of FCP 112 , and cover plate 110 .
  • FCP 112 is preferably formed by etching a piece of metal, or metal foil, although many other well know metal-working methods may be employed.
  • Distribution ring 114 is recessed relative to seal ring 113 . Gas flows through the annular channel above distribution ring 114 through various distribution channels 116 to different areas of the surface of wafer 126 (not shown). Annular channel 114 ranges from 2 mm to 2 cm in width and from 0.2 mm to 5 mm in depth. Distribution channels 116 each have a feed passage 117 and a laminar flow passage (“LFP”) 118 . The laminar flow passages 118 assure constant and evenly distributed flow upon the surface of the wafer.
  • LFP laminar flow passage
  • the LFPs 118 are tailored to optimize the flow distribution for a range of applications.
  • the depth of distribution channels 116 may range from about 50 microns to about 800 microns and is preferably 150 microns.
  • Feed passages 117 transport the gas from distribution ring 114 to LFPs 118 .
  • At the end of each distribution channel is gas delivery cavity 120 .
  • Each gas delivery cavity 120 is aligned with a gas passage through upper hot plate 124 .
  • gas delivery cavities 120 which are fabricated to a much greater depth than the laminar flow passages to accommodate condensed contaminates protect laminar flow passages 118 from contaminants and any resultant clogging or flow impediment.
  • FCP 112 is in direct contact and in close proximity with upper hot plate 124 .
  • FCP 112 is at nearly the same temperature as upper hot plate 124 .
  • the gas flowing through manifold 111 will be heated or cooled to roughly the same temperature as the wafer.
  • the gas also passes directly through passages in upper hot plate 124 , thus further assuring that the gas temperature arrives at a temperature of SPC 100 very near to the temperature at or near the surface of wafer 126 .
  • FIGS. 6 - 8 are cross sections of SPC 100 in various stages of operation.
  • FIG. 6 shows SPC 100 open with wafer 126 in an elevated position. Wafer 126 is inserted onto lift pin assembly 130 , which is in the up position.
  • lift pin assembly 130 has lowered wafer 126 onto proximity pins 133 on lower hot plate 132 .
  • Upper hot plate 124 is in an up position as are flow channel plate 112 and cover plate 110 of manifold 111 .
  • One or both of hot (chill) plates 124 and 134 may be active in this stage in order to heat or cool wafer 126 .
  • the gas may be flowing or may be turned off. Note the gap between the upper hot plate 124 and wafer 126 .
  • upper hot plate 124 has been lowered to the down position such that upper proximity pins 125 are in contact with lower hot plate 132 .
  • the temperature of wafer 126 may be controlled in many ways, and the temperature gradient within SPC 100 may also be adjusted by modulating hot plates 124 and 132 together or independently. By activating both the upper hot plate 124 and the lower hot plate 132 , the gradient in SPC 100 is greatly minimized compared to prior art designs only having a lower hotplate. Furthermore, with the use of extending upper proximity pins 125 , the distance between the upper and lower hot plates can be altered in process to provide maximum flexibility and adjustability to the temperature profile.
  • the temperature schedules of the hot plates can also be programmed to individually vary with time during any given temperature cycle or profile. Generally speaking, the ramp time to a given temperature can be cut in half with the dual hot plate design of the current invention, in comparison the prior art designs. The ramp rate can also be much more precisely controlled compared to prior designs.
  • Gas can be applied to the wafer with upper hot plate 124 in the up or down position as seen in FIGS. 7 and 8. Also, in the cross sections of FIGS. 6 - 8 , the gas passages 127 through upper hot plate 124 may be seen. As the gas flows through manifold 111 and gas passages 127 it is heated to the temperature of the upper hot plate 124 . As mentioned previously, the temperature of upper hot plate 124 may be independently manipulated. Thus, the temperature of the gas distributed may also be heated or cooled to be at a selected temperature in order to produce a desired effect. The relatively long residual time of the gas and the large surface area of the heat transfer elements increases the precision temperature control of the gas, and thus the wafer, in comparison with prior art designs. In addition the control afforded by the laminar flow channels that are dispersed to provide even flow about the surface of the wafer yields better control of the temperature within SPC 100 .
  • SPC 100 can better control the important transitions of chemically amplified resists.
  • chemically amplified resists require differing thermal profiles and energies to activate diffusion, the amplification reaction, and the acid loss reaction.
  • precise temperature control is of the utmost importance so that any reaction is not inadvertently started or affected.
  • the photogenerated acid diffuses through the resist as it catalyzes the deblocking reaction, the acid may otherwise diffuse into unexposed regions and have a significant impact on the quality of the image generated in the resist.
  • An important criteria of the post-exposure bake process (PEB) is optimization of the balance between the relative rates of the diffusion and reaction processes. Because the diffusivity and the reaction rate are both temperature dependent, careful manipulation and monitoring of the thermal history of the resist is critical to the final dimensions of the integrated circuit. The dual heating and cooling system and the precision gas distribution system of the present invention make this possible.

Abstract

A highly dynamic heating and/or chilling chamber for processing semiconductor wafers. The chamber has uniform heat and gas flow distribution in order to minimize the temperature gradient at different points of the wafer.

Description

    BACKGROUND
  • 1. Field of the Invention [0001]
  • The present invention relates generally to semiconductor processing, and relates more specifically to the heating and cooling of wafers used to make integrated circuits. [0002]
  • 2. Related Art [0003]
  • Microelectronic devices are fabricated employing multiple layers of materials formed upon suitable carriers or substrates. Many of the layers of microelectronics materials must be patterned and registered accurately to produce fine dimensions. As circuit density and performance requirements have increased, the tolerances and dimensions of the patterns have become correspondingly smaller. It is common practice to form patterns in layers of microelectronic materials employing photolithography, wherein the layer of material to be patterned is coated with a light sensitive lacquer or photoresist material, which is then exposed to a pattern of light radiation to form the latent image of the pattern in the photoresist material. This latent image is then chemically developed to form a photoresist etch mask of the pattern, which can then be transferred to the underlying material layer by additive or subtractive processes such as etching or other analogous processes. [0004]
  • As feature sizes in the production of integrated circuits approach 100 nm, problems of packing density become increasingly difficult to overcome. The major problem is lithographic exposure tool resolution for exposure of photoresists. Photoresists and the manipulation of photoresists are well known in the art, but a short description of some important issues follows. Photoresists are applied as a thin film coating to a suitable substrate. Upon imagewise exposure of the coated substrate to actinic radiation, the difference in solubility rates between exposed and unexposed areas produces an image on the substrate after development. The uncovered substrate is thereafter subjected to an etching process. Frequently, this involves a plasma etching against which the resist coating must be sufficiently stable. For a positive tone photoresist, the coating protects those areas of the substrate from the etchant which were covered during the exposure, and thus the etchant is only able to etch the areas which were uncovered. The photoresist coating protects the covered areas of the substrate from the etchant and thus the etchant is only able to etch the uncovered areas of the substrate. Thus, a pattern can be created on the substrate which corresponds to the pattern of the mask or template that was used to create selective exposure patterns on the coated substrate prior to development. [0005]
  • The ability to reproduce very small dimensions, is extremely important in the production of large scale integrated circuits on silicon chips and similar components. As the integration degree of semiconductor devices becomes higher, finer photoresist film patterns are required. One way to increase circuit density on such a chip is by increasing the resolution capabilities of the resist. [0006]
  • The optimally obtainable microlithographic resolution is essentially determined by the radiation wavelengths used for the selective irradiation. However the resolution capacity that can be obtained with conventional deep UV microlithography (i.e. 248 nm) has its limits. In order to be able to sufficiently resolve optically small structural elements, e.g. features of 0.13 microns and smaller, radiation of ever shorter wavelengths (particularly 193 nm) is being employed together with chemical amplification resist films. [0007]
  • A typical chemical amplification photoresist film comprises a polymer, a photoacid generator, and other optional additives. The polymer is required to be soluble in the chosen developer solution, and have high thermal stability and low absorbtance to the exposure wavelength in addition to having excellent etch resistance. Chemically amplified photoresists are based on chemically amplified deblocking. With this mechanism, a molecule of photogenerated acid catalyzes the breaking of bonds in a protecting group of a polymer. During the deblocking process, another molecule of the same acid is created as a byproduct, and continues the acid-catalytic deblocking cycle. [0008]
  • Chemically amplified resists require both an exposure dose to generate a latent acid and image and a thermal dose to drive the deblocking reaction that changes the solubility of the resist in developer. Because the photogenerated acid diffuses through the resist as it catalyzes the deblocking reaction, the acid could diffuse into unexposed regions and have a significant impact on the quality of the image generated in the resist. An important criteria of the post-exposure bake process (PEB) is optimization of the balance between the relative rates of the diffusion and reaction processes. Pre diffusion reaction processes may include photo acid loss to the environment. The post diffusion reaction processes are more specifically, the amplification reaction and the acid loss reaction. Because the diffusivity and the reaction rate are both temperature dependent, careful manipulation and monitoring of the thermal history of the resist is critical to the final dimensions of the integrated circuit. The diffusion process, the amplification reaction process, and the acid loss reaction each have different activation energies. The activation energies for diffusion and for the amplification reaction are both high, whereas the activation energy for the acid loss reaction is low in comparison. [0009]
  • Because of this, the ramp or rise time is critical in the formation of dense features. Lines or other features that are densely located may join together in a process known as scumming, whereas isolated lines or features exposed to the same thermal dose will increase linearly and be well resolved. At the beginning of the bake, the acid loss reaction scavenges acid before the wafer reaches a temperature that is hot enough to drive the deblocking reaction. After the hotplate temperature is reached, acid loss, diffusion and amplification occur simultaneously. Delays in reaching the bake temperature can result in substantial acid loss before deblocking can begin, contributing to the aforementioned scumming process. For more information please refer to an article by Mark D. Smith which is hereby incorporated by reference in its entirety, entitled “Modeling the impact of thermal history during post exposure bake on the lithographic performance of chemically amplified resists,” proceeding of SPIE, Vol. 4345, 1013-1021, 2001, Advances in Resist Technology and Processing XVIII. [0010]
  • FIGS. [0011] 1-3 illustrate a prior art oven used for post-exposure baking of silicon wafers. FIG. 1 is an exploded view of a prior art oven illustrating a top enclosure 20, gas inlet 22, showerhead 24, wafer 28, hot plate 32 with proximity pins 34, lift off pins 36 and bottom enclosure 40. FIG. 2 is a cross section of the prior art oven shown in FIG. 1 in the open position, with wafer 28 elevated from the surface of hotplate 32 and proximity pins 34. FIG. 3 is a cross section of the prior art oven shown in FIG. 1 in a closed potion, with the wafer 28 upon proximity pins 34 of hot plate 32. Showerhead 24 has passages to distribute the gas arriving from gas inlet 22. One example of such an oven is manufactured by Tokyo Electron Corporation (TEC) of Kumamoto, Japan.
  • Generally the proximity pins raise wafer [0012] 28 about 100-150 microns off of the surface of hot plate 32. In practice, wafer 28 cannot be made or maintained during prior processing perfectly flat, and there are differences in the degree of flatness from wafer to wafer. Because of the vertical temperature gradient within the oven, even small variations in flatness can result in a relatively large disparity in the temperature at different points across wafer 28. For example, if the wafer is concave such that the ends are further from hotplate 32 than the middle, the middle portion will be hotter than the ends. This variation may result in a rise time that differs by a factor of two at different areas of the wafer in the prior art design, and is thus detrimental to precision activation and control of the diffusion and reaction processes.
  • SUMMARY
  • One aspect of the present invention is a system for varying the temperature of a wafer comprising a first temperature controlled plate. A second temperature controlled plate has proximity pins, and the wafer is located between the first and second temperature controlled plates. The distance of the wafer from the first temperature controlled plate is maintained by proximity pins. The distance of the wafer from the second temperature controlled plate can be maintained in any number of ways but is preferably maintained by the proximity pins of the second hot plate. An enclosure surrounds the first and second temperature controlled plates and the wafer, and the enclosure comprises a gas input and output. A heat conducting gas flows from the input past the wafer and to the output. [0013]
  • Another aspect of the present invention involves a method of conditioning a wafer having a first and a second side within a chamber. The method comprises heating or cooling the wafer from the first side, heating or cooling the wafer from the second side, and applying a gas to the wafer, the gas distributed through a plurality of passages such that the gas flow is controlled and is substantially laminar and spatially distributed. [0014]
  • Yet another aspect of the invention is a device for controlling the temperature of a wafer comprising a temperature control element, and a gas distribution system configured to distribute gas about a surface of the wafer, the gas distribution system comprising a plurality of flow paths, each of the plurality of flow paths comprising a laminar flow element. Within the device a wafer is located between the gas distribution system and the temperature control element. [0015]
  • Other aspects and advantages of the present invention will become apparent from the following descriptions and accompanying drawings[0016]
  • BRIEF DESCRIPTION OF THE FIGURES
  • The present invention may be better understood, and its numerous features and advantages made apparent by referencing the accompanying figures. For simplicity and ease of understanding, common numbering of elements within the illustrations is employed where an element is the same in different figures. [0017]
  • FIG. 1 is an exploded view of a prior art oven. [0018]
  • FIG. 2 is a cross section of the oven shown in FIG. 1. [0019]
  • FIG. 3 is a cross section of the oven shown in FIG. 1. [0020]
  • FIG. 4 is an exploded view of semiconductor processing chamber (“SPC”) [0021] 100.
  • FIG. 5 is a perspective view of [0022] flow channel plate 112.
  • FIG. 6 is a cross section of [0023] SPC 100 in an open position.
  • FIG. 7 is a cross section of [0024] SPC 100 in a closed position.
  • FIG. 8 is a cross section of [0025] SPC 100 in a closed position.
  • DETAILED DESCRIPTION
  • The following is a detailed description of illustrative embodiments of the present invention. As these embodiments of the present invention are described with reference to the aforementioned drawings, various modifications or adaptations of the methods and or specific structures described may become apparent to those skilled in the art. All such modifications, adaptations, or variations that rely upon the teachings of the present invention, and through which these teachings have advanced the art, are considered to be within the scope of the present invention. Hence, these descriptions and drawings are not to be considered in a limiting sense, as it is understood that the present invention is in no way limited to the embodiments illustrated. [0026]
  • FIG. 4 illustrates an exploded view of semiconductor processing chamber (“SPC”) [0027] 100. SPC 100 may be used to heat or cool a silicon wafer or other substrate in addition to supplying other process needs such as gas distribution and vapor removal. SPC 100 has many applications in the field of silicon wafer processing. One such application is in the post-exposure bake process described earlier. Other applications will be readily apparent to those skilled in the art.
  • [0028] Silicon wafer 126 is conditioned within upper housing 104 and lower housing 134. Although SPC 100 may be used to cool the wafer as well as heat it and condition it in other ways, it may be referred to as an oven.
  • [0029] Mechanical assembly 102 drives the opening and closing of SPC 100, i.e. it brings together or separates the upper housing 104 and lower housing 134 to close or open SPC 100. Gas transport tubes 106 a and 106 b are attached to upper housing 104 and cover plate 110 respectively. Gas transport tubes 106 route an incoming gas used in the conditioning process to flow manifold 111 which is formed by cover plate 110 and flow channel plate 112. Gas flows through manifold 111 through upper hot (or chill) plate 124 which functions as part of a gas distribution system. The gas applied is generally nitrogen but the gas distribution system can, of course, transport any gas to wafer 126. Springs 108 compress the various components of SPC 100 while allowing freedom of movement and size variations of the various components, including wafer 126.
  • [0030] Wafer 126 is supported on lower hot (or chill) plate 132 by proximity pins 133. Proximity pins 133 are positioned to keep wafer 126 uniformly distanced from the upper surface of lower hot plate 132. They may be concentrically arranged or arranged in any other pattern upon the surface of lower hot plate 132 to minimize warping of the wafer during the heating, cooling, and conditioning processes. Precise positioning of the wafer 126 is critical in order to uniformly heat, cool, or otherwise condition wafer 126. Misalignment or improper distancing of wafer 126 from either lower hot plate 132 or upper hot plate 124 would subject different areas of wafer 126 to different temperature profiles or gradients. Even minimal differences in the temperature profile that a wafer may be subjected to during the conditioning process can have major effects on the line width and circuit formation of integrated circuits being formed on/in wafer 126. In the case where hot plate 132 is used to heat the wafer, it has electrical heating elements embedded within it. In the case that hot plate 132 is used as a chill plate to cool the wafer, a thermoelectric cooler or any other well known means such as cool liquid passages may be employed. Thus the term hot plate is defined as a temperature controlling plate that may either heat or cool its surroundings.
  • [0031] Lift pin assembly 130 is used to place wafer 126 on the proximity pins 133 when the wafer is inserted and also to lift wafer 126 from the proximity pins 133 when the wafer is removed from SPC 100.
  • [0032] Exhaust ring 128 restricts and controls the flow of exhaust exiting from the edge of hot plate 132 within SPC 100. Different levels of exhaust restriction can be tailored for different processing applications. Exhaust ring 128 assures uniformity of flow around the annular exhaust opening formed between exhausting 128 and hot plate 132. With the input and exhaust rate controlled, SPC 100 provides for radial adjustment and control of the gas flow rate over the surface of wafer 126. Distribution of the gas will now be described in further detail with regard to FIG. 5.
  • FIG. 5 shows flow channel plate (“FCP”) [0033] 112 of manifold 111. Cover plate 110 (not shown) seals against the topside of FCP 112. Specifically, cover plate 110 makes direct contact with seal ring 113 and planar contact area 122. Alternatively, an additional gasket can be included between FCP 112 and cover plate 110. Gas arrives from gas transport tubes 106 through cover plate 110 into an annular channel formed by distribution ring 114, which is a recessed portion of FCP 112, and cover plate 110. FCP 112 is preferably formed by etching a piece of metal, or metal foil, although many other well know metal-working methods may be employed. Additionally, although a metal such as nickel or stainless steel is preferred in order to avoid contamination of the wafer, any other material known in the art may be employed to form manifold 111. Distribution ring 114 is recessed relative to seal ring 113. Gas flows through the annular channel above distribution ring 114 through various distribution channels 116 to different areas of the surface of wafer 126 (not shown). Annular channel 114 ranges from 2 mm to 2 cm in width and from 0.2 mm to 5 mm in depth. Distribution channels 116 each have a feed passage 117 and a laminar flow passage (“LFP”) 118. The laminar flow passages 118 assure constant and evenly distributed flow upon the surface of the wafer. The LFPs 118 are tailored to optimize the flow distribution for a range of applications. Generally speaking, the depth of distribution channels 116 may range from about 50 microns to about 800 microns and is preferably 150 microns. For more information on laminar flow passages please refer to U.S. Pat. No. 4,685,331 to Renken et al., entitled “Thermal Mass Flow Meter and Controller,” which is hereby incorporated by this reference in its entirety. Feed passages 117 transport the gas from distribution ring 114 to LFPs 118. At the end of each distribution channel is gas delivery cavity 120. Each gas delivery cavity 120 is aligned with a gas passage through upper hot plate 124.
  • Any solvents or contaminants that are present within [0034] SPC 100, for instance those that may originate or evaporate from wafer 126 during the post-exposure bake and travel through the passages in upper hot plate 124, will condense and/or accumulate in gas delivery cavities 120. Thus, gas delivery cavities 120, which are fabricated to a much greater depth than the laminar flow passages to accommodate condensed contaminates protect laminar flow passages 118 from contaminants and any resultant clogging or flow impediment.
  • [0035] FCP 112 is in direct contact and in close proximity with upper hot plate 124. Thus, FCP 112 is at nearly the same temperature as upper hot plate 124. Depending on whether the upper hot (chill) plate is acting to heat or cool the wafer, the gas flowing through manifold 111 will be heated or cooled to roughly the same temperature as the wafer. Furthermore, the gas also passes directly through passages in upper hot plate 124, thus further assuring that the gas temperature arrives at a temperature of SPC 100 very near to the temperature at or near the surface of wafer 126. Because of the large temperature controlled surface area used for gas transport, the relatively long presence time of the gas in contact with temperature controlled elements, and the direct passage of the gas through the temperature controlled elements, heat is efficiently transferred to the gas. In the fabrication of very small integrated circuits having, for example, line widths of 0.18 microns and smaller, this is a distinct advantage over the prior art, because the precise control of the temperature at the surface of the wafer directly impacts the relative rates of the diffusion and reaction processes, and thus the line width of the integrated circuits.
  • FIGS. [0036] 6-8 are cross sections of SPC 100 in various stages of operation. FIG. 6 shows SPC 100 open with wafer 126 in an elevated position. Wafer 126 is inserted onto lift pin assembly 130, which is in the up position. In FIG. 7, lift pin assembly 130 has lowered wafer 126 onto proximity pins 133 on lower hot plate 132. Upper hot plate 124 is in an up position as are flow channel plate 112 and cover plate 110 of manifold 111. One or both of hot (chill) plates 124 and 134 may be active in this stage in order to heat or cool wafer 126. In addition, the gas may be flowing or may be turned off. Note the gap between the upper hot plate 124 and wafer 126.
  • In FIG. 8, upper [0037] hot plate 124 has been lowered to the down position such that upper proximity pins 125 are in contact with lower hot plate 132. The temperature of wafer 126 may be controlled in many ways, and the temperature gradient within SPC 100 may also be adjusted by modulating hot plates 124 and 132 together or independently. By activating both the upper hot plate 124 and the lower hot plate 132, the gradient in SPC 100 is greatly minimized compared to prior art designs only having a lower hotplate. Furthermore, with the use of extending upper proximity pins 125, the distance between the upper and lower hot plates can be altered in process to provide maximum flexibility and adjustability to the temperature profile. The temperature schedules of the hot plates can also be programmed to individually vary with time during any given temperature cycle or profile. Generally speaking, the ramp time to a given temperature can be cut in half with the dual hot plate design of the current invention, in comparison the prior art designs. The ramp rate can also be much more precisely controlled compared to prior designs.
  • Furthermore, because the heat is applied to the wafer from both sides, flatness variations (warping) are better accommodated than with prior designs such as that of FIGS. [0038] 1-3. For example, if the wafer is warped such that the ends of the wafer are further from the lower hot plate 132 than the center portion of the wafer, those same ends will be nearer to upper hot plate 124. The warp of a 200 mm wafer can be up to about 75 microns. In other words, the reduced heat gradient between the lower and upper hot plates 124 and 132 minimizes the temperature difference that imperfect wafers would otherwise be subjected to. This, intern, leads to more precise integrated circuit formation.
  • Gas can be applied to the wafer with upper [0039] hot plate 124 in the up or down position as seen in FIGS. 7 and 8. Also, in the cross sections of FIGS. 6-8, the gas passages 127 through upper hot plate 124 may be seen. As the gas flows through manifold 111 and gas passages 127 it is heated to the temperature of the upper hot plate 124. As mentioned previously, the temperature of upper hot plate 124 may be independently manipulated. Thus, the temperature of the gas distributed may also be heated or cooled to be at a selected temperature in order to produce a desired effect. The relatively long residual time of the gas and the large surface area of the heat transfer elements increases the precision temperature control of the gas, and thus the wafer, in comparison with prior art designs. In addition the control afforded by the laminar flow channels that are dispersed to provide even flow about the surface of the wafer yields better control of the temperature within SPC 100.
  • The precise temperature control and fast dynamic response of [0040] SPC 100 are assets in many operations. In particular, SPC 100 can better control the important transitions of chemically amplified resists. As mentioned previously, chemically amplified resists require differing thermal profiles and energies to activate diffusion, the amplification reaction, and the acid loss reaction. Thus precise temperature control is of the utmost importance so that any reaction is not inadvertently started or affected. Because the photogenerated acid diffuses through the resist as it catalyzes the deblocking reaction, the acid may otherwise diffuse into unexposed regions and have a significant impact on the quality of the image generated in the resist. An important criteria of the post-exposure bake process (PEB) is optimization of the balance between the relative rates of the diffusion and reaction processes. Because the diffusivity and the reaction rate are both temperature dependent, careful manipulation and monitoring of the thermal history of the resist is critical to the final dimensions of the integrated circuit. The dual heating and cooling system and the precision gas distribution system of the present invention make this possible.
  • While embodiments of the present invention have been shown and described, changes and modifications to these illustrative embodiments can be made without departing from the present invention in its broader aspects. Thus it should be evident that there are other embodiments of this invention which, while not expressly described above, are within the scope of the present invention. Therefore, it will be understood that the appended claims necessarily encompass all such changes and modifications as fall within the described invention's true scope; and further that this scope is not limited merely to the illustrative embodiments presented to demonstrate that scope. [0041]

Claims (25)

1. A system for varying the temperature of a wafer comprising:
a first temperature controlled plate;
a second temperature controlled plate comprising proximity pins, the wafer located between the first and second temperature controlled plates and distanced from the second temperature controlled plate by the proximity pins; and
an enclosure surrounding the first and second temperature controlled plates and the wafer, the enclosure comprising a gas input and output, the gas flowing from the input past the wafer and to the output.
2. The system of claim 1 wherein the first temperature controlled plate further comprises proximity pins, the proximity pins configured to distance the wafer from the first temperature controlled plate.
3. The system of claim 2 wherein the proximity pins are moveable such that the distance of the first and second temperature controlled plate from the wafer may be varied.
4. The system of claim 1 further comprising a flow distribution manifold configured to distribute the gas upon the wafer.
5. The system of claim 4 wherein the flow distribution manifold comprises laminar flow paths, each laminar flow path comprising a laminar flow element controlling the flow rate of said flow path.
6. The system of claim 5 wherein the laminar flow element comprises a channel formed in a substrate.
7. The system of claim 5 wherein each of the laminar flow paths further comprise a cavity such that any contaminants or solvents that may be present in the enclosure and that may enter the flow paths will accumulate in the cavity rather than in the laminar flow elements.
8. The system of claim 4 wherein the flow distribution manifold is in contact with the first temperature controlled plate, and wherein the gas distributed is at substantially the same temperature as the first temperature controlled plate.
9. The system of claim 8 wherein the first temperature control plate comprises flow channels and wherein the gas flows from the manifold and through the channels to the wafer.
10. The system of claim 1 further comprising a gas output flow regulator.
11. A device for controlling the temperature of a wafer comprising:
a temperature control element; and
a gas distribution system configured to distribute gas at different points about a surface of the wafer, the gas distribution system comprising a plurality of flow paths, each of the plurality of flow paths comprising a laminar flow element,
wherein the wafer is located between the gas distribution system and the temperature control element.
12. The device of claim 11 wherein the gas distribution system is temperature controlled thereby providing substantially uniform temperature distribution and gas flow distribution across the surface of the wafer.
13. The device of claim 11 further comprising an exhaust system configured to regulate the exhaust flow rate of the gas.
14. The device of claim 11 wherein the gas distribution system comprises one or more heating and cooling elements.
15. The device of claim 12 wherein the gas distribution system and the temperature control element can be adjusted to different temperatures in order to vary the temperature gradient within the device.
16. A method of conditioning a wafer having a first and a second side within a chamber, the method comprising:
heating or cooling the wafer from the first side;
heating or cooling the wafer from the second side;
applying gas to the first side of the wafer, the gas distributed through a plurality of passages such that the gas flow is substantially laminar.
17. The method of claim 16 further comprising heating or cooling the gas such that the gas is heated or cooled to substantially the same temperature as the first side of the wafer.
18. A post exposure bake chamber comprising:
a first heating plate;
a second heating plate;
the first and second heating plates configured to heat a wafer placed between the plates, the wafer spaced from the first and second heating plates by proximity pins.
19. The post exposure bake chamber of claim 18 further comprising a flow control system having distributed gas flow paths and one or more flow control elements regulating the gas flow rate through the gas flow paths.
20. The post exposure bake chamber of claim 19 wherein the flow control system is in contact with the first heating plate such that the gas is heated by the first heating plate.
21. The post exposure bake chamber of claim 19 wherein the gas passes from the flow control system through passages in the first heating plate to the wafer.
22. The post exposure bake chamber of claim 19 wherein the flow control system comprises a flow channel plate, the one or more flow control elements formed in the flow channel plate.
23. A wafer conditioning chamber comprising:
a first means for changing the temperature of the wafer at a first side of the wafer;
a second means for changing the temperature of the wafer at a second side of the wafer; and
a gas distribution means for distributing a gas at a controlled flow rate at a plurality of locations upon the first or second side of the wafer.
24. The wafer conditioning chamber of claim 23 wherein the gas temperature is manipulated by the first or second means for changing the temperature of the wafer.
25. The wafer conditioning chamber of claim 24 wherein the gas distribution means comprises flow control means for controlling the flow rate of the gas.
US10/100,934 2002-03-18 2002-03-18 System and method for heating and cooling wafer at accelerated rates Abandoned US20030173346A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/100,934 US20030173346A1 (en) 2002-03-18 2002-03-18 System and method for heating and cooling wafer at accelerated rates
TW092105381A TWI304997B (en) 2002-03-18 2003-03-12 System and method for heating and cooling wafer at accelerated rates
AU2003225878A AU2003225878A1 (en) 2002-03-18 2003-03-18 System and method for heating and cooling wafer at accelerated rates
PCT/US2003/008464 WO2003081646A2 (en) 2002-03-18 2003-03-18 System and method for heating and cooling wafer at accelerated rates
JP2003579268A JP2005521260A (en) 2002-03-18 2003-03-18 System and method for heating and cooling a wafer at an accelerated rate
US10/619,731 US7156924B2 (en) 2002-03-18 2003-07-15 System and method for heating and cooling wafer at accelerated rates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/100,934 US20030173346A1 (en) 2002-03-18 2002-03-18 System and method for heating and cooling wafer at accelerated rates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/619,731 Continuation-In-Part US7156924B2 (en) 2002-03-18 2003-07-15 System and method for heating and cooling wafer at accelerated rates

Publications (1)

Publication Number Publication Date
US20030173346A1 true US20030173346A1 (en) 2003-09-18

Family

ID=28039929

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/100,934 Abandoned US20030173346A1 (en) 2002-03-18 2002-03-18 System and method for heating and cooling wafer at accelerated rates
US10/619,731 Expired - Fee Related US7156924B2 (en) 2002-03-18 2003-07-15 System and method for heating and cooling wafer at accelerated rates

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/619,731 Expired - Fee Related US7156924B2 (en) 2002-03-18 2003-07-15 System and method for heating and cooling wafer at accelerated rates

Country Status (5)

Country Link
US (2) US20030173346A1 (en)
JP (1) JP2005521260A (en)
AU (1) AU2003225878A1 (en)
TW (1) TWI304997B (en)
WO (1) WO2003081646A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050149886A1 (en) * 2003-12-29 2005-07-07 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US20070138136A1 (en) * 2005-12-16 2007-06-21 Jason Plumhoff Method for etching photolithographic substrates
US20070251456A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
US20090142907A1 (en) * 2007-11-30 2009-06-04 Oki Semiconductor Co., Ltd. Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US20110222033A1 (en) * 2010-03-12 2011-09-15 Asml Netherlands B.V. Lithographic apparatus and method
US20120309179A1 (en) * 2011-06-02 2012-12-06 Tokyo Ohka Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004021392A1 (en) * 2004-04-30 2005-12-01 Infineon Technologies Ag Hotplate for processing semiconductor wafers has means to heat the chamber surface above the wafer to prevent condensation of evaporated material
KR100601979B1 (en) * 2004-12-30 2006-07-18 삼성전자주식회사 Baking apparatus for semiconductor wafer
JP2007150132A (en) * 2005-11-30 2007-06-14 Toshiba Mitsubishi-Electric Industrial System Corp Equalizer
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
JP4805741B2 (en) * 2006-07-14 2011-11-02 Okiセミコンダクタ株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US8581153B2 (en) * 2008-09-30 2013-11-12 Tokyo Electron Limited Method of detecting abnormal placement of substrate, substrate processing method, computer-readable storage medium, and substrate processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101653335B1 (en) * 2014-08-05 2016-09-02 피에스케이 주식회사 Cooling unit, apparatus and method for treating substrate including the same
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10782262B2 (en) * 2016-07-09 2020-09-22 Electrawatch, Inc. Non-destructive, localized heat treatment device capable of attachment to non-planar surfaces
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) * 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11586113B2 (en) 2018-06-15 2023-02-21 Mattson Technology, Inc Methods and apparatus for post exposure bake processing of a workpiece
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
US5872889A (en) * 1996-04-12 1999-02-16 Steag Ast Apparatus and method for rapid thermal processing
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
US6097005A (en) * 1998-08-20 2000-08-01 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4685331A (en) 1985-04-10 1987-08-11 Innovus Thermal mass flowmeter and controller
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
DE4407377C2 (en) * 1994-03-05 1996-09-26 Ast Elektronik Gmbh Reaction chamber of a rapid heating system for the short-term tempering of semiconductor wafers and method for rinsing the reaction chamber
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08260158A (en) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd Substrate treating device
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
KR100387549B1 (en) * 1995-11-28 2003-08-19 동경 엘렉트론 주식회사 Method and device for treating semiconductor with treating gas while substrate is heated
JP3551609B2 (en) * 1996-02-23 2004-08-11 東京エレクトロン株式会社 Heat treatment equipment
US6111225A (en) * 1996-02-23 2000-08-29 Tokyo Electron Limited Wafer processing apparatus with a processing vessel, upper and lower separately sealed heating vessels, and means for maintaining the vessels at predetermined pressures
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
KR100697468B1 (en) * 1999-02-04 2007-03-20 스티그 알티피 시스템즈 게엠베하 Cooled showerhead for rapid thermal processingrtp system
FR2792084A1 (en) * 1999-04-12 2000-10-13 Joint Industrial Processors For Electronics INTEGRATED HEATING AND COOLING DEVICE IN A HEAT TREATMENT REACTOR OF A SUBSTRATE
US6416318B1 (en) * 1999-06-16 2002-07-09 Silicon Valley Group, Inc. Process chamber assembly with reflective hot plate and pivoting lid
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3589929B2 (en) * 2000-02-22 2004-11-17 東京エレクトロン株式会社 Heat treatment equipment
JP4849705B2 (en) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 Plasma processing apparatus, plasma generation introducing member, and dielectric
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
US5872889A (en) * 1996-04-12 1999-02-16 Steag Ast Apparatus and method for rapid thermal processing
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
US6097005A (en) * 1998-08-20 2000-08-01 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US20050149886A1 (en) * 2003-12-29 2005-07-07 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US20070138136A1 (en) * 2005-12-16 2007-06-21 Jason Plumhoff Method for etching photolithographic substrates
US7749400B2 (en) 2005-12-16 2010-07-06 Jason Plumhoff Method for etching photolithographic substrates
US20070251456A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
US20090142907A1 (en) * 2007-11-30 2009-06-04 Oki Semiconductor Co., Ltd. Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US11281115B2 (en) 2010-03-12 2022-03-22 Asml Netherlands B.V. Lithographic apparatus and method
US20110222033A1 (en) * 2010-03-12 2011-09-15 Asml Netherlands B.V. Lithographic apparatus and method
US20110222032A1 (en) * 2010-03-12 2011-09-15 Asml Netherlands B.V. Lithographic apparatus and method
US11630399B2 (en) 2010-03-12 2023-04-18 Asml Netherlands B.V. Lithographic apparatus and method
US9632435B2 (en) 2010-03-12 2017-04-25 Asml Netherlands B.V. Lithographic apparatus and method
US10551752B2 (en) 2010-03-12 2020-02-04 Asml Netherlands B.V. Lithographic apparatus and method
US20120309179A1 (en) * 2011-06-02 2012-12-06 Tokyo Ohka Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
WO2021239589A1 (en) * 2020-05-27 2021-12-02 Asml Netherlands B.V. Conditioning device and corresponding object handler, lithographic apparatus and conditioning method
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus

Also Published As

Publication number Publication date
WO2003081646A2 (en) 2003-10-02
TWI304997B (en) 2009-01-01
US20040056017A1 (en) 2004-03-25
AU2003225878A1 (en) 2003-10-08
TW200305916A (en) 2003-11-01
JP2005521260A (en) 2005-07-14
US7156924B2 (en) 2007-01-02
WO2003081646A3 (en) 2004-03-18

Similar Documents

Publication Publication Date Title
US7156924B2 (en) System and method for heating and cooling wafer at accelerated rates
JP2011108731A (en) Photoresist coating and developing device, substrate transfer method, and interface device
US7377776B2 (en) Semiconductor wafer baking apparatus
US6495802B1 (en) Temperature-controlled chuck and method for controlling the temperature of a substantially flat object
US6605814B1 (en) Apparatus for curing resist
KR100274081B1 (en) Resist pattern forming method and resist pattern forming apparatus
US6613487B1 (en) Pre-alignment system of exposure apparatus having wafer cooling means and exposure method using the same
JP5269743B2 (en) Semiconductor manufacturing process and apparatus therefor
US7384595B2 (en) Heat-treating apparatus and heat-treating method
JPH07142356A (en) Resist pattern forming method and resist pattern forming system used therefor
US20080149597A1 (en) Temperature Control Method for Photolithographic Substrate
US7170578B2 (en) Pattern control system
US20230359128A1 (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
JP2006245505A (en) Method of manufacturing semiconductor device, and semiconductor manufacturing apparatus
KR100301058B1 (en) UV bake equipment applicable of photoresist flow
KR100598086B1 (en) Apparatus for baking resist on semiconductor wafer
TW202407845A (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
JPS56112732A (en) Exposure device
KR20230086958A (en) Wafer baking apparatus
KR20050029802A (en) Baking apparatus for semiconductor wafer
Schaper et al. Applications of control and signal processing to the microlithographic process
KR20030067198A (en) Apparatus for baking resist on semiconductor wafers
Kozman Characterization and improvement of temperature and critical dimension uniformity in a microlithography cluster: an analytical and experimental study
KR20000059674A (en) Apparatus for baking resist on semiconductor wafers
KR20000033314A (en) Photo apparatus for manufacturing semiconductor device and method therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: SENSARRAY CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:RENKEN, WAYNE;REEL/FRAME:012725/0353

Effective date: 20020315

AS Assignment

Owner name: SENSARRAY CORPORATION, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR'S NAME AND EXECUTION DATE PREVIOULSY RECORDED ON REEL 012725, FRAME 0353;ASSIGNOR:RENKEN, WAYNE GLENN;REEL/FRAME:014047/0003

Effective date: 20020318

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION