US20030126414A1 - Processing partial register writes in an out-of order processor - Google Patents

Processing partial register writes in an out-of order processor Download PDF

Info

Publication number
US20030126414A1
US20030126414A1 US10/038,036 US3803602A US2003126414A1 US 20030126414 A1 US20030126414 A1 US 20030126414A1 US 3803602 A US3803602 A US 3803602A US 2003126414 A1 US2003126414 A1 US 2003126414A1
Authority
US
United States
Prior art keywords
values
predicate
registers
instruction
read
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/038,036
Inventor
Edward Grochowski
Jared Stark
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US10/038,036 priority Critical patent/US20030126414A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GROCHOWSKI, EDWARD T., STARK, JARED W.
Publication of US20030126414A1 publication Critical patent/US20030126414A1/en
Priority to US10/888,052 priority patent/US7380111B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30072Arrangements for executing specific machine instructions to perform conditional operations, e.g. using predicates or guards
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling

Definitions

  • the present invention relates to an out-of-order processor. More particularly, the present invention relates to processing partial register writes in such an out-of-order processor.
  • Microprocessors often use instruction pipelining to increase instruction throughput.
  • An instruction pipeline processes several instructions through different stages of instruction execution concurrently, using an assembly line-type approach.
  • these instructions may be executed in a dynamically scheduled (e.g., out-of-order) processor.
  • an instruction may include a “qualifying predicate”.
  • the value of the qualifying predicate determines whether the instruction is executed or not.
  • Itanium Architecture uses 64 predicate registers.
  • FIG. 1 illustrates a technique that provides a solution to a “partial writes” problem according to an embodiment of the present invention.
  • FIG. 2 illustrates a similar technique involved in processing parallel compares, such as a ‘cmp.eq.and’ instruction, according to another embodiment of the present invention.
  • FIGS. 3A and 3B is a flowchart of a method for processing of registers in an out-of-order processor in accordance with an embodiment of the present invention.
  • FIG. 4 is a block diagram of a processor-based system which may execute codes residing on the computer readable medium.
  • the present invention describes embodiments for effectively configuring the maintenance of values for the predicate register file.
  • the present embodiments provide techniques for verifying the validity of predicate prediction and maintaining architecturally correct predicates in the predicate register file. Consequently, for purposes of illustration and not for purposes of limitation, the exemplary embodiments of the invention are described in a manner consistent with such use, though clearly the invention is not so limited.
  • Embodiments of the present invention provide for processing of partial register writes in a dynamically scheduled (e.g., out-of-order) processor.
  • the processing is performed in accordance with the Itanium architecture definition.
  • the registers may include predicate registers and/or Not-a-Thing (NaT) registers.
  • the NaT register is used to assemble groups of NaT bits into a single 64-bit quantity for transfer to memory, and to disassemble a 64-bit quantity from memory into individual NaT bits.
  • the first issue involves handling dependencies between a producer instruction and a consumer instruction.
  • the producer instruction writes to the registers (e.g., predicate registers), while the consumer instruction reads the contents of the registers.
  • the second issue involves determining how to compute and maintain architecturally correct values for the registers.
  • Table 1 illustrates the first issue in which the instruction 012 is dependent on the instruction 011.
  • the ‘cmp.eq’ instruction 011 is a producer of predicate registers, p3 and p4.
  • this instruction 011 sets the predicate registers, p3 and p4, according to the result of the compare between registers, r1 and r2.
  • the ‘mov’ instruction 012 is a consumer of the predicate register, p4.
  • this instruction 012 is conditionally executed depending on the value of the predicate register, p4.
  • the above-described dependency may be handled with a variety of techniques.
  • One technique is to apply a history-based branch prediction. This technique operates to construct a history or pattern table that relies on the past history of the branch to determine the prediction.
  • the technique may be applied to the processor's front-end pipeline to predict the value of the predicate register p4, to remove the dependency between the two instructions 011, 012.
  • the past history of the compare instruction 011 is used to predict the value of predicate registers p3 and p4 before the instruction 011 is executed. If the prediction results in the predicate p4 being assigned the value of TRUE, the instruction 012 may be speculatively executed concurrently with instruction 011. Otherwise, if the prediction results in the predicate p4 being assigned the value of FALSE, the instruction 012 is “no-op-ed” (i.e., not executed).
  • the predicted value is compared against the architecturally correct value subsequent to the speculative execution of instructions based on the history-based prediction of predicates. If the predicted value is different from the architectural value, the sequence is replayed to execute the desired instructions. However, qualifying predicates are the only dependencies removed by the history-based predictor. Thus, the ‘mov-from-pr’ instruction reads the architectural predicate register rather than using the predictor and is scheduled with an explicit dependency.
  • the second issue involves determining how to compute and provide architecturally correct values for the registers in an out-of-order processor, particularly, in accordance with the Itanium architecture definition.
  • the architectural predicate values are used to verify the predicted value from the front-end, and to provide the source operand for ‘move-from-pr’ instruction.
  • Table 2 shows different Itanium instructions that write to 1, 2, 48, or up to 63 predicate registers at once. Hence, Table 2 illustrates the need to update some bits of a register file while leaving other bits unchanged. This is sometimes referred to as the “partial writes” problem.
  • executing the instructions in Table 2 at relatively low speeds such as by flushing the processor's pipeline on each execution, may be undesirable and disadvantageous. Accordingly, instructions are executed from each class at relatively high speed (e.g., one instruction per clock cycle) to achieve reasonable performance.
  • Instruction Instruction Instruction that that write 1 that write 2 that writes 48 writes up to 63 predicate predicates predicates predicates br.ctop cmp may pr.rot mov pr br.cexit cmp4 br.wtop fclass br.wexit fcmp bfprcpa tbit fprsqrta tnat frcpa frsqrta
  • FIG. 1 A technique 100 that provides a solution to the “partial writes” problem according to an embodiment of the present invention is illustrated in FIG. 1.
  • the technique 100 handles the problem by processing instructions that write to a predicate register file, having a plurality of predicate registers, as read-modify-write operations.
  • the technique 100 initially reads old values from the 64-bit predicate register file 104 . This may involve substantially simultaneously reading the values of all 64 predicate registers in the old predicate register file 104 .
  • the value(s) of 1, 2, 48, or up to 63 predicate registers are then modified depending on the involved instruction, as listed in Table 2.
  • the involved instruction 102 is a ‘cmp’ instruction.
  • This instruction writes 2 predicates, p3 and p4. Hence, only the values of the old predicate registers p3 and p4 are modified in this example. All 64 values, including the two that were modified, may then be written to a new 64-bit predicate register file 106 substantially simultaneously.
  • An out-of-order processor typically assigns a new physical register every time a register is written.
  • multiple ‘cmp’ instructions each being performed as a read-modify-write, write the results to different 64-bit physical registers. Accordingly, the old 64-bit predicate register and new 64-bit predicate register are not necessarily the same physical register.
  • FIG. 2 illustrates a similar technique 200 involved in processing parallel compares, such as a ‘cmp.eq.and’ instruction 202 , according to another embodiment of the present invention.
  • the technique 200 initially reads old values from the 64-bit predicate register file 204 . This may involve substantially simultaneously reading the values of all 64 predicate registers in the old predicate register file 204 .
  • the values of the predicate registers p3 and p4 are modified according to the results of parallel compare between the registers r1 and r2.
  • the values computed by the parallel compare are logically AND-ed with the old predicate values to give the new predicate values. All 64 values, including the two that were modified, may then be written to a new 64-bit predicate register file 206 substantially simultaneously.
  • FIGS. 3A and 3B A method for processing of registers in an out-of-order processor in accordance with an embodiment of the present invention is shown in FIGS. 3A and 3B.
  • the method also includes a technique for computing and providing architecturally correct values for the registers.
  • the process of providing architecturally correct values is implemented as read-modify-write operations to solve a “partial writes” problem in the context of predicate registers.
  • This method enables the predicate registers to be accessed both individually as 1-bit registers, and collectively as a single 64-bit register.
  • this method may be implemented in the context of other registers, such as NaT registers.
  • the register processing method includes providing a prediction for a predicate in an instruction, at 300 .
  • the prediction may be based on past history of the predicate.
  • a first set of values for selected predicate registers in a predicate register file is set according to the prediction, at 302 .
  • a second set of values, which represents architecturally determined values for the predicate, is obtained at 304 .
  • the architecturally correct values are computed using the read-modify-write operation illustrated in FIG. 3B. Hence, the first set of values represents predicted values, while the second set of values represents architecturally correct values.
  • the first set of values is then compared to the second set of values.
  • the speculative predicate register is updated to match the architectural predicate register, at 310 .
  • the instruction containing the incorrectly predicted predicate, and any dependent instructions are then flushed from the pipeline (at 310 ) if the comparison (at 308 ) indicates that the first set of values is not equal to the second set of values.
  • the read-modify-write operation includes substantially simultaneously reading values from all predicate registers in a predicate register file, at 320 . In the Itanium architecture, this may involve reading the values of all 64 predicate registers in the predicate register file substantially simultaneously.
  • the instruction is parsed, and a select number of predicate registers to be modified is determined, at 322 .
  • the selected number of predicate registers is then modified, at 324 .
  • the number of predicate registers to be modified is determined by the instruction. Hence, the value(s) of 1, 2, 48, or up to 63 predicate registers are modified depending on the instruction, as listed in Table 2.
  • predicate register 0 is always true.
  • the values, including the modified predicates, are then substantially simultaneously written, at 326 , to a set of new predicate registers. Therefore, in the above example of FIG. 1, all 64 values are written to the predicate register file substantially simultaneously.
  • a means by which the entire set of values may be collectively read or collectively written by instructions that operate on the entire set of values without the need for a read-modify-write operation is provided.
  • FIG. 4 is a block diagram of a processor-based system 400 which may execute codes residing on the computer readable medium 402 .
  • the codes are related to the method for processing registers in an out-of-order processor described in FIGS. 1 through 3B.
  • the computer readable medium 402 may be a fixed medium such as read-only memory (ROM) or a hard disk.
  • the medium 402 may be a removable medium such a floppy disk or a compact disk (CD).
  • a read/write drive 406 in the computer 404 reads the code on the computer readable medium 402 .
  • the code is then executed in the processor 408 .
  • the processor 408 may access the computer memory 410 to store or retrieve data.
  • the processing of registers in a dynamically scheduled (e.g., out-of-order) processor may include registers other than predicate registers.
  • registers other than predicate registers.
  • UNaT User Not-a-thing
  • RaT Register Stack Engine NaT
  • These 64-bit registers are written and read one bit at a time by the store.spill and load.fill instructions, respectively.
  • the registers may also be written and read 64 bits at a time by the ‘mov-to/from-AR’ instruction.
  • Store.spill may read the entire 64-bit UNaT or RNaT register as a source operand, modify one bit, and write the entire 64-bit register, thus avoiding partial writes problem.
  • the effect of the read-modify-write operation is to serialize the execution of store.spill instructions in an out-of-order processor and to preserve proper instruction semantics.
  • the present embodiments provide techniques for verifying the validity of predicate prediction and maintaining architecturally correct predicates in the predicate register file.
  • the present embodiments transform the “partial writes” problem into read-modify-write operations. This simplifies the register processing in an out-of-order processor while enabling the predicate registers to be accessed both individually as 1-bit registers, and collectively as a single 64-bit register.

Abstract

A method for processing registers in an out-of-order processor. A predicate in an instruction is predicted. An architecturally correct value is then computed using a read-modify-write operation. The predicted value is compared to the architecturally correct value. The instruction with an incorrectly-predicted predicate is flushed from the pipeline if the predicted value and the architecturally correct value are different.

Description

    BACKGROUND
  • The present invention relates to an out-of-order processor. More particularly, the present invention relates to processing partial register writes in such an out-of-order processor. [0001]
  • Microprocessors often use instruction pipelining to increase instruction throughput. An instruction pipeline processes several instructions through different stages of instruction execution concurrently, using an assembly line-type approach. Furthermore, these instructions may be executed in a dynamically scheduled (e.g., out-of-order) processor. [0002]
  • In the Itanium Architecture, an instruction may include a “qualifying predicate”. The value of the qualifying predicate determines whether the instruction is executed or not. Itanium Architecture uses 64 predicate registers. [0003]
  • The performance of out-of-order computers using predicate registers, may be degraded by dependencies. A dependency exists between two instructions if the execution of one depends upon the results of executing the other. Thus, each instruction has the potential to stall later instructions that depend on it. Therefore, in some applications, predicates may be predicted in advance to remove the dependencies. However, verifying predicate prediction and maintaining the architecturally correct predicate registers are often cumbersome and tedious process because each predicate register must be verified and maintained individually. [0004]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a technique that provides a solution to a “partial writes” problem according to an embodiment of the present invention. [0005]
  • FIG. 2 illustrates a similar technique involved in processing parallel compares, such as a ‘cmp.eq.and’ instruction, according to another embodiment of the present invention. [0006]
  • FIGS. 3A and 3B is a flowchart of a method for processing of registers in an out-of-order processor in accordance with an embodiment of the present invention. [0007]
  • FIG. 4 is a block diagram of a processor-based system which may execute codes residing on the computer readable medium. [0008]
  • DETAILED DESCRIPTION
  • In recognition of the above-stated difficulties associated with verifying and maintaining architecturally correct values for the predicate registers, the present invention describes embodiments for effectively configuring the maintenance of values for the predicate register file. Specifically, the present embodiments provide techniques for verifying the validity of predicate prediction and maintaining architecturally correct predicates in the predicate register file. Consequently, for purposes of illustration and not for purposes of limitation, the exemplary embodiments of the invention are described in a manner consistent with such use, though clearly the invention is not so limited. [0009]
  • Embodiments of the present invention provide for processing of partial register writes in a dynamically scheduled (e.g., out-of-order) processor. In one embodiment, the processing is performed in accordance with the Itanium architecture definition. Furthermore, the registers may include predicate registers and/or Not-a-Thing (NaT) registers. The NaT register is used to assemble groups of NaT bits into a single 64-bit quantity for transfer to memory, and to disassemble a 64-bit quantity from memory into individual NaT bits. [0010]
  • However, there are two issues that need to be resolved before the registers may be effectively processed in an out-of-order processor. The first issue involves handling dependencies between a producer instruction and a consumer instruction. The producer instruction writes to the registers (e.g., predicate registers), while the consumer instruction reads the contents of the registers. The second issue involves determining how to compute and maintain architecturally correct values for the registers. [0011]
    TABLE 1
    011 cmp.eq p3, p4 = r1, r2
    012 (p4) mov r10 = r11
  • Table 1 illustrates the first issue in which the instruction 012 is dependent on the instruction 011. In the illustrated example, the ‘cmp.eq’ instruction 011 is a producer of predicate registers, p3 and p4. Thus, this instruction 011 sets the predicate registers, p3 and p4, according to the result of the compare between registers, r1 and r2. Furthermore, the ‘mov’ instruction 012 is a consumer of the predicate register, p4. Thus, this instruction 012 is conditionally executed depending on the value of the predicate register, p4. [0012]
  • The above-described dependency may be handled with a variety of techniques. One technique is to apply a history-based branch prediction. This technique operates to construct a history or pattern table that relies on the past history of the branch to determine the prediction. The technique may be applied to the processor's front-end pipeline to predict the value of the predicate register p4, to remove the dependency between the two instructions 011, 012. Thus, in the above-illustrated example, the past history of the compare instruction 011 is used to predict the value of predicate registers p3 and p4 before the instruction 011 is executed. If the prediction results in the predicate p4 being assigned the value of TRUE, the instruction 012 may be speculatively executed concurrently with instruction 011. Otherwise, if the prediction results in the predicate p4 being assigned the value of FALSE, the instruction 012 is “no-op-ed” (i.e., not executed). [0013]
  • In the present embodiments, the predicted value is compared against the architecturally correct value subsequent to the speculative execution of instructions based on the history-based prediction of predicates. If the predicted value is different from the architectural value, the sequence is replayed to execute the desired instructions. However, qualifying predicates are the only dependencies removed by the history-based predictor. Thus, the ‘mov-from-pr’ instruction reads the architectural predicate register rather than using the predictor and is scheduled with an explicit dependency. [0014]
  • The second issue involves determining how to compute and provide architecturally correct values for the registers in an out-of-order processor, particularly, in accordance with the Itanium architecture definition. [0015]
  • In one embodiment, the architectural predicate values are used to verify the predicted value from the front-end, and to provide the source operand for ‘move-from-pr’ instruction. Table 2 shows different Itanium instructions that write to 1, 2, 48, or up to 63 predicate registers at once. Hence, Table 2 illustrates the need to update some bits of a register file while leaving other bits unchanged. This is sometimes referred to as the “partial writes” problem. However, executing the instructions in Table 2 at relatively low speeds, such as by flushing the processor's pipeline on each execution, may be undesirable and disadvantageous. Accordingly, instructions are executed from each class at relatively high speed (e.g., one instruction per clock cycle) to achieve reasonable performance. [0016]
    TABLE 2
    Instructions Instructions Instruction Instruction that
    that write 1 that write 2 that writes 48 writes up to 63
    predicate predicates predicates predicates
    br.ctop cmp may pr.rot mov pr
    br.cexit cmp4
    br.wtop fclass
    br.wexit fcmp
    bfprcpa tbit
    fprsqrta tnat
    frcpa
    frsqrta
  • A [0017] technique 100 that provides a solution to the “partial writes” problem according to an embodiment of the present invention is illustrated in FIG. 1. In the illustrated embodiment, the technique 100 handles the problem by processing instructions that write to a predicate register file, having a plurality of predicate registers, as read-modify-write operations. Specifically, the technique 100 initially reads old values from the 64-bit predicate register file 104. This may involve substantially simultaneously reading the values of all 64 predicate registers in the old predicate register file 104. The value(s) of 1, 2, 48, or up to 63 predicate registers are then modified depending on the involved instruction, as listed in Table 2. For example, in FIG. 1, the involved instruction 102 is a ‘cmp’ instruction. This instruction, according to Table 2, writes 2 predicates, p3 and p4. Hence, only the values of the old predicate registers p3 and p4 are modified in this example. All 64 values, including the two that were modified, may then be written to a new 64-bit predicate register file 106 substantially simultaneously.
  • An out-of-order processor typically assigns a new physical register every time a register is written. Thus, multiple ‘cmp’ instructions, each being performed as a read-modify-write, write the results to different 64-bit physical registers. Accordingly, the old 64-bit predicate register and new 64-bit predicate register are not necessarily the same physical register. [0018]
  • FIG. 2 illustrates a [0019] similar technique 200 involved in processing parallel compares, such as a ‘cmp.eq.and’ instruction 202, according to another embodiment of the present invention. Again, the technique 200 initially reads old values from the 64-bit predicate register file 204. This may involve substantially simultaneously reading the values of all 64 predicate registers in the old predicate register file 204. However, in this example, the values of the predicate registers p3 and p4 are modified according to the results of parallel compare between the registers r1 and r2. Moreover, the values computed by the parallel compare are logically AND-ed with the old predicate values to give the new predicate values. All 64 values, including the two that were modified, may then be written to a new 64-bit predicate register file 206 substantially simultaneously.
  • A method for processing of registers in an out-of-order processor in accordance with an embodiment of the present invention is shown in FIGS. 3A and 3B. The method also includes a technique for computing and providing architecturally correct values for the registers. In the illustrated embodiment, the process of providing architecturally correct values is implemented as read-modify-write operations to solve a “partial writes” problem in the context of predicate registers. This method enables the predicate registers to be accessed both individually as 1-bit registers, and collectively as a single 64-bit register. Moreover, this method may be implemented in the context of other registers, such as NaT registers. [0020]
  • The register processing method (FIG. 3A) includes providing a prediction for a predicate in an instruction, at [0021] 300. As mentioned above, the prediction may be based on past history of the predicate. A first set of values for selected predicate registers in a predicate register file is set according to the prediction, at 302. A second set of values, which represents architecturally determined values for the predicate, is obtained at 304. The architecturally correct values are computed using the read-modify-write operation illustrated in FIG. 3B. Hence, the first set of values represents predicted values, while the second set of values represents architecturally correct values. At 306, the first set of values is then compared to the second set of values. The speculative predicate register is updated to match the architectural predicate register, at 310. The instruction containing the incorrectly predicted predicate, and any dependent instructions are then flushed from the pipeline (at 310) if the comparison (at 308) indicates that the first set of values is not equal to the second set of values.
  • The read-modify-write operation (specified in FIG. 3B) includes substantially simultaneously reading values from all predicate registers in a predicate register file, at [0022] 320. In the Itanium architecture, this may involve reading the values of all 64 predicate registers in the predicate register file substantially simultaneously. Next, the instruction is parsed, and a select number of predicate registers to be modified is determined, at 322. The selected number of predicate registers is then modified, at 324. The number of predicate registers to be modified is determined by the instruction. Hence, the value(s) of 1, 2, 48, or up to 63 predicate registers are modified depending on the instruction, as listed in Table 2. Note that the instruction that writes to all 63 bits of the predicate register may omit the read portion of the read-modify-write since all 63 bits are being modified. Note that in the Itanium Architecture, predicate register 0 is always true. The values, including the modified predicates, are then substantially simultaneously written, at 326, to a set of new predicate registers. Therefore, in the above example of FIG. 1, all 64 values are written to the predicate register file substantially simultaneously. In an alternative embodiment, a means by which the entire set of values may be collectively read or collectively written by instructions that operate on the entire set of values without the need for a read-modify-write operation is provided.
  • FIG. 4 is a block diagram of a processor-based [0023] system 400 which may execute codes residing on the computer readable medium 402. The codes are related to the method for processing registers in an out-of-order processor described in FIGS. 1 through 3B. In one embodiment, the computer readable medium 402 may be a fixed medium such as read-only memory (ROM) or a hard disk. In another embodiment, the medium 402 may be a removable medium such a floppy disk or a compact disk (CD). A read/write drive 406 in the computer 404 reads the code on the computer readable medium 402. The code is then executed in the processor 408. The processor 408 may access the computer memory 410 to store or retrieve data.
  • Advantages of above-described technique and method include an ability to process the predicate registers as a single 64-bit register rather than as 64 one-bit registers. This simplifies the out-of-order “renamer” since it only needs to deal with one 64-bit predicate register. Furthermore, the register processing may be made easier since the parallel compares are processed substantially similarly to read-modify-write operations. However, processing the predicate register file as a single 64-bit register in read-modify-write operations turns predicate producing instructions into both predicate consuming and predicate producing instructions. This transformation serializes the execution of the instruction, and permits only one compare per clock cycle. [0024]
    TABLE 3
    031 cmp.eq p1, p2 = r10, r11
    032 cmp.eq p3, p4 = r12, r13
  • For example, two independent compare instructions 031, 032, shown above in Table 3, must be executed sequentially because the compare instruction 031 not only writes to predicates p1 and p2 but all 64 predicates of the predicate register file, including the predicates p3 and p4. Thus, the instruction 032, which reads and modifies predicates p3 and p4, must wait for the completion of the instruction 031. Accordingly, to process more than one compare per clock cycle, a fusing mechanism may be employed. This fusing mechanism is further described in a related U.S. patent application Ser. No. ______, filed by inventors Grochowski et al., Intel Matter Number P12585, entitled “Method and Apparatus for Fusing Multiple instructions/Microops into One.”[0025]
  • As was mentioned above, the processing of registers in a dynamically scheduled (e.g., out-of-order) processor may include registers other than predicate registers. For example, a similar situation exists, in the Itanium architecture, with the User Not-a-thing (UNaT) and Register Stack Engine NaT (RNaT) registers. These 64-bit registers are written and read one bit at a time by the store.spill and load.fill instructions, respectively. The registers may also be written and read 64 bits at a time by the ‘mov-to/from-AR’ instruction. [0026]
  • Accordingly, the same read-modify-write technique and method described above may be applied to implement the single-bit write in the store.spill instruction. Store.spill may read the entire 64-bit UNaT or RNaT register as a source operand, modify one bit, and write the entire 64-bit register, thus avoiding partial writes problem. Again, the effect of the read-modify-write operation is to serialize the execution of store.spill instructions in an out-of-order processor and to preserve proper instruction semantics. [0027]
  • There has been disclosed herein embodiments for effectively configuring the maintenance of values for the predicate register file. Specifically, the present embodiments provide techniques for verifying the validity of predicate prediction and maintaining architecturally correct predicates in the predicate register file. By processing the predicate register file as a single multi-bit register rather than as a plurality of one-bit registers, the present embodiments transform the “partial writes” problem into read-modify-write operations. This simplifies the register processing in an out-of-order processor while enabling the predicate registers to be accessed both individually as 1-bit registers, and collectively as a single 64-bit register. [0028]
  • While specific embodiments of the invention have been illustrated and described, such descriptions have been for purposes of illustration only and not by way of limitation. Accordingly, throughout this detailed description, for the purposes of explanation, numerous specific details were set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the system and method may be practiced without some of these specific details. For example, although the registers are described in terms of having 64 predicate or NaT registers, a different number of registers may be used to perform similar functions. In other instances, well-known structures and functions were not described in elaborate detail in order to avoid obscuring the subject matter of the present invention. Accordingly, the scope and spirit of the invention should be judged in terms of the claims which follow. [0029]

Claims (29)

What is claimed is:
1. A method, comprising:
substantially simultaneously reading values from a plurality of registers;
parsing a particular instruction, and determining a select number of registers to be modified in the plurality of registers;
modifying a subset of the values in the select number of registers; and
substantially simultaneously writing the values to the plurality of registers.
2. The method of claim 1, further comprising:
providing a means by which an entire set of values may be collectively read or collectively written by instructions that operate on the entire set of values.
3. The method of claim 2, wherein said substantially simultaneously reading includes reading values from a plurality of predicate registers.
4. The method of claim 1, wherein said substantially simultaneously reading includes reading values from a plurality of Not-a-Thing (NaT) registers.
5. The method of claim 1, wherein said parsing a particular instruction includes parsing an Itanium instruction.
6. The method of claim 5, wherein the Itanium instruction selects one register to be modified.
7. The method of claim 5, wherein the Itanium instruction selects two registers to be modified.
8. The method of claim 5, wherein the Itanium instruction selects 48 registers to be modified.
9. The method of claim 5, wherein the Itanium instruction selects up to 63 registers to be modified.
10. The method of claim 1, wherein said substantially simultaneously writing includes writing the values to a plurality of predicate registers.
11. The method of claim 10, wherein the plurality of predicate registers includes all 63 predicate registers.
12. A method, comprising:
providing a prediction for a predicate in an instruction;
setting a first set of values for selected predicate registers in a predicate register file according to the prediction;
obtaining a second set of values which represents determined values for the predicate, the second set of values being computed by a read-modify-write operation;
comparing the first set of values with the second set of values; and
flushing an instruction with incorrectly predicted predicate and any dependent instructions from the pipeline if the first set of values are different from the second set of values.
13. The method of claim 12, wherein said performing a read-modify-write operation includes:
substantially simultaneously reading all values from all the predicate registers in the predicate register file, including the first set of values for the selected predicate registers.
14. The method of claim 13, wherein said performing a read-modify-write operation includes:
parsing the instruction, and determining the selected predicate registers to be modified.
15. The method of claim 14, wherein said performing a read-modify-write operation includes:
modifying the first set of values in the selected predicate registers.
16. The method of claim 15, wherein said performing a read-modify-write operation includes:
substantially simultaneously writing the values to all the predicate registers in the predicate register file, including the second set of values.
17. The method of claim 12, wherein said providing a prediction for a predicate includes providing a prediction based on history of the predicate.
18. The method of claim 12, wherein said setting a first set of values includes setting 1, 2, 48, or up to 63 values depending on the instruction.
19. The method of claim 18, wherein the instruction is an Itanium instruction.
20. The method of claim 12, wherein the first set of values includes predicted values for the predicate.
21. The method of claim 12, wherein the second set of values includes architecturally correct values for the predicate.
22. The method of claim 12, further comprising:
providing a means by which an entire set of values may be collectively read or collectively written by instructions that operate on the entire set of values without a need for the read-modify-write operation.
23. A computer readable medium containing executable instructions which, when executed in a processing system, causes the system to perform a read-modify-write operation, comprising:
substantially simultaneously reading values from a plurality of registers;
parsing a particular instruction, and determining a select number of registers to be modified in the plurality of registers;
modifying a subset of the values in the select number of registers; and
substantially simultaneously writing the values to the plurality of registers.
24. The medium of claim 23, wherein said substantially simultaneously reading includes reading values from a plurality of predicate registers.
25. The medium of claim 23, further comprising:
providing a means by which an entire set of values may be collectively read or collectively written by instructions that operate on the entire set of values.
26. A computer readable medium containing executable instructions which, when executed in a processing system, causes the system to process registers in an out-of-order processor, comprising:
providing a prediction for a predicate in an instruction;
setting a first set of values for selected predicate registers in a predicate register file according to the prediction;
obtaining a second set of values which represents determined values for the predicate, the second set of values being computed by a read-modify-write operation;
comparing the first set of values with the second set of values; and
flushing an instruction with an incorrectly predicated predicate and any dependent instructions if the first set of values are different from the second set of values.
27. The medium of claim 26, wherein said providing a prediction for a predicate includes providing a prediction based on history of the predicate.
28. The medium of claim 26, wherein the first set of values includes predicted values for the predicate.
29. The medium of claim 26, wherein the second set of values includes architecturally correct values for the predicate.
US10/038,036 2002-01-02 2002-01-02 Processing partial register writes in an out-of order processor Abandoned US20030126414A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/038,036 US20030126414A1 (en) 2002-01-02 2002-01-02 Processing partial register writes in an out-of order processor
US10/888,052 US7380111B2 (en) 2002-01-02 2004-07-08 Out-of-order processing with predicate prediction and validation with correct RMW partial write new predicate register values

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/038,036 US20030126414A1 (en) 2002-01-02 2002-01-02 Processing partial register writes in an out-of order processor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/888,052 Division US7380111B2 (en) 2002-01-02 2004-07-08 Out-of-order processing with predicate prediction and validation with correct RMW partial write new predicate register values

Publications (1)

Publication Number Publication Date
US20030126414A1 true US20030126414A1 (en) 2003-07-03

Family

ID=21897746

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/038,036 Abandoned US20030126414A1 (en) 2002-01-02 2002-01-02 Processing partial register writes in an out-of order processor
US10/888,052 Expired - Fee Related US7380111B2 (en) 2002-01-02 2004-07-08 Out-of-order processing with predicate prediction and validation with correct RMW partial write new predicate register values

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/888,052 Expired - Fee Related US7380111B2 (en) 2002-01-02 2004-07-08 Out-of-order processing with predicate prediction and validation with correct RMW partial write new predicate register values

Country Status (1)

Country Link
US (2) US20030126414A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013158889A1 (en) * 2012-04-18 2013-10-24 Qualcomm Incorporated Bimodal compare predictor encoded in each compare instruction
US9122486B2 (en) 2010-11-08 2015-09-01 Qualcomm Incorporated Bimodal branch predictor encoded in a branch instruction
US20210064377A1 (en) * 2019-08-26 2021-03-04 Arm Limited Executing instructions based on status
US20230067573A1 (en) * 2021-08-27 2023-03-02 Arm Limited Predicated vector load micro-operation

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8977837B2 (en) * 2009-05-27 2015-03-10 Arm Limited Apparatus and method for early issue and recovery for a conditional load instruction having multiple outcomes
US9904546B2 (en) * 2015-06-25 2018-02-27 Intel Corporation Instruction and logic for predication and implicit destination

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4594655A (en) * 1983-03-14 1986-06-10 International Business Machines Corporation (k)-Instructions-at-a-time pipelined processor for parallel execution of inherently sequential instructions
US4819155A (en) * 1987-06-01 1989-04-04 Wulf William A Apparatus for reading to and writing from memory streams of data while concurrently executing a plurality of data processing operations
US5051940A (en) * 1990-04-04 1991-09-24 International Business Machines Corporation Data dependency collapsing hardware apparatus
US5214763A (en) * 1990-05-10 1993-05-25 International Business Machines Corporation Digital computer system capable of processing two or more instructions in parallel and having a coche and instruction compounding mechanism
US5287503A (en) * 1991-09-27 1994-02-15 Sun Microsystems, Inc. System having control registers coupled to a bus whereby addresses on the bus select a control register and a function to be performed on the control register
US5437043A (en) * 1991-11-20 1995-07-25 Hitachi, Ltd. Information processing apparatus having a register file used interchangeably both as scalar registers of register windows and as vector registers
US5535404A (en) * 1989-04-25 1996-07-09 Nec Corporation Microprocessor status register having plural control information registers each set and cleared by on and off decoders receiving the same control data word
US5546597A (en) * 1994-02-28 1996-08-13 Intel Corporation Ready selection of data dependent instructions using multi-cycle cams in a processor performing out-of-order instruction execution
US5655135A (en) * 1994-09-16 1997-08-05 Philips Electronics North America Corporation System for write protecting a bit that is hardware modified during a read-modify-write cycle
US5732234A (en) * 1990-05-04 1998-03-24 International Business Machines Corporation System for obtaining parallel execution of existing instructions in a particulr data processing configuration by compounding rules based on instruction categories
US5838941A (en) * 1996-12-30 1998-11-17 Intel Corporation Out-of-order superscalar microprocessor with a renaming device that maps instructions from memory to registers
US5859999A (en) * 1996-10-03 1999-01-12 Idea Corporation System for restoring predicate registers via a mask having at least a single bit corresponding to a plurality of registers
US5937178A (en) * 1996-02-13 1999-08-10 National Semiconductor Corporation Register file for registers with multiple addressable sizes using read-modify-write for register file update
US5991531A (en) * 1997-02-24 1999-11-23 Samsung Electronics Co., Ltd. Scalable width vector processor architecture for efficient emulation
US5996032A (en) * 1996-04-30 1999-11-30 Texas Instruments Incorporated System for writing a plurality of data bits less than from the total number of bits in a data register using a single register write operation
US6363442B1 (en) * 1997-11-26 2002-03-26 Infineon Technologies Ag System for modifying data read out from storage device and only overwriting those components of the data read out which differ from the modified data
US20020087836A1 (en) * 2000-12-29 2002-07-04 Jourdan Stephan J. Method and processor for recovering registers for register renaming structure
US20020143967A1 (en) * 2001-03-30 2002-10-03 Derr Michael N. Bit-granular writes of control registers
US6513109B1 (en) * 1999-08-31 2003-01-28 International Business Machines Corporation Method and apparatus for implementing execution predicates in a computer processing system
US6654878B1 (en) * 2000-09-07 2003-11-25 International Business Machines Corporation Register bit scanning
US6907484B2 (en) * 2002-09-24 2005-06-14 Sun Microsystems, Inc Method and apparatus for atomically changing selected bits within a register

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010042195A1 (en) * 1998-08-04 2001-11-15 Ralph M. Kling Method and apparatus for performing predicate prediction
US6353883B1 (en) * 1998-08-04 2002-03-05 Intel Corporation Method and apparatus for performing predicate prediction
US6367004B1 (en) * 1998-12-31 2002-04-02 Intel Corporation Method and apparatus for predicting a predicate based on historical information and the least significant bits of operands to be compared

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4594655A (en) * 1983-03-14 1986-06-10 International Business Machines Corporation (k)-Instructions-at-a-time pipelined processor for parallel execution of inherently sequential instructions
US4819155A (en) * 1987-06-01 1989-04-04 Wulf William A Apparatus for reading to and writing from memory streams of data while concurrently executing a plurality of data processing operations
US5535404A (en) * 1989-04-25 1996-07-09 Nec Corporation Microprocessor status register having plural control information registers each set and cleared by on and off decoders receiving the same control data word
US5051940A (en) * 1990-04-04 1991-09-24 International Business Machines Corporation Data dependency collapsing hardware apparatus
US5732234A (en) * 1990-05-04 1998-03-24 International Business Machines Corporation System for obtaining parallel execution of existing instructions in a particulr data processing configuration by compounding rules based on instruction categories
US5214763A (en) * 1990-05-10 1993-05-25 International Business Machines Corporation Digital computer system capable of processing two or more instructions in parallel and having a coche and instruction compounding mechanism
US5287503A (en) * 1991-09-27 1994-02-15 Sun Microsystems, Inc. System having control registers coupled to a bus whereby addresses on the bus select a control register and a function to be performed on the control register
US5437043A (en) * 1991-11-20 1995-07-25 Hitachi, Ltd. Information processing apparatus having a register file used interchangeably both as scalar registers of register windows and as vector registers
US5546597A (en) * 1994-02-28 1996-08-13 Intel Corporation Ready selection of data dependent instructions using multi-cycle cams in a processor performing out-of-order instruction execution
US5655135A (en) * 1994-09-16 1997-08-05 Philips Electronics North America Corporation System for write protecting a bit that is hardware modified during a read-modify-write cycle
US5937178A (en) * 1996-02-13 1999-08-10 National Semiconductor Corporation Register file for registers with multiple addressable sizes using read-modify-write for register file update
US5996032A (en) * 1996-04-30 1999-11-30 Texas Instruments Incorporated System for writing a plurality of data bits less than from the total number of bits in a data register using a single register write operation
US5859999A (en) * 1996-10-03 1999-01-12 Idea Corporation System for restoring predicate registers via a mask having at least a single bit corresponding to a plurality of registers
US5838941A (en) * 1996-12-30 1998-11-17 Intel Corporation Out-of-order superscalar microprocessor with a renaming device that maps instructions from memory to registers
US5991531A (en) * 1997-02-24 1999-11-23 Samsung Electronics Co., Ltd. Scalable width vector processor architecture for efficient emulation
US6363442B1 (en) * 1997-11-26 2002-03-26 Infineon Technologies Ag System for modifying data read out from storage device and only overwriting those components of the data read out which differ from the modified data
US6513109B1 (en) * 1999-08-31 2003-01-28 International Business Machines Corporation Method and apparatus for implementing execution predicates in a computer processing system
US6654878B1 (en) * 2000-09-07 2003-11-25 International Business Machines Corporation Register bit scanning
US20020087836A1 (en) * 2000-12-29 2002-07-04 Jourdan Stephan J. Method and processor for recovering registers for register renaming structure
US20020143967A1 (en) * 2001-03-30 2002-10-03 Derr Michael N. Bit-granular writes of control registers
US6907484B2 (en) * 2002-09-24 2005-06-14 Sun Microsystems, Inc Method and apparatus for atomically changing selected bits within a register

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9122486B2 (en) 2010-11-08 2015-09-01 Qualcomm Incorporated Bimodal branch predictor encoded in a branch instruction
WO2013158889A1 (en) * 2012-04-18 2013-10-24 Qualcomm Incorporated Bimodal compare predictor encoded in each compare instruction
US20210064377A1 (en) * 2019-08-26 2021-03-04 Arm Limited Executing instructions based on status
US11663014B2 (en) * 2019-08-26 2023-05-30 Arm Limited Speculatively executing instructions that follow a status updating instruction
US20230067573A1 (en) * 2021-08-27 2023-03-02 Arm Limited Predicated vector load micro-operation
US11714644B2 (en) * 2021-08-27 2023-08-01 Arm Limited Predicated vector load micro-operation for performing a complete vector load when issued before a predicate operation is available and a predetermined condition is unsatisfied

Also Published As

Publication number Publication date
US20040243791A1 (en) 2004-12-02
US7380111B2 (en) 2008-05-27

Similar Documents

Publication Publication Date Title
US5710902A (en) Instruction dependency chain indentifier
US6240509B1 (en) Out-of-pipeline trace buffer for holding instructions that may be re-executed following misspeculation
US6493820B2 (en) Processor having multiple program counters and trace buffers outside an execution pipeline
US7458069B2 (en) System and method for fusing instructions
US7228402B2 (en) Predicate register file write by an instruction with a pending instruction having data dependency
US6263427B1 (en) Branch prediction mechanism
US20160291982A1 (en) Parallelized execution of instruction sequences based on pre-monitoring
US7979637B2 (en) Processor and method for executing data transfer process
US6260189B1 (en) Compiler-controlled dynamic instruction dispatch in pipelined processors
EP0947917A2 (en) Method and apparatus for handling imprecise exceptions
US7200738B2 (en) Reducing data hazards in pipelined processors to provide high processor utilization
US5761467A (en) System for committing execution results when branch conditions coincide with predetermined commit conditions specified in the instruction field
US6412067B1 (en) Backing out of a processor architectural state
US7404065B2 (en) Flow optimization and prediction for VSSE memory operations
US8095775B1 (en) Instruction pointers in very long instruction words
US6272676B1 (en) Method and apparatus for finding loop— lever parallelism in a pointer based application
US20060015855A1 (en) Systems and methods for replacing NOP instructions in a first program with instructions of a second program
US8347066B2 (en) Replay instruction morphing
US7844799B2 (en) Method and system for pipeline reduction
US6539471B2 (en) Method and apparatus for pre-processing instructions for a processor
KR20060029614A (en) Method and data processor with reduced stalling due to operand dependencies
US7380111B2 (en) Out-of-order processing with predicate prediction and validation with correct RMW partial write new predicate register values
US5848256A (en) Method and apparatus for address disambiguation using address component identifiers
JP2001216160A (en) Processing system and method for indicating instruction attribute and status information for long latency instruction
US6829699B2 (en) Rename finish conflict detection and recovery

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GROCHOWSKI, EDWARD T.;STARK, JARED W.;REEL/FRAME:012784/0636;SIGNING DATES FROM 20011214 TO 20020114

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION