US20030124845A1 - Local interconnect structures and methods for making the same - Google Patents

Local interconnect structures and methods for making the same Download PDF

Info

Publication number
US20030124845A1
US20030124845A1 US10/326,716 US32671602A US2003124845A1 US 20030124845 A1 US20030124845 A1 US 20030124845A1 US 32671602 A US32671602 A US 32671602A US 2003124845 A1 US2003124845 A1 US 2003124845A1
Authority
US
United States
Prior art keywords
silicon source
source layer
forming
layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/326,716
Inventor
Jigish Trivedi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US10/326,716 priority Critical patent/US20030124845A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TRIVEDI, JIGISH D.
Publication of US20030124845A1 publication Critical patent/US20030124845A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to local interconnect structures in an integrated circuit, and methods for making the same.
  • a continuing trend in semiconductor technology is to build integrated circuits with more and faster semiconductor devices.
  • the drive toward this ultra large-scale integration has resulted in a continued shrinking of device and circuit features.
  • Ultra-large scale integrated circuit technology includes the formation of isolated semiconductor devices formed within the surface of silicon wafers and interconnecting these devices with wiring layers above the surface.
  • the interconnection system typically consists of two or more levels of interconnection metallurgy, separated by insulation layers.
  • the first level of interconnection is used to define small fundamental circuits, e.g., a basic CMOS inverter requiring that the gates on NMOS and PMOS devices are connected together.
  • Memory cells such as 6T SRAM, in particular, require several such local interconnections.
  • a local interconnect is typically used within an integrated circuit to provide an electrical connection between two or more conducting or semiconducting regions (e.g., active regions of one or more devices). More specifically, local interconnects are routing-restricted interconnect levels used for the short metallization runs, such as those that locally interconnect gates and drains in NMOS and CMOS circuits and those that connect a given metallization layer to a particular structure within the integrated circuit.
  • Local interconnects are typically formed of low resistance material, such as a conductor or a doped semiconductor that is formed to electrically couple selected regions.
  • a commonly used technique for forming local interconnects is the Damascene process. In this process a first metal is inlaid into a dielectric layer. This involves first depositing the dielectric layer and then polishing via chemical mechanical polishing (CMP) to make the layer planar. The structure is then patterned and etched to form recessed trenches in the dielectric layer where conductive metal lines are to be deposited. Contact to the underlying devices is made where the trenches pass over the active device regions; elsewhere the dielectric layer insulates the metal from the substrate.
  • CMP chemical mechanical polishing
  • a sandwich structure of titanium (Ti), titanium nitride (TiN), and tungsten is next deposited in the trench and onto the dielectric surface.
  • a second CMP is then used to remove the conductive materials from the dielectric surface, leaving metal in the trench.
  • the CMP step is followed by a next level of interlevel dielectric (ILD) deposition, contact patterning and etching, and a filling with a conductive metal. Due to time and associated costs, it is undesirable to require two CMP processes to form a local interconnect structure.
  • ILD interlevel dielectric
  • this process allows formation of self-aligned local interconnects.
  • titanium that does not overly the polysilicon source layer nonetheless typically leaches silicon (i.e., reacts with free silicon) from those portions of the polysilicon source layer that are adjacent the titanium resulting in the formation of stringers. Stringers cause electrical shorting between devices.
  • the present invention provides local interconnect structures that are free of stringers.
  • the present invention also provides methods for making such local interconnect structures wherein the methods do not require two or more CMP processing steps. Because local interconnect structures form electrical connections of relatively short distances (typically about 0.5 ⁇ m to about 10 ⁇ m), the material forming the local interconnects need not possess a low resistance value (as compared to materials forming electrical interconnections of greater distances (i.e., typically distances greater than 10 ⁇ m)). Accordingly, materials other than polycrystalline silicon are used in the present invention to form a silicon source layer for fabrication of local interconnect structures.
  • the present invention provides methods for forming a local interconnect structures for integrated circuits.
  • a substrate having a surface and including at least one topographical structure thereon (such that a region of the surface of the substrate is exposed) is provided.
  • An active area is preferably formed in the substrate prior to formation of the topographical structure.
  • a thin silicon source layer is then deposited over at least a portion of the active area.
  • the silicon source layer preferably comprises silicon rich silicon nitride, silicon oxynitride or other silicon source having sufficient free silicon to form a silicide but not so much free silicon as to result in formation of stringers (as occurs with the use of polysilicon).
  • a silicide forming material such as a refractory metal, is deposited directly upon selected regions of the silicon source layer and over the topographical structure.
  • the structure is then preferably annealed to form a silicide layer from the refractory metal and silicon source layer.
  • the silicide layer creates a portion of the local interconnect structure.
  • Remaining non-reacted silicide forming material e.g., regions of the silicon source layer not in direct, intimate contact with the silicide forming material
  • an interlevel dielectric is deposited over the suicide layer.
  • the interlevel dielectric includes at least one recess defined substantially over the active area. An electrically conductive material is deposited in the recess to complete the local interconnect structure.
  • a method of forming a local interconnect structure for an integrated circuit wherein a silicide forming material, e.g., a refractory metal, is deposited prior to deposition of a silicon source layer.
  • the silicon source layer preferably comprises silicon rich silicon nitride, silicon oxynitride or other silicon source having sufficient free silicon to form a silicide but not so much free silicon as to result in formation of stringers.
  • the silicon source layer is deposited over the refractory metal and is patterned and etched to form a hard mask. The remainder of the method is essentially identical to the representative embodiment set forth above.
  • a representative embodiment of the local interconnect structure preferably includes a substrate having at least one topographical structure, such as a gate stack. At least one active area is adjacent to the topographical structure. Silicon source overlays a portion of the substrate and a portion of the topographical structure. A suicide layer covers at least a portion of the active area and extends over a portion of the topographical structure thereby forming a portion of the local interconnect structure. An oxide layer preferably overlays the silicon source but not the silicide layer. A passivation layer covers the oxide layer and the silicide layer. The passivation layer includes at least one recess that extends through the passivation layer and terminates substantially at the active area. An electrically conductive material substantially fills the recess to form an electrical contact with the silicide layer and the active area.
  • FIGS. 1 a - 1 e show, in cross-section, a method of manufacture and a resulting local interconnect structure according to an embodiment of the present invention.
  • FIG. 2 is a process flow diagram of the method shown in FIGS. 1 a - 1 e.
  • FIG. 3 is a cross-sectional view of an embodiment of the local interconnect structure of the present invention.
  • FIGS. 4 a - 4 f show, in cross-section, another method of manufacture and a resulting local interconnect structure according to another embodiment of the present invention.
  • FIG. 5 is a process flow diagram of the method shown in FIGS. 4 a - 4 f.
  • FIG. 6 is a cross-sectional view of another embodiment of the local interconnect structure of the present invention.
  • FIGS. 1 a - 1 e and FIG. 2 A first method of manufacture of an embodiment of a local interconnect structure according to the present invention is described with reference to FIGS. 1 a - 1 e and FIG. 2.
  • the present invention is described primarily with reference to transistors as forming a part of the local interconnect structure, it should be understood that the local interconnect structures and manufacturing methods of the present invention apply equally well to any semiconductor device or integrated circuit requiring one or more local interconnects.
  • one application of the local interconnect structure of the present invention may be the formation of electrical interconnection between a transistor gate stack and a contact to an adjacent active area in a semiconductor substrate.
  • FIG. 1 a illustrates a typical beginning structure for making a local interconnection structure 10 (FIG. 3) of the present invention.
  • the beginning structure may include a semiconductor or wafer substrate 18 with at least one active region 14 defined in the substrate 18 .
  • the substrate 18 may comprise silicon, gallium arsenide, glass, an insulating material such as sapphire, or any other substrate material upon which an integrated circuit wafer may be fabricated.
  • Active regions 14 are typically formed by doping specific portions of the wafer substrate 18 by conventional methods, such as ion implantion or diffusion.
  • a field oxide or isolation region 20 is formed in the substrate 18 .
  • the field oxide or isolation region 20 may be formed by conventional means known to persons skilled in the art, such as by local oxidation of a silicon substrate or isolation diffusion of the substrate 18 . Isolation region 20 forms p-n junctions that separate areas of the substrate 18 . In other words, the isolation region 20 , in part, serves the function of a dielectric to electrically isolate regions of the substrate 18 .
  • Gate stack 25 may comprise a gate oxide 22 having a transistor gate 24 that typically comprises a polysilicon layer. Overlying the transistor gate 24 may be a metal silicide layer 28 (or some other conductor layer).
  • the refractory metal silicide 24 of the gate stack 25 typically comprises any refractory metal silicide including but not limited to titanium, cobalt, tungsten, tantalum, or molybdenum silicides.
  • Each gate stack 25 may include one or more spacers 32 .
  • Spacers 32 are typically oriented perpendicular to the substrate 18 on either side of the gate stack 25 . Spacers 32 may be formed by subjecting a layer of silicon nitride (not shown) deposited over the gate stack 25 to an anisotropic etch (a technique well known to persons skilled in the art). Alternatively, spacers 32 may be made of undoped silicon dioxide.
  • a selected portion of the cap 36 may be removed (e.g., by dry etch) to allow access to the transistor gate 24 via the metal silicide layer 28 .
  • the cap 36 may be initially deposited such that a portion of the metal silicide layer 28 is exposed to allow access to the transistor gate 24 .
  • Silicon source layer 42 is deposited uniformly over the structure.
  • Silicon source layer 42 preferably comprises silicon-rich silicon nitride or silicon oxynitride.
  • Silicon-rich silicon nitride may be deposited by any method, but is preferably deposited by LPCVD.
  • silicon oxynitride may be deposited as a silicon source layer 42 by any method, but is preferably deposited by PECVD using a reactant gas mixture of silane, nitrous oxide, ammonia, and nitrogen.
  • the silicon source layer 42 preferably has a thickness of from about 150 ⁇ to about 400 ⁇ and more preferably from about 150 ⁇ to about 200 ⁇ .
  • Stoichiometric silicon is Si 3 N 4 .
  • stoichiometric means that the composition is such that the ratio of elements forms a neutrally charged compound.
  • Silicon-rich silicon nitride and silicon oxynitride are examples of nonstoichiometric materials. It is preferable that the silicon source layer 42 have sufficient silicon concentration to form the necessary silicide (i.e., so that the silicide is sufficiently electrically conductive) but not too much silicon as to cause stringer formation during the silicide process.
  • the approximate stoichiometries for the silicon-rich silicon nitride or silicon oxynitride are preferably equal to Si x N y O z wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
  • a thin film 44 is uniformly deposited over the silicon source layer 42 .
  • Thin film 44 will be selectively removed to form a hard mask 46 as shown in FIG. 1 c (discussed below).
  • Thin film 44 may comprise any suitable insulating material that is not rich in silicon (i.e., without free silicon).
  • Thin film 44 preferably comprises TEOS, Si 3 N 4 or silicon oxynitride rich in oxygen and may be deposited by any suitable manner, such as by CVD.
  • Thin film 44 preferably has a thickness of from about 200 ⁇ to about 400 ⁇ .
  • the thin film 44 is patterned such that portions of thin film 44 are exposed for removal to form hard mask 46 .
  • Patterned thin film 44 is etched using conventional etching techniques.
  • Hard mask 46 assists in the selective removal of material during later etching processes (discussed below) and defines the local interconnect.
  • the hard mask 46 is formed by etching the patterned thin film 44 , portions of the silicon source layer 42 are left exposed.
  • the hard mask 46 only covers portions of the silicon source layer 42 where local interconnects will not be formed and exposes portions of silicon source layer 42 where local interconnects are to be formed.
  • a uniform layer of a refractory metal 48 (or other electrically conductive, preferably silicide-forming material) is deposited on the hard mask 46 and on the exposed portions of the silicon source layer 42 .
  • Refractory metal 48 may be sputter deposited or may be deposited by any other suitable method.
  • Refractory metal 48 preferably comprises titanium, titanium nitride (Ti x N y , wherein y is from about 0.01 to about 0.15), cobalt, or colbalt nitride.
  • Refractory metal 48 is preferably deposited at a thickness of from about 300 ⁇ to about 500 ⁇ . The resulting structure is then annealed such that a metal silicide is formed.
  • the structure as shown in FIG. 1 c is preferably annealed using rapid thermal processing (RTP) in an N 2 /NH 3 atmosphere at a temperature of from about 700° C. to about 850° C., and more preferably from about 700° C. to about 750° C.
  • RTP rapid thermal processing
  • refractory metal 48 that is in intimate, direct contact with the exposed portions of the silicon source layer 42 .form metal silicide regions 52 (as shown in FIG. 1 d ).
  • the portions of the silicon source layer 42 underlying the hard mask 46 do not react to form a silicide compound (but remain as silicon source layer material).
  • the portions of refractory metal 48 overlying the hard mask 46 do not react to form a silicide compound.
  • titanium nitride (wherein the titanium nitride is Ti x N y , y being equal to from about 0.01 to about 0.15) is deposited as refractory metal 48 , those portions of the Ti x N y layer in contact with the silicon source layer 42 will react during the anneal process to form a titanium silicide (e.g., TiSi x N y ). Thus metal silicide regions 52 are formed only in those areas where the local interconnects are to be formed.
  • non-reacted refractory metal 48 is then removed from hard mask 46 using an etchant that is selective to the particular metal silicide.
  • an etchant that is selective to titanium silicide.
  • non-reacted refractory metal 48 is preferably removed using a wet etch process, such as an etchant mixture comprising NH 4 OH/H 2 O 2 /H 2 O (at a ratio of about 0.5:0.5:1).
  • a preferred etchant may comprise HNO 3 /H 2 O 2 /H 2 O (at a ratio of about 0.5:0.5:1).
  • Such an etchant mixture is selective to cobalt silicide.
  • the remaining silicon source layer 42 need not be removed as it is not a conductive material.
  • the hard mask 46 need not be removed. In some devices, it is preferable to have the hard mask 46 remain, as the hard mask may act as a protective layer for the active areas of the device.
  • ILD 56 is deposited over hard mask 46 and metal silicide regions 52 .
  • ILD 56 is typically a silica substantially comprising materials selected from a group consisting of silicon dioxide, borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), doped or undoped oxides, and mixtures thereof.
  • BPSG borophosphosilicate glass
  • PSG phosphosilicate glass
  • CMP chemical mechanical polishing
  • a recess 60 is then patterned on the ILD 56 and the ILD is removed as illustrated by phantom lines in FIG. 1 e .
  • Recess 60 i.e., an electrical contact hole
  • “generally aligned with a selected active area” is intended to mean positioned substantially perpendicular to a location where the active area 14 is situated within the substrate 18 .
  • multiple recesses may be formed in the passivation layer to form electrical connection to a selected active area 14 .
  • the etchant used to remove selected portions of ILD 56 to form recess 60 may be isotropic or anisotropic, but is preferably selective to the metal silicide 52 .
  • a typical etchant comprises CHF 3 , CF 4 , Ar, or a mixture thereof.
  • Electrical contact 64 typically comprises suitable electrically conductive materials, such as aluminum, copper, tungsten, or other suitable conductor materials.
  • the local interconnect structure 10 made according to the above-described method comprises a substrate 18 having active areas 14 and isolation region 20 formed therein. Topographical sub-structures, such as gate stacks 25 for formation of MOSFETs, are included according to the specific needs of the ultimate device to be made (e.g., a memory device).
  • the local interconnect structure 10 of the present invention further includes metal silicide regions 52 forming electrical connecting portions of the local interconnects. Non-conductive silicon source layer portions 42 are located immediately adjacent the metal silicide regions 52 .
  • Hard mask 46 covers the silicon source layer portions 42 and ILD 56 covers the hard mask 46 and metal silicide regions 52 with the exception of those metal silicide regions located directly above active areas 14 at the electrical contact 64 . Electrical contact 64 extends from the uppermost surface 68 of the local interconnect structure 10 to the metal silicide region 52 overlying the active area 14 .
  • Local interconnect structure 10 (as shown in FIG. 3) of the present invention is complete. Local interconnect structure 10 of the present invention may now undergo conventional processing depending upon specific needs, such as further processing to form a memory device.
  • FIG. 6 Another method of manufacture of another embodiment of the local interconnect structure 110 (as shown in FIG. 6) of the present invention is described with reference to FIGS. 4 a - 4 f and FIG. 5.
  • a semiconductor or wafer substrate 118 includes one or more active regions 114 defined in the substrate.
  • the substrate 118 may comprise silicon, gallium arsenide, glass, an insulating material such as sapphire, or any other substrate material upon which an integrated circuit wafer may be fabricated.
  • Active regions 114 are typically formed by doping specific portions of the substrate 118 , as described above.
  • One or more field oxide or isolation regions 120 are formed in the substrate 118 , also as described above with reference to the first method.
  • Gate stack 125 may comprise a gate oxide 122 having a transistor gate 124 , typically comprising a polysilicon layer.
  • a conductive layer 128 Overlying the transistor gate 124 is a conductive layer 128 , e.g., a refractory metal silicide layer.
  • the conductive layer 128 typically comprises a refractory metal silicide including but not limited to titanium, tungsten, tantalum, or molybdenum silicide, e.g., tungsten silicide (WSi x ).
  • Insulating cap 136 typically comprises an oxide or nitride such as a tetraethoxysilane (TEOS) oxide layer.
  • TEOS tetraethoxysilane
  • Each gate stack 125 may include one or more spacers 132 formed immediately adjacent the stacks, as described above and as shown in FIG. 4 a and FIG. 6.
  • a selected portion of the cap 136 may be removed (e.g., by dry etch) to allow access to the transistor gate 124 via the conductive layer 128 .
  • the cap 136 may be initially deposited such that a portion of the conductive layer 128 is exposed to allow access to the transistor gate 124 .
  • a uniform layer of a refractory metal 148 (or other material capable of forming a silicide) is deposited over exposed portions of substrate 118 , exposed portions of isolation regions 120 , spacers 132 , and caps 136 .
  • the refractory metal 148 may be sputter deposited or may be deposited by any other suitable method.
  • the refractory metal 148 preferably comprises titanium, titanium nitride (Ti x N y ), cobalt, or colbalt nitride.
  • the refractory metal 148 is preferably deposited at a thickness of from about 300 ⁇ to about 500 ⁇ .
  • Silicon source layer 142 is deposited uniformly over the refractory metal 148 .
  • Silicon source layer 142 preferably comprises a silicon-rich silicon nitride film or a silicon oxynitride film (each compound having stoichiometries substantially as described above in reference to the embodiment shown in FIGS. 1 b - 1 e ).
  • Silicon source layer 142 should have sufficient free silicon concentration to form the necessary silicide (i.e., so that the silicide is sufficiently electrically conductive) but not so much free silicon as to cause stringer formation during the silicide process (such as occurs when using polysilicon).
  • Silicon-rich silicon nitride or silicon oxynitride may be deposited as described above in relation to the first embodiment or by any other suitable deposition techniques as known to persons skilled in the art.
  • the silicon source layer 142 preferably has a thickness of from about 150 ⁇ to about 400 ⁇ and more preferably from about 150 ⁇ to about 200 ⁇ .
  • Silicon source layer 142 is patterned and selectively removed (as shown in FIG. 4 c ) to form partial source layer 146 . That is, silicon source layer 142 is patterned such that removal of portions of the source layer forms partial source layer 146 (i.e., a hard mask), which in turn defines the location(s) of the local interconnect. Referring to FIG. 4 c , the portions of silicon source layer 142 that are then removed are those portions where local interconnection is not needed.
  • the selected portions of the silicon source layer 142 may he removed using conventional etchants and etch methods, but are preferably removed using an etchant that is selective to the refractory metal 148 (e.g., by dry etch).
  • the structure as shown in FIG. 4 d is preferably annealed using RTP in an N 2 /NH 3 atmosphere at a temperature of from about 700° C. to about 850° C., and more preferably at from about 700° C. to about 750° C.
  • refractory metal 148 in contact with the partial source layer 146 form metal silicide regions 152 (as shown in FIG. 4 e ).
  • the portions of the exposed refractory metal 148 do not form a silicide compound (i.e., remain as refractory metal and refractory metal nitride) except those portions in direct contact with the silicon substrate 118 (i.e., at the active areas and local interconnect areas).
  • titanium x N y is deposited as refractory metal 148 , those portions of the titanium or titanium nitride layer in intimate and direct contact with the partial source layer 146 will form a titanium suicide (e.g., TiSi x N y ) while those portions not directly in contact with partial source layer 146 will remain as titanium or titanium nitride.
  • metal silicide regions 152 are formed only in those areas in which the local interconnects are to be formed.
  • non-reacted refractory metal 148 is then etched from the structure.
  • the etchant used is selective to the materials comprising exposed portions of the topographical structures (i.e., spacers 132 , cap 136 , isolation regions 120 , and substrate 118 ).
  • An oxide cap 170 is deposited uniformly over exposed portions of the topographical structures (i.e., spacers 132 , cap 136 , isolation regions 120 , and substrate 118 ) and over metal silicide regions 152 .
  • Oxide cap 170 may comprise a layer of oxide that provides a protective cap and is preferably from about 300 ⁇ to about 400 ⁇ in thickness.
  • ILD 156 typically comprises a silica substantially comprising materials selected from a group consisting of silicon dioxide, borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), doped or undoped oxides, and mixtures thereof, although other passivation materials may be used.
  • BPSG borophosphosilicate glass
  • PSG phosphosilicate glass
  • doped or undoped oxides and mixtures thereof, although other passivation materials may be used.
  • CMP a suitable process
  • the structure may be annealed at a temperature of about 750° C. to about 900° C. to reflow ILD 156 , resulting in a relatively smooth top layer.
  • a recess 160 is then patterned on ILD 156 and the selected portion of ILD 156 is removed as illustrated by phantom lines in FIG. 4 f .
  • Recess 160 i.e., contact hole
  • ILD 156 adjacent to gate stack 125 and is generally aligned with one or more selected active areas 114 . That is, although only a single recess 160 is illustrated in FIG. 4 f , multiple recesses may be formed in ILD 156 to form electrical connection to multiple selected active areas 114 .
  • the etchant used to remove selected portions of ILD 156 to form recess 160 may be isotropic or anisotropic but is preferably selective to the exposed portions of the structure described above.
  • a typical etchant comprises CHF 3 , CHF 4 , or a mixture thereof.
  • Electrical contact 164 typically comprises an electrically conductive material, such as aluminum, copper, tungsten, or any other suitable conductor materials.
  • the local interconnect structure 110 made according to the above-described method comprises a substrate 118 having active areas 114 and isolation regions 120 formed therein. Topographical substructures, such as gate stacks 125 of MOSFETs, are included according to the specific needs for the ultimate device to be made (e.g., a memory device).
  • the local interconnect structure 110 of the present invention further includes metal silicide regions 152 forming electrical connecting portions of the local interconnects.
  • Oxide cap 170 covers metal silicide regions 152 and the exposed portions of spacers 132 , cap 136 , isolation region 120 , and substrate 118 . Electrical contact 164 extends from the uppermost portion 168 of the local interconnect structure 110 to the metal silicide region 152 overlying the selected active area 114 .
  • Local interconnect structure 110 (as shown in FIG. 6) of the present invention is complete. Local interconnect structure 110 of the present invention may now undergo conventional processing depending upon specific needs, such as further processing to form a memory device.

Abstract

The present invention provides methods of forming local interconnect structures for integrated circuits. A representative embodiment includes depositing a silicon source layer over a substrate having at least one topographical structure thereon. The silicon source layer preferably comprising silicon rich silicon nitride, silicon oxynitride or other silicon source having sufficient free silicon to form a silicide but not so much free silicon as to result in formation of stringers (i.e., does not comprise polysilicon). The silicon source layer is preferably deposited over an active area in the substrate and at least a portion of the topographical structure. A silicide forming material, e.g., a refractory metal, is deposited directly on selected regions of the silicon source layer and over the topographical structure. A silicide layer is made from the silicide forming material and the silicon source layer preferably by annealing the structure. The silicide layer defines a portion of the local interconnect structure, unreacted silicide forming material is removed and an interlevel dielectric is formed over the silicide layer. The interlevel dielectric includes a recess defined substantially over the active area and an electrically conductive material is deposited in the recess. The present invention also provides local interconnect structures.

Description

    FIELD OF THE INVENTION
  • The present invention relates to local interconnect structures in an integrated circuit, and methods for making the same. [0001]
  • BACKGROUND OF THE INVENTION
  • A continuing trend in semiconductor technology is to build integrated circuits with more and faster semiconductor devices. The drive toward this ultra large-scale integration has resulted in a continued shrinking of device and circuit features. To take advantage of an increasing number of devices and to form the devices into one or more circuits, the various devices must be interconnected. [0002]
  • Ultra-large scale integrated circuit technology includes the formation of isolated semiconductor devices formed within the surface of silicon wafers and interconnecting these devices with wiring layers above the surface. The interconnection system typically consists of two or more levels of interconnection metallurgy, separated by insulation layers. The first level of interconnection is used to define small fundamental circuits, e.g., a basic CMOS inverter requiring that the gates on NMOS and PMOS devices are connected together. Memory cells such as 6T SRAM, in particular, require several such local interconnections. [0003]
  • To accomplish interconnection on such a small scale, a local interconnect is typically used within an integrated circuit to provide an electrical connection between two or more conducting or semiconducting regions (e.g., active regions of one or more devices). More specifically, local interconnects are routing-restricted interconnect levels used for the short metallization runs, such as those that locally interconnect gates and drains in NMOS and CMOS circuits and those that connect a given metallization layer to a particular structure within the integrated circuit. [0004]
  • Local interconnects are typically formed of low resistance material, such as a conductor or a doped semiconductor that is formed to electrically couple selected regions. A commonly used technique for forming local interconnects is the Damascene process. In this process a first metal is inlaid into a dielectric layer. This involves first depositing the dielectric layer and then polishing via chemical mechanical polishing (CMP) to make the layer planar. The structure is then patterned and etched to form recessed trenches in the dielectric layer where conductive metal lines are to be deposited. Contact to the underlying devices is made where the trenches pass over the active device regions; elsewhere the dielectric layer insulates the metal from the substrate. Generally, a sandwich structure of titanium (Ti), titanium nitride (TiN), and tungsten is next deposited in the trench and onto the dielectric surface. A second CMP is then used to remove the conductive materials from the dielectric surface, leaving metal in the trench. The CMP step is followed by a next level of interlevel dielectric (ILD) deposition, contact patterning and etching, and a filling with a conductive metal. Due to time and associated costs, it is undesirable to require two CMP processes to form a local interconnect structure. [0005]
  • Other methods for forming local interconnects have been used in effort to avoid the multiple CMP processing steps required by the Damascene technique. Such methods use a polycrystalline silicon (polysilicon) layer as a silicon source layer. Typically, titanium (or titanium nitride, Ti[0006] xNy, wherein y is less than about 0.12) is deposited over a device. Polysilicon is then deposited as a uniform layer over the titanium. An interconnect pattern is formed thereon and portions of the polysilicon layer are removed. The device is then annealed so that the titanium in contact with the polysilicon forms a titanium silicide. The remaining titanium (that did not react with the polysilicon) is removed. Theoretically, this process allows formation of self-aligned local interconnects. In practice, however, titanium that does not overly the polysilicon source layer nonetheless typically leaches silicon (i.e., reacts with free silicon) from those portions of the polysilicon source layer that are adjacent the titanium resulting in the formation of stringers. Stringers cause electrical shorting between devices.
  • SUMMARY OF THE INVENTION
  • To overcome the deficiencies in the prior art, the present invention provides local interconnect structures that are free of stringers. The present invention also provides methods for making such local interconnect structures wherein the methods do not require two or more CMP processing steps. Because local interconnect structures form electrical connections of relatively short distances (typically about 0.5 μm to about 10 μm), the material forming the local interconnects need not possess a low resistance value (as compared to materials forming electrical interconnections of greater distances (i.e., typically distances greater than 10 μm)). Accordingly, materials other than polycrystalline silicon are used in the present invention to form a silicon source layer for fabrication of local interconnect structures. [0007]
  • The present invention provides methods for forming a local interconnect structures for integrated circuits. In a representative method, a substrate having a surface and including at least one topographical structure thereon (such that a region of the surface of the substrate is exposed) is provided. An active area is preferably formed in the substrate prior to formation of the topographical structure. A thin silicon source layer is then deposited over at least a portion of the active area. The silicon source layer preferably comprises silicon rich silicon nitride, silicon oxynitride or other silicon source having sufficient free silicon to form a silicide but not so much free silicon as to result in formation of stringers (as occurs with the use of polysilicon). A silicide forming material, such as a refractory metal, is deposited directly upon selected regions of the silicon source layer and over the topographical structure. The structure is then preferably annealed to form a silicide layer from the refractory metal and silicon source layer. The silicide layer creates a portion of the local interconnect structure. Remaining non-reacted silicide forming material (e.g., regions of the silicon source layer not in direct, intimate contact with the silicide forming material) is removed and an interlevel dielectric is deposited over the suicide layer. The interlevel dielectric includes at least one recess defined substantially over the active area. An electrically conductive material is deposited in the recess to complete the local interconnect structure. [0008]
  • According to another representative embodiment a method of forming a local interconnect structure for an integrated circuit is provided wherein a silicide forming material, e.g., a refractory metal, is deposited prior to deposition of a silicon source layer. The silicon source layer preferably comprises silicon rich silicon nitride, silicon oxynitride or other silicon source having sufficient free silicon to form a silicide but not so much free silicon as to result in formation of stringers. The silicon source layer is deposited over the refractory metal and is patterned and etched to form a hard mask. The remainder of the method is essentially identical to the representative embodiment set forth above. [0009]
  • According to another aspect of the present invention, local interconnect structures are provided. A representative embodiment of the local interconnect structure preferably includes a substrate having at least one topographical structure, such as a gate stack. At least one active area is adjacent to the topographical structure. Silicon source overlays a portion of the substrate and a portion of the topographical structure. A suicide layer covers at least a portion of the active area and extends over a portion of the topographical structure thereby forming a portion of the local interconnect structure. An oxide layer preferably overlays the silicon source but not the silicide layer. A passivation layer covers the oxide layer and the silicide layer. The passivation layer includes at least one recess that extends through the passivation layer and terminates substantially at the active area. An electrically conductive material substantially fills the recess to form an electrical contact with the silicide layer and the active area. [0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1[0011] a-1 e show, in cross-section, a method of manufacture and a resulting local interconnect structure according to an embodiment of the present invention.
  • FIG. 2 is a process flow diagram of the method shown in FIGS. 1[0012] a-1 e.
  • FIG. 3 is a cross-sectional view of an embodiment of the local interconnect structure of the present invention. [0013]
  • FIGS. 4[0014] a-4 f show, in cross-section, another method of manufacture and a resulting local interconnect structure according to another embodiment of the present invention.
  • FIG. 5 is a process flow diagram of the method shown in FIGS. 4[0015] a-4 f.
  • FIG. 6 is a cross-sectional view of another embodiment of the local interconnect structure of the present invention.[0016]
  • DETAILED DESCRIPTION OF THE INVENTION
  • A first method of manufacture of an embodiment of a local interconnect structure according to the present invention is described with reference to FIGS. 1[0017] a-1 e and FIG. 2.
  • Although the present invention is described primarily with reference to transistors as forming a part of the local interconnect structure, it should be understood that the local interconnect structures and manufacturing methods of the present invention apply equally well to any semiconductor device or integrated circuit requiring one or more local interconnects. For example, one application of the local interconnect structure of the present invention may be the formation of electrical interconnection between a transistor gate stack and a contact to an adjacent active area in a semiconductor substrate. [0018]
  • FIG. 1[0019] a illustrates a typical beginning structure for making a local interconnection structure 10 (FIG. 3) of the present invention. The beginning structure may include a semiconductor or wafer substrate 18 with at least one active region 14 defined in the substrate 18. The substrate 18 may comprise silicon, gallium arsenide, glass, an insulating material such as sapphire, or any other substrate material upon which an integrated circuit wafer may be fabricated. Active regions 14 are typically formed by doping specific portions of the wafer substrate 18 by conventional methods, such as ion implantion or diffusion. A field oxide or isolation region 20 is formed in the substrate 18.
  • The field oxide or [0020] isolation region 20 may be formed by conventional means known to persons skilled in the art, such as by local oxidation of a silicon substrate or isolation diffusion of the substrate 18. Isolation region 20 forms p-n junctions that separate areas of the substrate 18. In other words, the isolation region 20, in part, serves the function of a dielectric to electrically isolate regions of the substrate 18.
  • Materials are deposited on the [0021] substrate 18 and selectively removed to form the desired topographical structure, such as a transistor gate stack 25. Although two complete gate stacks 25 are illustrated in FIGS. 1a-1 e, there can be any number of gate stacks 25 or other various topographical structures formed upon the substrate 18. Gate stack 25 may comprise a gate oxide 22 having a transistor gate 24 that typically comprises a polysilicon layer. Overlying the transistor gate 24 may be a metal silicide layer 28 (or some other conductor layer). The refractory metal silicide 24 of the gate stack 25 typically comprises any refractory metal silicide including but not limited to titanium, cobalt, tungsten, tantalum, or molybdenum silicides.
  • Overlying the refractory [0022] metal silicide layer 28 is an insulating material cap 36, typically an oxide or nitride such as a tetraethoxysilane (TEOS) oxide. Each gate stack 25 may include one or more spacers 32. Spacers 32 are typically oriented perpendicular to the substrate 18 on either side of the gate stack 25. Spacers 32 may be formed by subjecting a layer of silicon nitride (not shown) deposited over the gate stack 25 to an anisotropic etch (a technique well known to persons skilled in the art). Alternatively, spacers 32 may be made of undoped silicon dioxide.
  • As shown with the [0023] gate stack 25 positioned on the right side of the structure shown in FIG. 1a, a selected portion of the cap 36 may be removed (e.g., by dry etch) to allow access to the transistor gate 24 via the metal silicide layer 28. Alternatively, the cap 36 may be initially deposited such that a portion of the metal silicide layer 28 is exposed to allow access to the transistor gate 24.
  • Referring to FIG. 1[0024] b, a silicon source layer 42 is deposited uniformly over the structure. Silicon source layer 42 preferably comprises silicon-rich silicon nitride or silicon oxynitride. Silicon-rich silicon nitride may be deposited by any method, but is preferably deposited by LPCVD. Likewise, silicon oxynitride may be deposited as a silicon source layer 42 by any method, but is preferably deposited by PECVD using a reactant gas mixture of silane, nitrous oxide, ammonia, and nitrogen. The silicon source layer 42 preferably has a thickness of from about 150 Å to about 400 Å and more preferably from about 150 Å to about 200 Å.
  • Stoichiometric silicon is Si[0025] 3N4. As used herein, stoichiometric means that the composition is such that the ratio of elements forms a neutrally charged compound. Silicon-rich silicon nitride and silicon oxynitride are examples of nonstoichiometric materials. It is preferable that the silicon source layer 42 have sufficient silicon concentration to form the necessary silicide (i.e., so that the silicide is sufficiently electrically conductive) but not too much silicon as to cause stringer formation during the silicide process. Accordingly, the approximate stoichiometries for the silicon-rich silicon nitride or silicon oxynitride are preferably equal to SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
  • Continuing to refer to FIG. 1[0026] b, a thin film 44 is uniformly deposited over the silicon source layer 42. Thin film 44 will be selectively removed to form a hard mask 46 as shown in FIG. 1c (discussed below). Thin film 44 may comprise any suitable insulating material that is not rich in silicon (i.e., without free silicon). Thin film 44 preferably comprises TEOS, Si3N4 or silicon oxynitride rich in oxygen and may be deposited by any suitable manner, such as by CVD. Thin film 44 preferably has a thickness of from about 200 Å to about 400 Å.
  • After deposition, the [0027] thin film 44 is patterned such that portions of thin film 44 are exposed for removal to form hard mask 46. Patterned thin film 44 is etched using conventional etching techniques. Hard mask 46 assists in the selective removal of material during later etching processes (discussed below) and defines the local interconnect.
  • Referring to FIG. 1[0028] c, after the hard mask 46 is formed by etching the patterned thin film 44, portions of the silicon source layer 42 are left exposed. The hard mask 46 only covers portions of the silicon source layer 42 where local interconnects will not be formed and exposes portions of silicon source layer 42 where local interconnects are to be formed.
  • Continuing to refer to FIG. 1[0029] c, a uniform layer of a refractory metal 48 (or other electrically conductive, preferably silicide-forming material) is deposited on the hard mask 46 and on the exposed portions of the silicon source layer 42. Refractory metal 48 may be sputter deposited or may be deposited by any other suitable method. Refractory metal 48 preferably comprises titanium, titanium nitride (TixNy, wherein y is from about 0.01 to about 0.15), cobalt, or colbalt nitride. Refractory metal 48 is preferably deposited at a thickness of from about 300 Å to about 500 Å. The resulting structure is then annealed such that a metal silicide is formed.
  • The structure as shown in FIG. 1[0030] c is preferably annealed using rapid thermal processing (RTP) in an N2/NH3 atmosphere at a temperature of from about 700° C. to about 850° C., and more preferably from about 700° C. to about 750° C. When the structure is annealed, refractory metal 48 that is in intimate, direct contact with the exposed portions of the silicon source layer 42.form metal silicide regions 52 (as shown in FIG. 1d). The portions of the silicon source layer 42 underlying the hard mask 46 do not react to form a silicide compound (but remain as silicon source layer material). Likewise the portions of refractory metal 48 overlying the hard mask 46 do not react to form a silicide compound. For example, if titanium nitride (wherein the titanium nitride is TixNy, y being equal to from about 0.01 to about 0.15) is deposited as refractory metal 48, those portions of the TixNy layer in contact with the silicon source layer 42 will react during the anneal process to form a titanium silicide (e.g., TiSixNy). Thus metal silicide regions 52 are formed only in those areas where the local interconnects are to be formed.
  • Referring to FIG. 1[0031] d, non-reacted refractory metal 48 is then removed from hard mask 46 using an etchant that is selective to the particular metal silicide. For example, when use of an etchant selective to titanium silicide is appropriate, non-reacted refractory metal 48 is preferably removed using a wet etch process, such as an etchant mixture comprising NH4OH/H2O2/H2O (at a ratio of about 0.5:0.5:1). If refractory metal 48 comprises cobalt or a cobalt compound, a preferred etchant may comprise HNO3/H2O2/H2O (at a ratio of about 0.5:0.5:1). Such an etchant mixture is selective to cobalt silicide.
  • Referring to FIG. 1[0032] d, the remaining silicon source layer 42 need not be removed as it is not a conductive material. Likewise, the hard mask 46 need not be removed. In some devices, it is preferable to have the hard mask 46 remain, as the hard mask may act as a protective layer for the active areas of the device.
  • As illustrated in FIG. 1[0033] e, a passivation layer or interlevel dielectric (ILD) 56 is deposited over hard mask 46 and metal silicide regions 52. ILD 56 is typically a silica substantially comprising materials selected from a group consisting of silicon dioxide, borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), doped or undoped oxides, and mixtures thereof. Once the ILD 56 is deposited, it is preferable to planarize the uppermost surface 68 of the local interconnect structure 10 by a suitable process, such as chemical mechanical polishing (CMP).
  • A [0034] recess 60 is then patterned on the ILD 56 and the ILD is removed as illustrated by phantom lines in FIG. 1e. Recess 60 (i.e., an electrical contact hole) is formed in the ILD 56 adjacent to the gate stack 25 and is generally aligned with the active area 14. As used herein, “generally aligned with a selected active area” is intended to mean positioned substantially perpendicular to a location where the active area 14 is situated within the substrate 18. Although only a single recess 60 is illustrated in FIG. 1e, multiple recesses may be formed in the passivation layer to form electrical connection to a selected active area 14. The etchant used to remove selected portions of ILD 56 to form recess 60 may be isotropic or anisotropic, but is preferably selective to the metal silicide 52. A typical etchant comprises CHF3, CF4, Ar, or a mixture thereof.
  • An electrically conductive layer is then applied to fill [0035] recess 60 and complete an electrical contact 64 to the corresponding active area 14 through the corresponding metal silicide region 52 (FIG. 3). Electrical contact 64 typically comprises suitable electrically conductive materials, such as aluminum, copper, tungsten, or other suitable conductor materials.
  • As shown in FIG. 3, the local interconnect structure [0036] 10 made according to the above-described method comprises a substrate 18 having active areas 14 and isolation region 20 formed therein. Topographical sub-structures, such as gate stacks 25 for formation of MOSFETs, are included according to the specific needs of the ultimate device to be made (e.g., a memory device). The local interconnect structure 10 of the present invention further includes metal silicide regions 52 forming electrical connecting portions of the local interconnects. Non-conductive silicon source layer portions 42 are located immediately adjacent the metal silicide regions 52. Hard mask 46 covers the silicon source layer portions 42 and ILD 56 covers the hard mask 46 and metal silicide regions 52 with the exception of those metal silicide regions located directly above active areas 14 at the electrical contact 64. Electrical contact 64 extends from the uppermost surface 68 of the local interconnect structure 10 to the metal silicide region 52 overlying the active area 14.
  • At this point, local interconnect structure [0037] 10 (as shown in FIG. 3) of the present invention is complete. Local interconnect structure 10 of the present invention may now undergo conventional processing depending upon specific needs, such as further processing to form a memory device.
  • Another method of manufacture of another embodiment of the local interconnect structure [0038] 110 (as shown in FIG. 6) of the present invention is described with reference to FIGS. 4a-4 f and FIG. 5.
  • Referring to FIG. 4[0039] a, as with the above-described embodiment, a semiconductor or wafer substrate 118 includes one or more active regions 114 defined in the substrate. The substrate 118 may comprise silicon, gallium arsenide, glass, an insulating material such as sapphire, or any other substrate material upon which an integrated circuit wafer may be fabricated. Active regions 114 are typically formed by doping specific portions of the substrate 118, as described above. One or more field oxide or isolation regions 120 are formed in the substrate 118, also as described above with reference to the first method.
  • Materials are deposited on the [0040] substrate 118 and selectively removed to form a desired topographical structure, such as one or more transistor gate stacks 125. Although two complete gate stacks 125 are illustrated in FIGS. 4a-4 f and FIG. 6, there may be any number of gate stacks 125 or any number of a variety of topographical structures formed upon the substrate 118. Gate stack 125 may comprise a gate oxide 122 having a transistor gate 124, typically comprising a polysilicon layer. Overlying the transistor gate 124 is a conductive layer 128, e.g., a refractory metal silicide layer. The conductive layer 128 typically comprises a refractory metal silicide including but not limited to titanium, tungsten, tantalum, or molybdenum silicide, e.g., tungsten silicide (WSix).
  • Overlying the [0041] conductive layer 128 of the gate stack 125 is an insulating-material cap 136. Insulating cap 136 typically comprises an oxide or nitride such as a tetraethoxysilane (TEOS) oxide layer. Each gate stack 125 may include one or more spacers 132 formed immediately adjacent the stacks, as described above and as shown in FIG. 4a and FIG. 6.
  • As shown with the [0042] gate stack 125 positioned on the right side of the structure shown in FIG. 4, a selected portion of the cap 136 may be removed (e.g., by dry etch) to allow access to the transistor gate 124 via the conductive layer 128. Alternatively, the cap 136 may be initially deposited such that a portion of the conductive layer 128 is exposed to allow access to the transistor gate 124.
  • Continuing to refer to FIG. 4[0043] a, a uniform layer of a refractory metal 148 (or other material capable of forming a silicide) is deposited over exposed portions of substrate 118, exposed portions of isolation regions 120, spacers 132, and caps 136. The refractory metal 148 may be sputter deposited or may be deposited by any other suitable method. The refractory metal 148 preferably comprises titanium, titanium nitride (TixNy), cobalt, or colbalt nitride. The refractory metal 148 is preferably deposited at a thickness of from about 300 Å to about 500 Å.
  • Referring to FIG. 4[0044] b, a silicon source layer 142 is deposited uniformly over the refractory metal 148. Silicon source layer 142 preferably comprises a silicon-rich silicon nitride film or a silicon oxynitride film (each compound having stoichiometries substantially as described above in reference to the embodiment shown in FIGS. 1b-1 e). Silicon source layer 142 should have sufficient free silicon concentration to form the necessary silicide (i.e., so that the silicide is sufficiently electrically conductive) but not so much free silicon as to cause stringer formation during the silicide process (such as occurs when using polysilicon). Silicon-rich silicon nitride or silicon oxynitride may be deposited as described above in relation to the first embodiment or by any other suitable deposition techniques as known to persons skilled in the art. The silicon source layer 142 preferably has a thickness of from about 150 Å to about 400 Å and more preferably from about 150 Å to about 200 Å.
  • [0045] Silicon source layer 142 is patterned and selectively removed (as shown in FIG. 4c) to form partial source layer 146. That is, silicon source layer 142 is patterned such that removal of portions of the source layer forms partial source layer 146 (i.e., a hard mask), which in turn defines the location(s) of the local interconnect. Referring to FIG. 4c, the portions of silicon source layer 142 that are then removed are those portions where local interconnection is not needed. The selected portions of the silicon source layer 142 may he removed using conventional etchants and etch methods, but are preferably removed using an etchant that is selective to the refractory metal 148 (e.g., by dry etch).
  • The structure as shown in FIG. 4[0046] d is preferably annealed using RTP in an N2/NH3 atmosphere at a temperature of from about 700° C. to about 850° C., and more preferably at from about 700° C. to about 750° C. When the structure is annealed, refractory metal 148 in contact with the partial source layer 146 form metal silicide regions 152 (as shown in FIG. 4e). The portions of the exposed refractory metal 148 (i.e., those portions of refractory metal 148 wherein the silicon source layer 142 overlying it was removed as described above) do not form a silicide compound (i.e., remain as refractory metal and refractory metal nitride) except those portions in direct contact with the silicon substrate 118 (i.e., at the active areas and local interconnect areas). For example, if TixNy is deposited as refractory metal 148, those portions of the titanium or titanium nitride layer in intimate and direct contact with the partial source layer 146 will form a titanium suicide (e.g., TiSixNy) while those portions not directly in contact with partial source layer 146 will remain as titanium or titanium nitride. Thus, metal silicide regions 152 are formed only in those areas in which the local interconnects are to be formed.
  • Referring to FIG. 4[0047] f, non-reacted refractory metal 148 is then etched from the structure. The etchant used is selective to the materials comprising exposed portions of the topographical structures (i.e., spacers 132, cap 136, isolation regions 120, and substrate 118). An oxide cap 170 is deposited uniformly over exposed portions of the topographical structures (i.e., spacers 132, cap 136, isolation regions 120, and substrate 118) and over metal silicide regions 152. Oxide cap 170 may comprise a layer of oxide that provides a protective cap and is preferably from about 300 Å to about 400 Å in thickness.
  • As illustrated in FIG. 4[0048] f, a passivation layer or interlevel dielectric (ILD) 156 is deposited over oxide cap 170. ILD 156 typically comprises a silica substantially comprising materials selected from a group consisting of silicon dioxide, borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), doped or undoped oxides, and mixtures thereof, although other passivation materials may be used. Once the ILD 156 is deposited, it is preferable to planarize the uppermost surface 168 of the local interconnect structure 110 by a suitable process, such as CMP. Prior to or instead of CMP, the structure may be annealed at a temperature of about 750° C. to about 900° C. to reflow ILD 156, resulting in a relatively smooth top layer.
  • A [0049] recess 160 is then patterned on ILD 156 and the selected portion of ILD 156 is removed as illustrated by phantom lines in FIG. 4f. Recess 160 (i.e., contact hole) is formed in ILD 156 adjacent to gate stack 125 and is generally aligned with one or more selected active areas 114. That is, although only a single recess 160 is illustrated in FIG. 4f, multiple recesses may be formed in ILD 156 to form electrical connection to multiple selected active areas 114. The etchant used to remove selected portions of ILD 156 to form recess 160 may be isotropic or anisotropic but is preferably selective to the exposed portions of the structure described above. A typical etchant comprises CHF3, CHF4, or a mixture thereof.
  • An electrically conductive material is then deposited into [0050] recess 160 to complete formation of an electrical contact 164 to the active area 114 through the corresponding metal silicide region 152 (FIG. 6). Electrical contact 164 typically comprises an electrically conductive material, such as aluminum, copper, tungsten, or any other suitable conductor materials.
  • As shown in FIG. 6, the local interconnect structure [0051] 110 made according to the above-described method comprises a substrate 118 having active areas 114 and isolation regions 120 formed therein. Topographical substructures, such as gate stacks 125 of MOSFETs, are included according to the specific needs for the ultimate device to be made (e.g., a memory device). The local interconnect structure 110 of the present invention further includes metal silicide regions 152 forming electrical connecting portions of the local interconnects. Oxide cap 170 covers metal silicide regions 152 and the exposed portions of spacers 132, cap 136, isolation region 120, and substrate 118. Electrical contact 164 extends from the uppermost portion 168 of the local interconnect structure 110 to the metal silicide region 152 overlying the selected active area 114.
  • At this point, local interconnect structure [0052] 110 (as shown in FIG. 6) of the present invention is complete. Local interconnect structure 110 of the present invention may now undergo conventional processing depending upon specific needs, such as further processing to form a memory device.
  • Whereas the invention has been described with reference to multiple embodiments of the local interconnect structure and representative methods, it will be understood that the invention is not limited to those embodiments. On the contrary, the invention is intended to encompass all modifications, alternatives, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. [0053]

Claims (45)

1. A method of forming a local interconnect structure for an integrated circuit comprising:
providing a substrate having a surface and including at least one topographical structure thereon such that a region of the surface of the substrate is exposed;
forming at least one active area in the substrate;
forming a silicon source layer over the at least one active area and at least a portion of the at least one topographical structure;
depositing a refractory metal directly on selected regions of the silicon source layer and over the at least one topographical structure;
forming a silicide layer from the refractory metal and silicon source layer, the suicide layer defining a portion of the local interconnect structure;
removing the refractory metal;
forming an interlevel dielectric over the silicide layer, the interlevel dielectric having a recess defined substantially over the at least one active area; and
depositing an electrically conductive material in the recess.
2. The method of claim 1, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
3. The method of claim 1, wherein the silicon source layer comprises SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
4. The method of claim 1, wherein the silicon source layer does not comprise polysilicon.
5. The method of claim 1, wherein the refractory metal is selected from the group consisting essentially of titanium, titanium nitride, cobalt, cobalt nitride, and mixtures thereof.
6. The method of claim 5, wherein the refractory metal is TixNy, wherein y is from about 0.01 to about 0.15.
7. The method of claim 1, wherein the refractory metal is removed using an etchant that is selective to the refractory metal silicide.
8. A method of forming a local interconnect structure for an integrated circuit comprising:
providing a substrate having an exposed surface portion and an exposed active area;
depositing a silicon source layer over the active area and exposed surface portion;
forming a thin film over the silicon source layer;
patterning and removing selected regions of the thin layer to expose regions of the silicon source layer to define a local interconnect pattern on the silicon source layer;
depositing a silicide forming material on exposed regions of the silicon source layer;
reacting the silicide forming material with the exposed regions of the silicon source layer to form a silicide layer as part of the local interconnect structure;
removing the silicide forming material;
forming an interlevel dielectric over the silicide layer, the interlevel dielectric having a recess defined therein and substantially aligned with and extending to the active area; and
depositing an electrically conductive material in the recess.
9. The method of claim 8, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
10. The method of claim 8, wherein the silicon source layer comprises SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
11. The method of claim 8, wherein the thin film comprises a hard mask.
12. A method of forming a local interconnect structure for an integrated circuit comprising:
providing a substrate having a principal surface including at least one topographical structure thereon such that a substrate region of the principal surface is exposed;
forming at least one active area in the substrate adjacent the at least one topographical structure;
forming a silicon source layer over the substrate region of the principal surface;
forming a hard mask on selected silicon source layer regions such that the silicon source layer regions that form part of the local interconnect structure remain exposed;
forming a refractory metal over the hard mask and on the silicon source layer regions;
annealing to form a portion of the local interconnect structure;
removing refractory metal from the hard mask;
forming an interlevel dielectric over the portion of the local interconnect structure and the hard mask, the interlevel dielectric having a recess defined therein and substantially aligned with the active area or the topographical structure; and
depositing an electrically conductive material in the recess.
13. The method of claim 12, wherein the silicon sources layer does not comprise polysilicon.
14. The method of claim 12, wherein the resulting local interconnect structure is substantially free of stringers.
15. A method of manufacturing a semiconductor device comprising:
providing a substrate having a principal surface including at least one gate stack formed thereon such that a region of the principal surface of the substrate is exposed;
forming an active area in the substrate immediately adjacent the gate stack;
forming a silicon source layer over at least a portion of the active area and over at least a portion of the gate stack;
depositing a refractory metal on selected regions of the silicon source layer;
creating a silicide layer from the refractory metal and silicon source layer, the silicide layer forming a portion of a local interconnect structure;
forming a passivation layer on the silicide layer and silicon source layer, the passivation layer having a recess formed therein, the recess being substantially aligned with a portion of the silicide layer; and
depositing an electrically conductive material in the recess.
16. The method of claim 15, wherein the silicide layer is formed by an annealing process.
17. A method of manufacturing an integrated circuit comprising:
forming an active area in a substrate;
forming a topographical device on the substrate;
forming a silicon source layer over a surface of the substrate and over at least a portion of the topographical structure, the silicon source layer comprising a material selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof;
forming a hard mask on the silicon source layer to define a local interconnect pattern thereon;
depositing a uniform layer of a refractory metal on the silicon source layer and on the hard mask;
forming a refractory metal silicide layer;
removing refractory metal overlying the hard mask;
forming an interlevel dielectric over the silicide layer and hard mask, the interlevel dielectric having a recess defined substantially over the active area or a portion of the topographical structure; and
depositing an electrically conductive material in the recess.
18. The method of claim 17, wherein the refractory metal is selected from the group consisting essentially of titanium, titanium nitride, cobalt, cobalt nitride, and mixtures thereof.
19. The method of claim 17, wherein the refractory metal is TixNy, wherein y is equal to about 0.01 to about 0.15.
20. The method of claim 17, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
21. A method of manufacturing a semiconductor device comprising:
providing a substrate having at least one gate stack formed thereon such that a region of the substrate is exposed;
forming at least one active area in the substrate adjacent the at least one gate stack;
removing a portion of the at least one gate stack to provide electrical access to a gate in the at least one gate stack;
forming a silicon source layer over the at least one active area and over at least a portion of the at least one gate stack;
depositing a refractory metal on selected regions of the silicon source layer;
creating a silicide layer from the refractory metal and silicon source layer, the silicide layer forming a portion of a local interconnect structure;
forming a passivation layer on the silicide layer, the passivation layer having recesses formed therein, the recesses being substantially aligned with the at least one active area or the at least one gate stack; and
depositing an electrically conductive material in the recesses.
22. The method of claim 21, wherein a hard mask is deposited on selected portions of the silicon source layer prior to deposition of the refractory metal.
23. The method of claim 21, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
24. A method of forming a local interconnect structure for an integrated circuit comprising:
providing a substrate on which a surface of the substrate and an active area are exposed;
depositing a refractory metal over at least a portion of the active area and the exposed surface of the substrate;
depositing a silicon source layer over the refractory metal;
patterning and removing selected regions of the silicon source layer to expose regions of the refractory metal defining a local interconnect pattern;
reacting regions of the silicon source layer with the refractory metal creating a local interconnect structure;
removing un-reacted refractory metal;
forming an interlevel dielectric having a recess defined therein and substantially aligned with the active area; and
depositing an electrically conductive material in the recess.
25. The method of claim 24, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
26. The method of claim 24, wherein the silicon source layer comprises SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
27. The method of claim 24, wherein the silicon source layer does not comprise polysilicon.
28. The method of claim 24, wherein the refractory metal is selected from the group consisting essentially of titanium, titanium nitride, cobalt, cobalt nitride, and mixtures thereof.
29. The method of claim 24, wherein the refractory metal is removed using an etchant that is selective to a refractory metal silicide.
30. A method of forming a local interconnect structure for an integrated circuit comprising:
providing a substrate having a surface and including at least one topographical structure thereon such that a region of the surface of the substrate is exposed;
forming an active area in the substrate;
depositing a silicide forming material over the active area and at least a portion of the topographical structure;
depositing a silicon source layer on selected regions of the silicide forming material;
making a silicide layer from the silicide forming material and silicon source layer, the silicide layer creating a portion of the local interconnect structure;
removing un-reacted silicide forming material;
forming an oxide cap over the silicide layer, exposed portions of the topographical structure, and the substrate;
forming a passivation layer over the oxide cap;
defining at least one recess extending through the passivation layer and the oxide cap, the recess substantially aligned over the active area or a portion of the topographical structure; and
depositing an electrically conductive material in the recess.
31. The method of claim 30, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
32. The method of claim 30, wherein the silicon source layer comprises SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
33. The method of claim 30, wherein the silicon source layer does not comprise polysilicon.
34. A method of manufacturing an integrated circuit device comprising:
providing a substrate having a surface including at least one topographical structure thereon such that a region of the surface of the substrate is exposed;
forming at least one active area in the substrate adjacent the at least one topographical structure;
forming a refractory metal over the exposed region of the substrate, at least a portion of the at least one active area, and at least a portion of the at least one topographical structure;
forming a silicon source layer over the refractory metal;
patterning and removing selected portions of the silicon source layer such that selected regions of the refractory metal structure are exposed;
annealing to form a refractory metal silicide;
removing un-reacted refractory metal after the refractory metal silicide is formed;
forming an interlevel dielectric having a recess defined therein and substantially aligned with at least a portion of the at least one active area or at least a portion of the at least one topographical structure; and
depositing an electrically conductive material in the recess.
35. The method of claim 34, wherein the silicon source layer comprises SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
36. The method of claim 34, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
37. A method of manufacturing a semiconductor device comprising:
providing a substrate having at least one gate stack thereon;
forming at least one active area in the substrate immediately adjacent the at least one gate stack;
forming a refractory metal over a portion of the at least one active area and over at least a portion of the at least one gate stack;
depositing a silicon source layer on the refractory metal;
removing selected regions of the silicon source layer to define a local interconnect structure over a portion of the at least one active area or the at least one gate stack;
reacting refractory metal covered by the silicon source layer with the silicon source layer to form a silicide layer;
forming an oxide cap over the silicide layer, exposed portions of the at least one gate stack and the substrate;
forming a passivation layer over the oxide cap;
defining at least one recess extending through the passivation layer and the oxide cap, the recess substantially aligned over a portion of the at least one active area or the at least one gate stack; and
depositing an electrically conductive material in the recess.
38. A method of manufacturing an integrated circuit comprising:
forming an active area in a substrate;
forming a topographical device on the substrate;
depositing a layer of a refractory metal over a surface of the substrate and over the topographical structure
forming a silicon source layer over the refractory metal, the silicon source layer comprising a material selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof;
removing selected regions of the silicon source layer to define a local interconnect pattern on the refractory metal;
forming a refractory metal silicide layer from the refractory metal and the silicon source layer to form a portion of a local interconnect structure;
removing refractory metal after the refractory metal silicide is formed;
forming an interlevel dielectric over the silicide layer, the interlevel dielectric having recesses defined substantially over a portion of the active area and a portion of the topographical structure; and
depositing an electrically conductive material in the recesses.
39. An integrated circuit formed on a substrate, the integrated circuit having at least one local interconnect structure comprising:
at least one gate stack on the substrate;
at least one active area adjacent the at least one gate stack;
a silicon source layer overlying a portion of the substrate and a portion of the at least one gate stack;
a silicide layer overlying the at least one active area and extending over a portion of the at least one gate stack;
an oxide layer overlying the silicon source layer but not the silicide layer;
a passivation layer overlying the oxide layer and the silicide layer, the passivation layer having at least one recess defined therein, the at least one recess terminating substantially at the at least one active area or the at least one gate stack; and
an electrically conductive material disposed in and substantially filling the recess, the electrically conductive material forming an electrical contact with the silicide layer and the at least one active area or the at least one gate stack.
40. The method of claim 39, wherein the silicon source layer is selected from the group consisting essentially of silicon rich silicon nitride, silicon oxynitride, and mixtures thereof.
41. The method of claim 39, wherein the silicon source layer comprises SixNyOz wherein x is about 0.39 to about 0.65, y is about 0.02 to about 0.56, and z is about 0.05 to about 0.33.
42. The method of claim 39, wherein the silicon source layer does not comprise polysilicon.
43. The method of claim 39, wherein the refractory metal is selected from the group consisting essentially of titanium, titanium nitride, cobalt, cobalt nitride, and mixtures thereof.
44. The method of claim 39, wherein the refractory metal is TixNy, wherein y is from about 0.01 to about 0.15.
45. A local interconnect structure comprising:
a semiconductor substrate having at least one topographical structure thereon;
at least one active area adjacent the at least one topographical structure;
a silicon source layer overlying a portion of the semiconductor substrate and a portion of the at least one topographical structure;
a metal silicide layer overlying the at least one active area and extending over a portion of the at least one topographical structure;
a hard mask layer overlying the silicon source layer but not overlying the metal silicide layer;
an interlevel dielectric overlying the hard mask layer and the metal silicide layer, the interlevel dielectric having a recess defined therein extending substantially to the at least one active area; and
an electrically conductive material disposed in and substantially filling the recess, the electrically conductive material forming an electrical contact with the metal silicide and the at least one active area.
US10/326,716 1999-09-01 2002-12-20 Local interconnect structures and methods for making the same Abandoned US20030124845A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/326,716 US20030124845A1 (en) 1999-09-01 2002-12-20 Local interconnect structures and methods for making the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/388,832 US6436805B1 (en) 1999-09-01 1999-09-01 Local interconnect structures and methods for making the same
US10/024,256 US6522001B2 (en) 1999-09-01 2001-12-17 Local interconnect structures and methods for making the same
US10/326,716 US20030124845A1 (en) 1999-09-01 2002-12-20 Local interconnect structures and methods for making the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/024,256 Continuation US6522001B2 (en) 1999-09-01 2001-12-17 Local interconnect structures and methods for making the same

Publications (1)

Publication Number Publication Date
US20030124845A1 true US20030124845A1 (en) 2003-07-03

Family

ID=23535710

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/388,832 Expired - Lifetime US6436805B1 (en) 1999-09-01 1999-09-01 Local interconnect structures and methods for making the same
US10/024,256 Expired - Lifetime US6522001B2 (en) 1999-09-01 2001-12-17 Local interconnect structures and methods for making the same
US10/326,716 Abandoned US20030124845A1 (en) 1999-09-01 2002-12-20 Local interconnect structures and methods for making the same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/388,832 Expired - Lifetime US6436805B1 (en) 1999-09-01 1999-09-01 Local interconnect structures and methods for making the same
US10/024,256 Expired - Lifetime US6522001B2 (en) 1999-09-01 2001-12-17 Local interconnect structures and methods for making the same

Country Status (1)

Country Link
US (3) US6436805B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049936A1 (en) * 2001-09-07 2003-03-13 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and method for manufacturing the same
US20050130417A1 (en) * 2003-12-16 2005-06-16 Korea Advanced Institute Of Science And Technology Method for fabricating epitaxial cobalt-disilicide layers using cobalt-nitride thin film
US20090047762A1 (en) * 2004-07-20 2009-02-19 Satoshi Torii Apparatus and method for a memory array with shallow trench isolation regions between bit lines for increased process margins

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555455B1 (en) 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
US6429124B1 (en) * 1999-04-14 2002-08-06 Micron Technology, Inc. Local interconnect structures for integrated circuits and methods for making the same
US6630718B1 (en) 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
US6765269B2 (en) * 2001-01-26 2004-07-20 Integrated Device Technology, Inc. Conformal surface silicide strap on spacer and method of making same
US6503844B2 (en) * 2001-06-06 2003-01-07 Infineon Technologies, Ag Notched gate configuration for high performance integrated circuits
KR100408743B1 (en) * 2001-09-21 2003-12-11 삼성전자주식회사 Method of forming a quantum dot and method of forming a gate electrode using the same
US7538029B2 (en) * 2005-07-06 2009-05-26 International Business Machines Corporation Method of room temperature growth of SiOx on silicide as an etch stop layer for metal contact open of semiconductor devices
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7763534B2 (en) * 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7910418B2 (en) * 2008-01-30 2011-03-22 International Business Machines Corporation Complementary metal gate dense interconnect and method of manufacturing
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
CN101777518B (en) * 2009-01-13 2012-06-27 中芯国际集成电路制造(上海)有限公司 Method for improving integral parameter of gate oxide layer
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
CN106024893B (en) * 2016-05-30 2019-03-19 上海华力微电子有限公司 High-K metal gate device and preparation method thereof
US9748281B1 (en) * 2016-09-15 2017-08-29 International Business Machines Corporation Integrated gate driver
CN116504717B (en) * 2023-06-29 2023-09-12 合肥晶合集成电路股份有限公司 Method for preparing metal silicide

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5340774A (en) * 1993-02-04 1994-08-23 Paradigm Technology, Inc. Semiconductor fabrication technique using local planarization with self-aligned transistors
US5512516A (en) * 1988-05-27 1996-04-30 Fujitsu Limited Contact structure for connecting an electrode to a semiconductor device and a method of forming the same
US5573980A (en) * 1996-04-22 1996-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming salicided self-aligned contact for SRAM cells
US5721146A (en) * 1996-04-29 1998-02-24 Taiwan Semiconductor Manufacturing Company Ltd Method of forming buried contact architecture within a trench
US5756394A (en) * 1995-08-23 1998-05-26 Micron Technology, Inc. Self-aligned silicide strap connection of polysilicon layers
US5847463A (en) * 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
US5888894A (en) * 1997-11-07 1999-03-30 Integrated Silicon Solution, Inc. Method for reducing stray conductive material near vertical surfaces in semiconductor manufacturing processes
US5893741A (en) * 1997-02-07 1999-04-13 National Science Council Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's
US5895961A (en) * 1995-10-11 1999-04-20 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
US5913139A (en) * 1996-12-26 1999-06-15 Fujitsu Limited Method of manufacturing a semiconductor device with local interconnect of metal silicide
US5918147A (en) * 1995-03-29 1999-06-29 Motorola, Inc. Process for forming a semiconductor device with an antireflective layer
US5920796A (en) * 1997-09-05 1999-07-06 Advanced Micro Devices, Inc. In-situ etch of BARC layer during formation of local interconnects
US5928732A (en) * 1993-12-28 1999-07-27 Applied Materials, Inc. Method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046098A (en) * 1998-02-23 2000-04-04 Micron Technology, Inc. Process of forming metal silicide interconnects
GB2358079B (en) 2000-01-07 2004-02-18 Seiko Epson Corp Thin-film transistor

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5512516A (en) * 1988-05-27 1996-04-30 Fujitsu Limited Contact structure for connecting an electrode to a semiconductor device and a method of forming the same
US5477074A (en) * 1993-02-04 1995-12-19 Paradigm Technology, Inc. Semiconductor structure using local planarization with self-aligned transistors
US5340774A (en) * 1993-02-04 1994-08-23 Paradigm Technology, Inc. Semiconductor fabrication technique using local planarization with self-aligned transistors
US5928732A (en) * 1993-12-28 1999-07-27 Applied Materials, Inc. Method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition
US5918147A (en) * 1995-03-29 1999-06-29 Motorola, Inc. Process for forming a semiconductor device with an antireflective layer
US5756394A (en) * 1995-08-23 1998-05-26 Micron Technology, Inc. Self-aligned silicide strap connection of polysilicon layers
US5895961A (en) * 1995-10-11 1999-04-20 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
US5573980A (en) * 1996-04-22 1996-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming salicided self-aligned contact for SRAM cells
US5721146A (en) * 1996-04-29 1998-02-24 Taiwan Semiconductor Manufacturing Company Ltd Method of forming buried contact architecture within a trench
US5913139A (en) * 1996-12-26 1999-06-15 Fujitsu Limited Method of manufacturing a semiconductor device with local interconnect of metal silicide
US5893741A (en) * 1997-02-07 1999-04-13 National Science Council Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's
US5847463A (en) * 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
US5920796A (en) * 1997-09-05 1999-07-06 Advanced Micro Devices, Inc. In-situ etch of BARC layer during formation of local interconnects
US5888894A (en) * 1997-11-07 1999-03-30 Integrated Silicon Solution, Inc. Method for reducing stray conductive material near vertical surfaces in semiconductor manufacturing processes

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049936A1 (en) * 2001-09-07 2003-03-13 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and method for manufacturing the same
US7122850B2 (en) * 2001-09-07 2006-10-17 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US20070010090A1 (en) * 2001-09-07 2007-01-11 Dong-Kyun Nam Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US7704892B2 (en) 2001-09-07 2010-04-27 Samsung Electronics Co., Ltd. Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US20050130417A1 (en) * 2003-12-16 2005-06-16 Korea Advanced Institute Of Science And Technology Method for fabricating epitaxial cobalt-disilicide layers using cobalt-nitride thin film
US7105443B2 (en) * 2003-12-16 2006-09-12 Korea Advanced Institute Of Science And Technology Method for fabricating epitaxial cobalt-disilicide layers using cobalt-nitride thin film
US20090047762A1 (en) * 2004-07-20 2009-02-19 Satoshi Torii Apparatus and method for a memory array with shallow trench isolation regions between bit lines for increased process margins
US8507971B2 (en) * 2004-07-20 2013-08-13 Spansion Llc Apparatus and method for a memory array with shallow trench isolation regions between bit lines for increased process margins

Also Published As

Publication number Publication date
US6436805B1 (en) 2002-08-20
US6522001B2 (en) 2003-02-18
US20020068429A1 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
US6436805B1 (en) Local interconnect structures and methods for making the same
US5723893A (en) Method for fabricating double silicide gate electrode structures on CMOS-field effect transistors
US6410984B1 (en) Conductive structure in an integrated circuit
US4821085A (en) VLSI local interconnect structure
EP0279588B1 (en) Contact in a contact hole in a semiconductor and method of producing same
US5792703A (en) Self-aligned contact wiring process for SI devices
US6160296A (en) Titanium nitride interconnects
US5130267A (en) Split metal plate capacitor and method for making the same
JPH0797571B2 (en) Method for forming a contact window in a semiconductor structure
US6235627B1 (en) Semiconductor device and method for manufacturing the same
US20040183111A1 (en) Semiconductor device and semiconductor device manufacturing method
US6531749B1 (en) Field effect transistor having a two layered gate electrode
JP2720796B2 (en) Method for manufacturing semiconductor device
US6228761B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US5518961A (en) Semiconductor integrated circuit device with wiring microstructure formed on gates and method of manufacturing the same
JPH10223770A (en) Semiconductor device and manufacture thereof
US20030042607A1 (en) Diffusion barrier layer for semiconductor wafer fabrication
US6638843B1 (en) Method for forming a silicide gate stack for use in a self-aligned contact etch
US6054385A (en) Elevated local interconnect and contact structure
JPH08111527A (en) Preparation of semiconductor device with self-conformity silicide region
US6534393B1 (en) Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity
US5471094A (en) Self-aligned via structure
US6225222B1 (en) Diffusion barrier enhancement for sub-micron aluminum-silicon contacts
US6225216B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6020259A (en) Method of forming a tungsten-plug contact for a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TRIVEDI, JIGISH D.;REEL/FRAME:013607/0400

Effective date: 19990830

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION