US20030029563A1 - Corrosion resistant coating for semiconductor processing chamber - Google Patents

Corrosion resistant coating for semiconductor processing chamber Download PDF

Info

Publication number
US20030029563A1
US20030029563A1 US09/927,244 US92724401A US2003029563A1 US 20030029563 A1 US20030029563 A1 US 20030029563A1 US 92724401 A US92724401 A US 92724401A US 2003029563 A1 US2003029563 A1 US 2003029563A1
Authority
US
United States
Prior art keywords
rare earth
parent material
adhesion layer
coating
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/927,244
Inventor
Tony Kaushal
Chuong Dam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/927,244 priority Critical patent/US20030029563A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DAM, CHUONG QUANG, KAUSHAL, TONY S.
Publication of US20030029563A1 publication Critical patent/US20030029563A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Definitions

  • the present invention relates to equipment used in the manufacture of semiconductor devices. More specifically, the present invention relates to formation of a plasma-resistant coating on the surfaces of selected components of semiconductor manufacturing equipment.
  • Ceramic materials are commonly used in today's semiconductor manufacturing equipment to meet the high process performance standards demanded by integrated circuit manufacturers. Specifically, ceramic materials exhibit high resistance to corrosion, which helps to increase process kit lifetimes and lowers the cost of consumables as compared to other materials such as aluminum or quartz.
  • Example of components that can be advantageously manufactured from ceramic materials include chamber domes for inductively coupled reactors, edge rings used to mask the edge of a substrate support in certain processing chambers, and chamber liners that protect walls of the chamber from direct exposure to plasma formed within the chamber and improve plasma confinement by reducing coupling of a plasma with conductive chamber walls.
  • the chamber walls themselves may also be manufactured from ceramic materials. Ceramic materials are also used for critical components such as high temperature heaters and electrostatic chucks.
  • critical and/or high value ceramic parts of a semiconductor processing tool employed in production should have a lifetime of at least one year. Depending on the particular tool, this can correspond to processing of 50,000 wafers or more without changing any parts on the tool (i.e., a zero consumable situation), while at the same time maintaining high process performance standards. For example, to meet the requirements of some manufacturers, less than 20 particles of size of greater than 0.2 ⁇ m should be added to the wafer during the processing of the wafer in the chamber.
  • AlO:F, AlF x , or AlCl x films have relatively high vapor pressures and relatively low sublimation temperatures.
  • the sublimation temperature of aluminum chloride (AlCl x ) is approximately 350° C.
  • the sublimation temperature of aluminum fluoride (AlF x ) is approximately 600° C.
  • the outer surface of the component may be consumed by the process of formation of AlO:F, AlF x or AlCl x . This consumption of material can degrade the chamber component and/or introduce particles into the process.
  • the present invention provides a method for improving the corrosion resistance of components of semiconductor tools by creating high temperature halogen corrosion resistant surface coatings. Specifically, coatings of rare earth-containing materials are formed over the surfaces of ceramic tool components. These rare earth-containing materials are stable in plasma environments at high temperatures and may be formed onto the chamber components by sputter deposition. To promote adhesion of the coating to the parent material, an adhesion layer may be first formed on the ceramic material by accelerating rare earth ions into the surface of the ceramic material at changed energies to form an implant layer prior to formation of the surface coating.
  • An embodiment of a substrate processing chamber in accordance with the present invention includes at least one component bearing a rare earth-containing coating bound to a parent material by an intervening adhesion layer, such that the component exhibits resistance to etching in a plasma environment.
  • An embodiment of a method for treating a parent material for resistance to plasma etching comprises forming an adhesion layer over a parent material, and forming a rare earth-containing coating over the adhesion layer.
  • FIG. 1A is a simplified cross-sectional view of a high density plasma chemical vapor deposition chamber
  • FIG. 1B is a simplified cross-sectional view of a capacitively coupled plasma enhanced chemical vapor deposition chamber
  • FIG. 2A is a cross-sectional view of a coated member in accordance with a first embodiment of the present invention
  • FIG. 2B is a cross-sectional view of a coated member in accordance with a second embodiment of the present invention.
  • FIG. 3 is a simplified schematic view of a Metal Plasma Immersion Ion Implantation and Deposition (MEPIIID) technique
  • FIG. 4 is a graph illustrating the concentration of rare earth ions at various depths in a ceramic component treated with MEPIIID;
  • FIG. 5 is a simplified cross-sectional view of an exemplary metal vapor vacuum arc implanter used in the MEPIIID technique
  • FIG. 6 is a simplified schematic view of an Ion Bombardment Assisted Deposition (IBAD) technique
  • FIG. 7A shows a magnified (2000 ⁇ ) view of the top surface of a first grade of an AlN coupon following exposure to a fluorine ambient at high temperature.
  • FIG. 7B shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 7A.
  • FIG. 7C shows a magnified (2000 ⁇ ) view of the top surface of a second grade of an AlN coupon following exposure to a fluorine ambient at high temperature.
  • FIG. 7D shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 7C.
  • FIG. 8A shows a magnified (2000 ⁇ ) view of the top surface of a first grade of an AlN coupon coated with yttrium oxide by reactive sputtering in accordance with an alternative embodiment of the present invention.
  • FIG. 8B shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 8A.
  • FIG. 8C shows a magnified (2000 ⁇ ) view of the top surface of the AlN coupon of FIGS. 8 A-B following exposure to a fluorine ambient at high temperature.
  • FIG. 8D shows a magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 8C.
  • FIG. 8E shows a magnified (2000 ⁇ ) view of the top surface of a second grade of an AlN coupon coated with yttrium oxide by reactive sputtering in accordance with an alternative embodiment of the present invention.
  • FIG. 8F shows a further magnified (7500 ⁇ ) view of the top surface of the coated AlN coupon of FIG. 8E.
  • FIG. 8G shows a magnified (2000 ⁇ ) view of the top surface of an AlN coupon coated with in accordance with one embodiment of the present invention, following exposure to a fluorine ambient at high temperature.
  • FIG. 8H shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 8G.
  • FIG. 9A shows a magnified (2000 ⁇ ) view of the top surface of an AlN coupon implanted with yttrium in accordance with one embodiment of the present invention.
  • FIG. 9B shows a further magnified (7500 ⁇ ) view of the top surface of the implanted AlN coupon of FIG. 9A.
  • FIG. 9C shows a further magnified (9000 ⁇ ) view of the fractured AlN coupon of FIGS. 9 A- 9 B.
  • FIG. 9D shows a magnified (2000 ⁇ ) view of the surface of the implanted AlN coupon of FIGS. 9 A- 9 C following exposure to a fluorine ambient at high temperature.
  • FIG. 9E shows a further magnified (7500 ⁇ ) view of the surface of the implanted AlN coupon of FIG. 9D.
  • FIG. 10A shows a magnified (3300 ⁇ ) view of a fractured AlN coupon implanted with yttrium oxide following exposure to a fluorine ambient at high temperature.
  • FIG. 10B shows a further magnified (7500 ⁇ ) view of the fractured AlN coupon of FIG. 10A.
  • FIG. 11 shows the results of Energy Dispersive Spectroscopy (EDS) of the surface of the AlN coupon of FIGS. 10 A- 10 B coated in accordance with an embodiment of the present invention, following exposure to a fluorine ambient at high temperature.
  • EDS Energy Dispersive Spectroscopy
  • ceramic components of semiconductor fabrication tools including but not limited to electrostatic chucks, gas nozzles, chamber domes, heated pedestals, gas distribution manifolds, chamber walls and chamber liners, may be coated with a rare earth-containing material and adhesion layer in order to improve corrosion resistance.
  • Environments for which the coated components can be advantageously used include, but are not limited to, highly corrosive plasma etching environments, and high temperature deposition environments that feature corrosive gases.
  • FIGS. 1A and 1B are simplified cross-sectional views of exemplary substrate processing chambers in which ceramic components made according to the method of the present invention may be employed.
  • FIG. 1A is a simplified cross-sectional view of a high density plasma chemical vapor deposition (HDP-CVD) chamber 10 such as an Ultima HDP-CVD substrate processing chamber manufactured by Applied Materials, the assignee of the present invention.
  • substrate processing chamber 10 includes a vacuum chamber 12 in which a substrate support/heater 14 is housed.
  • Substrate support/heater 14 includes an electrostatic chuck 15 that securely clamps substrate 16 to substrate support/heater 14 during substrate processing.
  • chamber 10 When substrate support/heater 14 is in a processing position (indicated by dotted line 18 ), deposition and carrier gases are flowed into chamber 10 via gas injection nozzles 20 . Nozzles 20 receive gases through gas supply lines, which are not shown. Chamber 10 can be cleaned by the introduction of fluorine radicals or other etchant radicals that are dissociated in a remote microwave plasma chamber (not shown) and delivered to chamber 10 through a gas feed port 22 . Unreacted gases and reaction byproducts are exhausted from the chamber 10 by a pump 24 through an exhaust port on the bottom of the chamber. Pump 24 can be isolated from chamber 10 by a gate valve 26 .
  • the rate at which deposition, carrier and clean gases are supplied to chamber 10 is controlled by a mass flow controllers and valves (not shown), which are in turn controlled by computer processor (not shown). Similarly, the rate at which gases are exhausted from the chamber is controlled by a throttle valve 28 and gate valve 26 , which are also controlled by the computer processor.
  • a plasma can be formed from gases introduced into chamber 10 by application of RF energy to independently controlled top coil 30 and side coil 32 .
  • Coils 30 and 32 are mounted on a chamber dome 34 , which defines the upper boundary of vacuum chamber 12 .
  • the lower boundary of vacuum chamber 12 is defined by chamber walls 36 .
  • Substrates can be loaded into chamber 10 and onto chuck 15 through an opening 38 in chamber wall 36 .
  • any or all of electrostatic chuck 15 , gas nozzles 20 , and chamber dome 34 of substrate support/heater 14 may be fabricated from material implanted with rare-earth ions.
  • FIG. 1B is a simplified cross-sectional view of a capacitively-coupled plasma enhanced chemical vapor deposition chamber (PECVD) 50 such as the CxZ CVD substrate processing chamber manufactured by Applied Materials, the assignee of the present invention.
  • substrate processing chamber 50 includes a vacuum chamber 52 in which a heated pedestal 54 and a gas distribution manifold 56 are housed.
  • a substrate 58 e.g., a semiconductor wafer
  • the pedestal can be controllably moved between a substrate loading position (depicted in FIG. 1B) and a substrate processing position (indicated by dashed line 60 in FIG. 1B), which is closely adjacent to manifold 56 .
  • Deposition, carrier and cleaning gases are introduced into chamber 52 through perforated holes 56 A of a gas distribution faceplate portion of manifold 56 . More specifically, gases input from external gas sources (not shown) flow into the chamber through the inlet 62 of manifold 56 , through a conventional perforated blocker plate 64 and then through holes 56 A of the gas distribution faceplate. Gases are exhausted from chamber 52 through an annular, slot-shaped orifice 70 surrounding the reaction region and then into an annulate exhaust plenum 72 . Exhaust plenum 72 and slot-shaped orifice 70 are defined by ceramic chamber liners 74 and 76 and by the bottom of chamber lid 57 .
  • the rate at which deposition, carrier and clean gases are supplied to chamber 50 is controlled by mass flow controllers and valves (not shown), which are in turn controlled by computer processor (not shown). Similarly, the rate at which gases are exhausted from the chamber is controlled by a throttle valve (not shown and also controlled by the computer processor) connected to exhaust port 66 , which is fluidly-coupled to exhaust plenum 72 .
  • the deposition process in chamber 50 can be either a thermal or a plasma-enhanced process.
  • an RF power supply (not shown) provides electrical energy between the gas distribution faceplate and an electrode 68 A within pedestal 54 so as to excite the process gas mixture to form a plasma within the generally cylindrical region between the faceplate and pedestal. This is in contrast to an inductive coupling of RF power into the gas, as is provided in the chamber configuration shown in FIG. 1A.
  • substrate 58 can be heated by a heating element 68 B within pedestal 54 .
  • any or all of pedestal 54 , heating element 68 B gas distribution manifold 56 , and chamber liners 74 and 76 may be constructed from a ceramic material implanted with rare-earth ions according to the present invention.
  • the embodiments of FIGS. 1A and 1B are for exemplary purposes only, however. A person of skill in the art will recognize that other types of ceramic parts in these and other types of substrate processing chambers in which highly corrosive environments are contained (e.g., reactive ion etchers, electron cyclotron resonance plasma chambers, etc.) may benefit from the teaching of the present invention.
  • parent materials of components of semiconductor fabrication apparatuses are protected against corrosion by a surface coating containing a rare earth metal, the coating exhibiting low reactivity to a halogen gas environment at elevated temperatures.
  • a surface coating containing a rare earth metal the coating exhibiting low reactivity to a halogen gas environment at elevated temperatures.
  • yttrium is considered a rare earth metal.
  • Surface coatings in accordance with embodiments of the present invention maintain adhesion to the parent material at high operating temperatures (up to 1000° C.).
  • the surface coatings may include yttrium fluoride, yttrium oxides, yttrium-containing oxides of Aluminum (YAlO 3 , Y 3 Al 5 O 12 , Y 4 Al 2 O 9 ), Erbium oxides, Neodymium oxide, and other rare earth oxides.
  • FIG. 2A is a cross-sectional view of coated member 215 in accordance with an embodiment of the present invention.
  • adhesion layer 212 overlies parent material 214
  • coating 216 is formed over adhesion layer 212 .
  • Parent material 214 may comprise AlN, Al 2 O 3 , or some other material.
  • rare earth-containing coating 216 may be deposited over adhesion layer 212 by sputtering techniques. Sputtering may take place in a particular ambient, for example by reactive sputtering of a target of the rare earth material in an oxygen ambient to create a rare earth oxide coating.
  • Adhesion layer 212 may exhibit a coefficient of thermal expansion intermediate that of parent material 214 and coating 216 , such that coating 216 adheres to parent material 214 over a wide temperature range.
  • the adhesion layer may be formed over the substrate by deposition prior to formation of the coating.
  • the adhesion layer may be formed by accelerating rare earth ions toward the parent material at changed energies prior to formation of the surface coating.
  • adhesion layer 212 of structure 215 of FIG. 2A may result from ion-implantation, with reduction over time in the energy of implantation of rare earth metals into parent material 214 creating implanted adhesion layer 212 .
  • Implanted adhesion layer 212 may be graded, with the rare earth metal concentration gradient determined by duration of implantation at particular energy levels.
  • FIG. 3 shows a simplified schematic view of the MEPIIID technique.
  • single or dual-source MEPIIID source 300 is used to implant and deposit a layer of rare-earth ions over the component 300 being treated.
  • component 302 is inserted into plasma 304 after plasma 304 has been deflected with magnetic filter 304 .
  • Sheath edge 311 represents a concentrated plasma zone near biased target component 302 , where most reactions and rearrangements of materials occur.
  • the treated component 302 is then subjected to implantation by biasing component 302 with a negative voltage utilizing electrode 307 in communication with power supply 306 .
  • target component 302 When target component 302 is unbiased, it is subject to the initial deposition phase of the treatment process.
  • target component 302 is negatively biased (e.g., at ⁇ 50 keV), ions 310 from plasma 304 are accelerated toward target component 302 at high velocities so that target component 302 is subjected to ion implantation to a depth into the material.
  • the magnitude of the negative bias of the target material, and hence the energy of bombardment, is then reduced to produce a gradient of concentration of rare earth material to a depth in the material.
  • the MEPIIID approach to implantation of rare earth metals requires that the component be subject to an electrical bias. However, such biasing is not possible with parent materials that are poor conductors. This issue can be resolved if an electrode is embedded within the component, the embedded electrode capable of being biased during the implantation step. Such is the case for heaters and electrostatic chucks.
  • FIG. 4 is a graph that shows the concentration of rare-earth ions and aluminum nitride at various depths of an aluminum nitride component treated with a MEPIIID technique.
  • the upper surface of the treated component comprises a layer M of rare-earth material formed from the deposition phases of the treatment process. Beneath layer M, the concentration of rare-earth ions decreases with depth until point N, where the concentration of rare-earth ions reaches background levels (essentially zero).
  • a graded interface is obtained between the coated surface and the bulk of the parent material.
  • An interface of this type provides a gradual transition of surface properties such as physical and chemical properties, and results in improved adhesion as compared to more abrupt, stepped profile distributions.
  • Such a graded interface also eliminates limitations of adhesion due to thermal mismatch—often a limiting factor of corrosion resistant coatings having an abrupt interface.
  • the protective coating deposited over chamber materials may crack in response to environmental stresses.
  • environmental stresses For example, during high temperature thermal cycles the temperature change during and/or between various cycles can be as high 700° C. for ceramic heater applications.
  • Another example of an environmental stress that may induce cracking of a coating are the mechanical stresses associated with wafer handling.
  • corrosion-resistant coatings in accordance with embodiments of the present invention may serve as a barrier to the diffusion of reactive species into the parent material.
  • implanted structures may have superior performance and versatility as compared with structures formed by plasma spray, CVD, laser ablation or PVD deposition techniques.
  • FIG. 5 is a simplified cross-sectional view of an exemplary MEPIIIDTM ion implanter 500 useful to implant ceramic components with rare-earth metals according to this embodiment of the present invention.
  • Implanter 500 includes a cathode 502 of the desired metal atoms or alloy to be implanted, an anode 504 , a plasma extractor 506 , a trigger 508 , a cavity 510 , and an insulative bushing 512 all surrounded by an outer frame 514 .
  • the vacuum arc is a plasma discharge that takes place between cathode 502 and the grounded anode 504 .
  • the plasma is generated at a number of tiny points on the surface of the cathode, called cathode spots and having a dimension of few microns.
  • the arc is concentrated to an extremely high current density, in the order of 10 8 -10 12 ⁇ /cm 2 .
  • the metal ions are extracted from the plasma using perforated extraction grids 506 which are polarized at appropriate conditions to accelerate the extracted ions toward the ceramic component target.
  • Such MEPIIIDTM ion sources are efficient and do not require a background gas—the plasma generation process is neither an evaporative nor a sputtering process.
  • MEPIIIDTM implanters have typically been used for metal surface treatment in the automotive industry (e.g., piston surface treatment) and the tooling industry for increased hardness.
  • one limitation of such commercially available implanters is their anisotropy, e.g., the limitation to implant flat surfaces only. This is perfectly acceptable to implant the exposed face of flat ceramic heaters or electrostatic chucks, but it is a limitation in treating complex-shaped ceramic parts.
  • MEPIIIDTM implanter based on a design similar to that shown in FIG. 5 has been established, however, in which large-area or complex-shaped parts could be treated in an industrial scale, high dose implanter.
  • a description of such implanter is set forth by Ian Brown in Brown, et al., “Metal Ion Implantation for Large Scale Surface Modification,” J. Vac. Sci. Tech., A 11(4), July 1993, which is hereby incorporated by reference in its entirety.
  • an alternative embodiment for forming a corrosion-resistant coating in accordance with embodiments of the present invention utilizes Ion Bombardment Assisted Deposition (IBAD) to accelerate rare earth metals into the parent material.
  • IBAD Ion Bombardment Assisted Deposition
  • FIG. 6 shows rare earth metal 601 such as Yttrium sputtered onto the surface of parent material 604 while ion gun 600 accelerates ion beam 602 of inert Argon ions at high ( ⁇ 10-12 keV) energies against coated target parent material 604 .
  • ion gun 600 accelerates ion beam 602 of inert Argon ions at high ( ⁇ 10-12 keV) energies against coated target parent material 604 .
  • deposited metal 601 is driven to a depth within parent material 604 .
  • the energy of the ion beam is then reduced to a lower level ( ⁇ 0.5 keV), such that deposited rare earth remains on the surface as a coating rather than being driven into the parent material.
  • a graded adhesion layer may be formed, with the concentration of rare earth metals in the adhesion layer determined by the duration of bombardment at a particular reduced energy level.
  • graded subsurface rare earth layer 612 lies between coating 608 and parent material 604 , promoting adhesion between coating 608 and parent material 604 .
  • Performing such deposition in an oxygen ambient can cause the rare earth metal to react with oxygen to form rare earth oxide coating 608 over parent material 604 .
  • a coating and/or adhesion layer may be formed by a chemical vapor deposition (CVD) process rather than a physical vapor deposition process.
  • CVD chemical vapor deposition
  • a plasma resistant coating may take the form of a multi-layer structure. This is shown in FIG. 2B, which depicts a cross-sectional view of a coated member 219 in accordance with yet another alternative embodiment in accordance with the present invention.
  • coating 220 overlies adhesion layer 222 which in turn overlies parent material 224 .
  • Coating 220 itself is comprised of successive layers 220 a - 220 b . Such successive layers may create complementary diffusion barriers, i.e. a yttrium-containing coating layer may serve to inhibit unwanted diffusion of fluorine, while a complementary nitrogen-containing coating layer may serve to inhibit unwanted diffusion of oxygen.
  • Multiple layers of the coating could be formed by successive deposition steps, successive ion implantation steps, or ion implantation in conjunction with deposition.
  • one or both of layers 220 a - 220 b may function as a barrier to inhibit unwanted diffusion of specific chemical species from the chamber environment into the parent material during plasma exposure.
  • a layer of yttrium fluoride could serve to inhibit unwanted diffusion of fluorine through the coating.
  • a nitride-containing layer could serve to inhibit unwanted diffusion of oxygen through the coating.
  • a multilayer coating in accordance with the present invention may include layers having a different thermal modulus, such that thermal conditions possibly inducing unwanted cracking in one layer of the coating will not produce similar cracking in another layer, thereby ensuring the integrity of the coating as a whole.
  • FIG. 7A shows a magnified (2000 ⁇ ) view of the top surface of a coupon of the first grade of AlN following exposure to a fluorine ambient at high temperature.
  • FIG. 7B shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 7A.
  • FIG. 7C shows a magnified (2000 ⁇ ) view of the top surface of coupon of the second grade of AlN following exposure to a fluorine ambient at high temperature.
  • FIG. 7D shows a magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 7C.
  • FIGS. 7 A- 7 D reveal formation of AlF 3 crystals on the surfaces of both grades of AlN parent material in the presence of a corrosive fluorine ambient. Formation of this AlF 3 material reflects corrosion of the parent AlN material.
  • FIG. 8A shows a magnified (2000 ⁇ ) view of the top surface of the first grade AlN coupon sputtered with yttrium oxide in accordance with one alternative embodiment of the present invention.
  • FIG. 8B shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 8A.
  • FIG. 8C shows a magnified (2000 ⁇ ) view of the top surface of the AlN coupon of FIGS. 8 A-B following exposure to a fluorine ambient at high temperature.
  • FIG. 8D shows a magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 8C.
  • FIG. 8E shows a magnified (2000 ⁇ ) view of the top surface of a second grade AlN coupon coated with yttrium oxide in accordance with the embodiment of the present invention just described.
  • FIG. 8F shows a further magnified (7500 ⁇ ) view of the top surface of the coated AlN coupon of FIG. 8E.
  • FIG. 8G shows a magnified (2000 ⁇ ) view of the top surface of the AlN coupon of FIG. 8E coated with in accordance with one embodiment of the present invention, following exposure to a fluorine ambient at high temperature.
  • FIG. 8H shows a further magnified (7500 ⁇ ) view of the top surface of the AlN coupon of FIG. 8G.
  • FIGS. 8 A- 8 H show that the yttrium oxide coating deposited by reactive sputtering converted to dense, even coverage of yttrium fluoride (YF) in the presence of fluorine and high temperatures.
  • YF yttrium fluoride
  • FIG. 9A shows a magnified (2000 ⁇ ) view of the top surface of an AlN coupon implanted with Y using MEPIIID in accordance with one embodiment of the present invention.
  • FIG. 9B shows a further magnified (7500 ⁇ ) view of the top surface of the implanted AlN coupon of FIG. 9A.
  • FIG. 9C shows a further magnified (9000 ⁇ ) view of the fractured AlN coupon of FIGS. 9 A- 9 B.
  • FIG. 9D shows a magnified (2000 ⁇ ) view of the surface of the AlN coupon of FIGS. 9 A- 9 C following exposure to a fluorine ambient at high temperature.
  • FIG. 9E shows a further magnified (7500 ⁇ ) view of the surface of this exposed coupon.
  • FIGS. 9 A- 9 E show that the yttrium coating over the graded adhesion layer resulting from a reduced energy of implantation by MEPIIID was converted by reaction with fluorine to dense, even coverage of yttrium fluoride (YF). Because of its low vapor pressure and high sublimation temperature, this yttrium fluoride coating is expected to be much more stable than AlF 3 .
  • YF yttrium fluoride
  • FIGS. 10A and 10B show formation utilizing MEPIIID of a yttrium oxide coating on an AlN coupon, followed by exposure to a fluorine ambient at high temperature.
  • FIG. 10A shows a magnified (3300 ⁇ ) view of a fractured coated AlN coupon following exposure to a fluorine ambient at high temperature.
  • FIG. 10B shows a further magnified (7500 ⁇ ) view of the AlN coupon of FIG. 10A.
  • FIGS. 10 A- 10 B show that upon exposure to fluorine plasma, the yttrium oxide coating converted to dense, even coverage of yttrium fluoride (YF). In addition, good adhesion of the YF coating to the parent material was observed. Specifically, upon fracture of the coated coupon as shown in FIGS. 10 A- 10 B, the YF coating at the fracture point remained in place, whereas an AlF 3 coating would be expected to flake off at the fracture point.
  • YF yttrium fluoride
  • FIG. 11 shows the results of Electron Dispersive Spectroscopy (EDS) of the surface of the coupon of FIGS. 10 A- 10 B.
  • EDS shows the coupon coating to be made up almost entirely of YF.
  • Coatings and adhesion layers in accordance with embodiments of the present invention may be formed utilizing a variety of rare earth metals, including but not limited to Sc, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu.

Abstract

Resistance to corrosion in a plasma environment is imparted to components of a semiconductor processing tool by forming a rare earth-containing coating over component surfaces. The plasma-resistant coating may be formed by sputtering rare earth-containing material onto a parent material surface. Subsequent reaction between these deposited materials and the plasma environment creates a plasma-resistant coating. The coating may adhere to the parent material through an intervening adhesion layer, such as a graded subsurface rare earth layer resulting from acceleration of rare earth ions toward the parent material at changed energies prior to formation of the coating.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to equipment used in the manufacture of semiconductor devices. More specifically, the present invention relates to formation of a plasma-resistant coating on the surfaces of selected components of semiconductor manufacturing equipment. [0001]
  • With the development of high density plasma sources and 300 mm-wafer-size reactors, and the growing importance of certain high temperature processing steps, wear on chamber materials may impact tool performance and productivity. Specifically, interaction between corrosive plasmas and reactor materials become of critical importance to development of future product lines of semiconductor manufacturing equipment. Very harsh environments (e.g., NF[0002] 3, C2F6, C3F3, ClF3, CF4, SiH4, TEOS, WF6, NH3, HBr, etc.) can be found in plasma etchers and plasma-enhanced deposition reactors. Constituents from many of these environments may react with and corrode parent anodized materials such as aluminum oxide.
  • Because of their favorable physical characteristics, ceramic materials are commonly used in today's semiconductor manufacturing equipment to meet the high process performance standards demanded by integrated circuit manufacturers. Specifically, ceramic materials exhibit high resistance to corrosion, which helps to increase process kit lifetimes and lowers the cost of consumables as compared to other materials such as aluminum or quartz. Example of components that can be advantageously manufactured from ceramic materials include chamber domes for inductively coupled reactors, edge rings used to mask the edge of a substrate support in certain processing chambers, and chamber liners that protect walls of the chamber from direct exposure to plasma formed within the chamber and improve plasma confinement by reducing coupling of a plasma with conductive chamber walls. In some instances, the chamber walls themselves may also be manufactured from ceramic materials. Ceramic materials are also used for critical components such as high temperature heaters and electrostatic chucks. [0003]
  • Ideally, critical and/or high value ceramic parts of a semiconductor processing tool employed in production should have a lifetime of at least one year. Depending on the particular tool, this can correspond to processing of 50,000 wafers or more without changing any parts on the tool (i.e., a zero consumable situation), while at the same time maintaining high process performance standards. For example, to meet the requirements of some manufacturers, less than 20 particles of size of greater than 0.2 μm should be added to the wafer during the processing of the wafer in the chamber. [0004]
  • However, unwanted particle generation is an issue for high temperature applications where processing temperatures exceed 550° C. For example, in highly corrosive fluorine and chlorine environments, Al[0005] 2O3 and AlN ceramic materials may corrode to form unwanted AlO:F, AlFx, or AlClx films at the component surface. These AlO:F, AlFx, or AlClx films have relatively high vapor pressures and relatively low sublimation temperatures. For example, the sublimation temperature of aluminum chloride (AlClx) is approximately 350° C. and the sublimation temperature of aluminum fluoride (AlFx) is approximately 600° C. If a ceramic component is employed at a temperature exceeding the sublimation temperature, the outer surface of the component may be consumed by the process of formation of AlO:F, AlFx or AlClx. This consumption of material can degrade the chamber component and/or introduce particles into the process.
  • In light of the above, improvement in the corrosion resistance of various substrate processing chamber parts and components is desirable. [0006]
  • SUMMARY OF THE INVENTION
  • The present invention provides a method for improving the corrosion resistance of components of semiconductor tools by creating high temperature halogen corrosion resistant surface coatings. Specifically, coatings of rare earth-containing materials are formed over the surfaces of ceramic tool components. These rare earth-containing materials are stable in plasma environments at high temperatures and may be formed onto the chamber components by sputter deposition. To promote adhesion of the coating to the parent material, an adhesion layer may be first formed on the ceramic material by accelerating rare earth ions into the surface of the ceramic material at changed energies to form an implant layer prior to formation of the surface coating. [0007]
  • An embodiment of a substrate processing chamber in accordance with the present invention includes at least one component bearing a rare earth-containing coating bound to a parent material by an intervening adhesion layer, such that the component exhibits resistance to etching in a plasma environment. [0008]
  • An embodiment of a method for treating a parent material for resistance to plasma etching comprises forming an adhesion layer over a parent material, and forming a rare earth-containing coating over the adhesion layer. [0009]
  • These and other embodiments of the present invention, as well as its advantages and features, are described in more detail in conjunction with the text below and attached figures.[0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a simplified cross-sectional view of a high density plasma chemical vapor deposition chamber; [0011]
  • FIG. 1B is a simplified cross-sectional view of a capacitively coupled plasma enhanced chemical vapor deposition chamber; [0012]
  • FIG. 2A is a cross-sectional view of a coated member in accordance with a first embodiment of the present invention; [0013]
  • FIG. 2B is a cross-sectional view of a coated member in accordance with a second embodiment of the present invention; [0014]
  • FIG. 3 is a simplified schematic view of a Metal Plasma Immersion Ion Implantation and Deposition (MEPIIID) technique; [0015]
  • FIG. 4 is a graph illustrating the concentration of rare earth ions at various depths in a ceramic component treated with MEPIIID; [0016]
  • FIG. 5 is a simplified cross-sectional view of an exemplary metal vapor vacuum arc implanter used in the MEPIIID technique; [0017]
  • FIG. 6 is a simplified schematic view of an Ion Bombardment Assisted Deposition (IBAD) technique; [0018]
  • FIG. 7A shows a magnified (2000×) view of the top surface of a first grade of an AlN coupon following exposure to a fluorine ambient at high temperature. [0019]
  • FIG. 7B shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 7A. [0020]
  • FIG. 7C shows a magnified (2000×) view of the top surface of a second grade of an AlN coupon following exposure to a fluorine ambient at high temperature. [0021]
  • FIG. 7D shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 7C. [0022]
  • FIG. 8A shows a magnified (2000×) view of the top surface of a first grade of an AlN coupon coated with yttrium oxide by reactive sputtering in accordance with an alternative embodiment of the present invention. [0023]
  • FIG. 8B shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 8A. [0024]
  • FIG. 8C shows a magnified (2000×) view of the top surface of the AlN coupon of FIGS. [0025] 8A-B following exposure to a fluorine ambient at high temperature.
  • FIG. 8D shows a magnified (7500×) view of the top surface of the AlN coupon of FIG. 8C. [0026]
  • FIG. 8E shows a magnified (2000×) view of the top surface of a second grade of an AlN coupon coated with yttrium oxide by reactive sputtering in accordance with an alternative embodiment of the present invention. [0027]
  • FIG. 8F shows a further magnified (7500×) view of the top surface of the coated AlN coupon of FIG. 8E. [0028]
  • FIG. 8G shows a magnified (2000×) view of the top surface of an AlN coupon coated with in accordance with one embodiment of the present invention, following exposure to a fluorine ambient at high temperature. [0029]
  • FIG. 8H shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 8G. [0030]
  • FIG. 9A shows a magnified (2000×) view of the top surface of an AlN coupon implanted with yttrium in accordance with one embodiment of the present invention. [0031]
  • FIG. 9B shows a further magnified (7500×) view of the top surface of the implanted AlN coupon of FIG. 9A. [0032]
  • FIG. 9C shows a further magnified (9000×) view of the fractured AlN coupon of FIGS. [0033] 9A-9B.
  • FIG. 9D shows a magnified (2000×) view of the surface of the implanted AlN coupon of FIGS. [0034] 9A-9C following exposure to a fluorine ambient at high temperature.
  • FIG. 9E shows a further magnified (7500×) view of the surface of the implanted AlN coupon of FIG. 9D. [0035]
  • FIG. 10A shows a magnified (3300×) view of a fractured AlN coupon implanted with yttrium oxide following exposure to a fluorine ambient at high temperature. [0036]
  • FIG. 10B shows a further magnified (7500×) view of the fractured AlN coupon of FIG. 10A. [0037]
  • FIG. 11 shows the results of Energy Dispersive Spectroscopy (EDS) of the surface of the AlN coupon of FIGS. [0038] 10A-10B coated in accordance with an embodiment of the present invention, following exposure to a fluorine ambient at high temperature.
  • DESCRIPTION OF THE SPECIFIC EMBODIMENTS
  • According to the present invention, ceramic components of semiconductor fabrication tools, including but not limited to electrostatic chucks, gas nozzles, chamber domes, heated pedestals, gas distribution manifolds, chamber walls and chamber liners, may be coated with a rare earth-containing material and adhesion layer in order to improve corrosion resistance. Environments for which the coated components can be advantageously used include, but are not limited to, highly corrosive plasma etching environments, and high temperature deposition environments that feature corrosive gases. [0039]
  • I. Exemplary Substrate Processing Chambers [0040]
  • FIGS. 1A and 1B are simplified cross-sectional views of exemplary substrate processing chambers in which ceramic components made according to the method of the present invention may be employed. FIG. 1A is a simplified cross-sectional view of a high density plasma chemical vapor deposition (HDP-CVD) [0041] chamber 10 such as an Ultima HDP-CVD substrate processing chamber manufactured by Applied Materials, the assignee of the present invention. In FIG. 1A, substrate processing chamber 10 includes a vacuum chamber 12 in which a substrate support/heater 14 is housed. Substrate support/heater 14 includes an electrostatic chuck 15 that securely clamps substrate 16 to substrate support/heater 14 during substrate processing.
  • When substrate support/[0042] heater 14 is in a processing position (indicated by dotted line 18), deposition and carrier gases are flowed into chamber 10 via gas injection nozzles 20. Nozzles 20 receive gases through gas supply lines, which are not shown. Chamber 10 can be cleaned by the introduction of fluorine radicals or other etchant radicals that are dissociated in a remote microwave plasma chamber (not shown) and delivered to chamber 10 through a gas feed port 22. Unreacted gases and reaction byproducts are exhausted from the chamber 10 by a pump 24 through an exhaust port on the bottom of the chamber. Pump 24 can be isolated from chamber 10 by a gate valve 26.
  • The rate at which deposition, carrier and clean gases are supplied to [0043] chamber 10 is controlled by a mass flow controllers and valves (not shown), which are in turn controlled by computer processor (not shown). Similarly, the rate at which gases are exhausted from the chamber is controlled by a throttle valve 28 and gate valve 26, which are also controlled by the computer processor.
  • A plasma can be formed from gases introduced into [0044] chamber 10 by application of RF energy to independently controlled top coil 30 and side coil 32. Coils 30 and 32 are mounted on a chamber dome 34, which defines the upper boundary of vacuum chamber 12. The lower boundary of vacuum chamber 12 is defined by chamber walls 36. Substrates can be loaded into chamber 10 and onto chuck 15 through an opening 38 in chamber wall 36.
  • According to the present invention, any or all of [0045] electrostatic chuck 15, gas nozzles 20, and chamber dome 34 of substrate support/heater 14 may be fabricated from material implanted with rare-earth ions.
  • FIG. 1B is a simplified cross-sectional view of a capacitively-coupled plasma enhanced chemical vapor deposition chamber (PECVD) [0046] 50 such as the CxZ CVD substrate processing chamber manufactured by Applied Materials, the assignee of the present invention. In FIG. 1B, substrate processing chamber 50 includes a vacuum chamber 52 in which a heated pedestal 54 and a gas distribution manifold 56 are housed. During processing, a substrate 58 (e.g., a semiconductor wafer) is positioned on a flat or slightly convex surface 54A of pedestal 54. The pedestal can be controllably moved between a substrate loading position (depicted in FIG. 1B) and a substrate processing position (indicated by dashed line 60 in FIG. 1B), which is closely adjacent to manifold 56.
  • Deposition, carrier and cleaning gases are introduced into [0047] chamber 52 through perforated holes 56A of a gas distribution faceplate portion of manifold 56. More specifically, gases input from external gas sources (not shown) flow into the chamber through the inlet 62 of manifold 56, through a conventional perforated blocker plate 64 and then through holes 56A of the gas distribution faceplate. Gases are exhausted from chamber 52 through an annular, slot-shaped orifice 70 surrounding the reaction region and then into an annulate exhaust plenum 72. Exhaust plenum 72 and slot-shaped orifice 70 are defined by ceramic chamber liners 74 and 76 and by the bottom of chamber lid 57.
  • The rate at which deposition, carrier and clean gases are supplied to [0048] chamber 50 is controlled by mass flow controllers and valves (not shown), which are in turn controlled by computer processor (not shown). Similarly, the rate at which gases are exhausted from the chamber is controlled by a throttle valve (not shown and also controlled by the computer processor) connected to exhaust port 66, which is fluidly-coupled to exhaust plenum 72.
  • The deposition process in [0049] chamber 50 can be either a thermal or a plasma-enhanced process. In a plasma-enhanced process, an RF power supply (not shown) provides electrical energy between the gas distribution faceplate and an electrode 68A within pedestal 54 so as to excite the process gas mixture to form a plasma within the generally cylindrical region between the faceplate and pedestal. This is in contrast to an inductive coupling of RF power into the gas, as is provided in the chamber configuration shown in FIG. 1A. In either a thermal or a plasma process, substrate 58 can be heated by a heating element 68B within pedestal 54.
  • According to the present invention, any or all of [0050] pedestal 54, heating element 68B gas distribution manifold 56, and chamber liners 74 and 76 may be constructed from a ceramic material implanted with rare-earth ions according to the present invention. The embodiments of FIGS. 1A and 1B are for exemplary purposes only, however. A person of skill in the art will recognize that other types of ceramic parts in these and other types of substrate processing chambers in which highly corrosive environments are contained (e.g., reactive ion etchers, electron cyclotron resonance plasma chambers, etc.) may benefit from the teaching of the present invention.
  • II. Coating Formation [0051]
  • In accordance with embodiments of the present invention, parent materials of components of semiconductor fabrication apparatuses are protected against corrosion by a surface coating containing a rare earth metal, the coating exhibiting low reactivity to a halogen gas environment at elevated temperatures. For purposes of this patent application, yttrium is considered a rare earth metal. [0052]
  • Surface coatings in accordance with embodiments of the present invention maintain adhesion to the parent material at high operating temperatures (up to 1000° C.). The surface coatings may include yttrium fluoride, yttrium oxides, yttrium-containing oxides of Aluminum (YAlO[0053] 3, Y3Al5O12, Y4Al2O9), Erbium oxides, Neodymium oxide, and other rare earth oxides.
  • The high operating temperatures of many plasma processes can create problems arising from a lack of adhesion between a parent material and an overlying coating. Accordingly, it is useful to form an adhesion layer between the coating and parent material. [0054]
  • This is illustrated in FIG. 2A, which is a cross-sectional view of [0055] coated member 215 in accordance with an embodiment of the present invention. As shown in FIG. 2A, adhesion layer 212 overlies parent material 214, and coating 216 is formed over adhesion layer 212. Parent material 214 may comprise AlN, Al2O3, or some other material. In accordance with one embodiment of the present invention, rare earth-containing coating 216 may be deposited over adhesion layer 212 by sputtering techniques. Sputtering may take place in a particular ambient, for example by reactive sputtering of a target of the rare earth material in an oxygen ambient to create a rare earth oxide coating.
  • Adhesion layer [0056] 212 may exhibit a coefficient of thermal expansion intermediate that of parent material 214 and coating 216, such that coating 216 adheres to parent material 214 over a wide temperature range. The adhesion layer may be formed over the substrate by deposition prior to formation of the coating.
  • In alternative embodiments in accordance with the present invention, the adhesion layer may be formed by accelerating rare earth ions toward the parent material at changed energies prior to formation of the surface coating. For example, adhesion layer [0057] 212 of structure 215 of FIG. 2A may result from ion-implantation, with reduction over time in the energy of implantation of rare earth metals into parent material 214 creating implanted adhesion layer 212. Implanted adhesion layer 212 may be graded, with the rare earth metal concentration gradient determined by duration of implantation at particular energy levels.
  • Acceleration of rare-earth ions to a depth into the target parent material may be accomplished using a variety of techniques. In one implantation approach, rare earth ions are introduced into the parent material utilizing metal plasma ion immersion implantation and deposition (MEPIIID). FIG. 3 shows a simplified schematic view of the MEPIIID technique. [0058]
  • As shown in FIG. 3, single or dual-[0059] source MEPIIID source 300 is used to implant and deposit a layer of rare-earth ions over the component 300 being treated. With this technique, component 302 is inserted into plasma 304 after plasma 304 has been deflected with magnetic filter 304. Sheath edge 311 represents a concentrated plasma zone near biased target component 302, where most reactions and rearrangements of materials occur.
  • The treated [0060] component 302 is then subjected to implantation by biasing component 302 with a negative voltage utilizing electrode 307 in communication with power supply 306. When target component 302 is unbiased, it is subject to the initial deposition phase of the treatment process. When target component 302 is negatively biased (e.g., at −50 keV), ions 310 from plasma 304 are accelerated toward target component 302 at high velocities so that target component 302 is subjected to ion implantation to a depth into the material. The magnitude of the negative bias of the target material, and hence the energy of bombardment, is then reduced to produce a gradient of concentration of rare earth material to a depth in the material.
  • A more detailed description of a single-source MEPIIID system is set forth in U.S. Pat. No. 5,476,691 issued to Ian Brown et al., hereby incorporated by reference in its entirety. In a technique employing a dual-source MEPIIID implanter, the treatment process is similar except that plasmas from two separate plasma guns are brought together through independent magnetic channels, in order to deposit a thin film over the parent component. [0061]
  • The MEPIIID approach to implantation of rare earth metals requires that the component be subject to an electrical bias. However, such biasing is not possible with parent materials that are poor conductors. This issue can be resolved if an electrode is embedded within the component, the embedded electrode capable of being biased during the implantation step. Such is the case for heaters and electrostatic chucks. [0062]
  • FIG. 4 is a graph that shows the concentration of rare-earth ions and aluminum nitride at various depths of an aluminum nitride component treated with a MEPIIID technique. As can be seen in FIG. 4 the upper surface of the treated component comprises a layer M of rare-earth material formed from the deposition phases of the treatment process. Beneath layer M, the concentration of rare-earth ions decreases with depth until point N, where the concentration of rare-earth ions reaches background levels (essentially zero). [0063]
  • Because of this profile of implanted material, a graded interface is obtained between the coated surface and the bulk of the parent material. An interface of this type provides a gradual transition of surface properties such as physical and chemical properties, and results in improved adhesion as compared to more abrupt, stepped profile distributions. Such a graded interface also eliminates limitations of adhesion due to thermal mismatch—often a limiting factor of corrosion resistant coatings having an abrupt interface. [0064]
  • In components having an abrupt transition between coating and parent material, the protective coating deposited over chamber materials may crack in response to environmental stresses. For example, during high temperature thermal cycles the temperature change during and/or between various cycles can be as high 700° C. for ceramic heater applications. Another example of an environmental stress that may induce cracking of a coating are the mechanical stresses associated with wafer handling. [0065]
  • Once a crack in a coating is initiated, in a corrosive environment aggressive and corrosive free radicals may penetrate the film coating and erode the underlying wall material. This penetration may cause film delamination and particulate contamination. [0066]
  • By contrast, corrosion-resistant coatings in accordance with embodiments of the present invention may serve as a barrier to the diffusion of reactive species into the parent material. In this respect, implanted structures may have superior performance and versatility as compared with structures formed by plasma spray, CVD, laser ablation or PVD deposition techniques. [0067]
  • FIG. 5 is a simplified cross-sectional view of an exemplary MEPIIID[0068] ™ ion implanter 500 useful to implant ceramic components with rare-earth metals according to this embodiment of the present invention. Implanter 500 includes a cathode 502 of the desired metal atoms or alloy to be implanted, an anode 504, a plasma extractor 506, a trigger 508, a cavity 510, and an insulative bushing 512 all surrounded by an outer frame 514.
  • The vacuum arc is a plasma discharge that takes place between [0069] cathode 502 and the grounded anode 504. The plasma is generated at a number of tiny points on the surface of the cathode, called cathode spots and having a dimension of few microns. The arc is concentrated to an extremely high current density, in the order of 108-1012 Å/cm2. The metal ions are extracted from the plasma using perforated extraction grids 506 which are polarized at appropriate conditions to accelerate the extracted ions toward the ceramic component target. Such MEPIIID™ ion sources are efficient and do not require a background gas—the plasma generation process is neither an evaporative nor a sputtering process. A more detailed description of a MEPIIID™ ion implanter similar to the one shown in FIG. 5 is given in U.S. Pat. No. 5,013,578 issued to Ian Brown et al. The '578 patent is hereby incorporated by reference in its entirety.
  • In the past, MEPIIID™ implanters have typically been used for metal surface treatment in the automotive industry (e.g., piston surface treatment) and the tooling industry for increased hardness. However, one limitation of such commercially available implanters is their anisotropy, e.g., the limitation to implant flat surfaces only. This is perfectly acceptable to implant the exposed face of flat ceramic heaters or electrostatic chucks, but it is a limitation in treating complex-shaped ceramic parts. [0070]
  • Manufacturability of a commercially feasible MEPIIID™ implanter based on a design similar to that shown in FIG. 5 has been established, however, in which large-area or complex-shaped parts could be treated in an industrial scale, high dose implanter. A description of such implanter is set forth by Ian Brown in Brown, et al., “Metal Ion Implantation for Large Scale Surface Modification,” J. Vac. Sci. Tech., A 11(4), July 1993, which is hereby incorporated by reference in its entirety. [0071]
  • While the MEPIIID technique is described above in conjunction with formation of an adhesion layer for a rare-earth containing coating in accordance with one embodiment of the present invention, the present invention is not limited to use of any particular fabrication technique. For example, an alternative embodiment for forming a corrosion-resistant coating in accordance with embodiments of the present invention utilizes Ion Bombardment Assisted Deposition (IBAD) to accelerate rare earth metals into the parent material. [0072]
  • Specifically, FIG. 6 shows rare earth metal [0073] 601 such as Yttrium sputtered onto the surface of parent material 604 while ion gun 600 accelerates ion beam 602 of inert Argon ions at high (˜10-12 keV) energies against coated target parent material 604. As a result of the high energy of ion bombardment, deposited metal 601 is driven to a depth within parent material 604. Over time, the energy of the ion beam is then reduced to a lower level (˜0.5 keV), such that deposited rare earth remains on the surface as a coating rather than being driven into the parent material. In this manner a graded adhesion layer may be formed, with the concentration of rare earth metals in the adhesion layer determined by the duration of bombardment at a particular reduced energy level.
  • As a result of deposition of rare earth metal under these conditions, graded subsurface [0074] rare earth layer 612 lies between coating 608 and parent material 604, promoting adhesion between coating 608 and parent material 604. Performing such deposition in an oxygen ambient can cause the rare earth metal to react with oxygen to form rare earth oxide coating 608 over parent material 604.
  • Having fully described several embodiments in accordance with the present invention, many other equivalent or alternative embodiments of the present invention will be apparent to those skilled in the art. For example, in accordance with an alternative embodiment of the present invention, a coating and/or adhesion layer may be formed by a chemical vapor deposition (CVD) process rather than a physical vapor deposition process. [0075]
  • Moreover, in accordance with yet another alternative embodiment of the present invention, a plasma resistant coating may take the form of a multi-layer structure. This is shown in FIG. 2B, which depicts a cross-sectional view of a [0076] coated member 219 in accordance with yet another alternative embodiment in accordance with the present invention. In FIG. 2B, coating 220 overlies adhesion layer 222 which in turn overlies parent material 224. Coating 220 itself is comprised of successive layers 220 a-220 b. Such successive layers may create complementary diffusion barriers, i.e. a yttrium-containing coating layer may serve to inhibit unwanted diffusion of fluorine, while a complementary nitrogen-containing coating layer may serve to inhibit unwanted diffusion of oxygen. Multiple layers of the coating could be formed by successive deposition steps, successive ion implantation steps, or ion implantation in conjunction with deposition.
  • In certain embodiments, one or both of [0077] layers 220 a-220 b may function as a barrier to inhibit unwanted diffusion of specific chemical species from the chamber environment into the parent material during plasma exposure. For example, a layer of yttrium fluoride could serve to inhibit unwanted diffusion of fluorine through the coating. Similarly, a nitride-containing layer could serve to inhibit unwanted diffusion of oxygen through the coating.
  • Alternatively, or in conjunction with a barrier diffusion layer, a multilayer coating in accordance with the present invention may include layers having a different thermal modulus, such that thermal conditions possibly inducing unwanted cracking in one layer of the coating will not produce similar cracking in another layer, thereby ensuring the integrity of the coating as a whole. [0078]
  • III. Experimental Results [0079]
  • In order to illustrate the corrosion resistance imparted by embodiments of the present invention, various samples of coated and uncoated AlN parent materials were subjected to a highly corrosive environment in the form of NF[0080] 3 gas at 500° C. for 200 hours. Two grades of AlN were tested, and the coatings were formed with an approximate thickness of 1 μm. A first grade of AlN having a purity of approximately 95% is most commonly used and exhibits high thermal conductivity characteristics favored in heater applications. A second grade of AlN having a purity of approximately 99.9% is less commonly used and exhibits lower thermal conductivity as compared with the first grade of AlN, but has smaller grain size allowing strong adhesion to overlying coatings. The various experimental conditions are summarized below in TABLE A.
    TABLE A
    FIG. Grade of AlN Coating Adhesion
    NO. Parent Material Material Layer (Y) View Mag. Conditions
     7A
    1st None None Top 2000X Corrosion Test
     7B
    1st None None Top 7500X Corrosion Test
     7C 2nd None None Top 2000X Corrosion Test
     7D 2nd None None Top 7500X Corrosion Test
     8A
    1st YO Sputtering Top 2000X Pre-Corrosion
    Test
     8B
    1st YO Sputtering Top 7500X Pre-Corrosion
    Test
     8C
    1st YO Sputtering Top 2000X Corrosion Test
     8D
    1st YO Sputtering Top 7500X Corrosion Test
     8E 2nd YO Sputtering Top 2000X Pre-Corrosion
    Test
     8F 2nd YO Sputtering Top 7500X Pre-Corrosion
    Test
     8G 2nd YO Sputtering Top 2000X Corrosion Test
     8H 2nd YO Sputtering Top 7500X Corrosion Test
     9A 1st Y MEPIIID Top 2000X Pre-Corrosion
    Test
     9B 1st Y MEPIIID Top 7500X Pre-Corrosion
    Test
     9C 1st Y MEPIIID Fracture 9000X Pre-Corrosion
    Test
     9D 1st Y MEPIIID Top 2000X Corrosion Test
     9E 1st Y MEPIIID Top 7500X Corrosion Test
    10A
    1st YO MEPIIID Fracture 3300X Corrosion Test
    10B
    1st YO MEPIIID Fracture 7500X Corrosion Test
  • In a first experiment, uncoated coupons of the two grades of AlN parent material were exposed to the corrosive conditions. FIG. 7A shows a magnified (2000×) view of the top surface of a coupon of the first grade of AlN following exposure to a fluorine ambient at high temperature. FIG. 7B shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 7A. [0081]
  • FIG. 7C shows a magnified (2000×) view of the top surface of coupon of the second grade of AlN following exposure to a fluorine ambient at high temperature. FIG. 7D shows a magnified (7500×) view of the top surface of the AlN coupon of FIG. 7C. [0082]
  • As expected, FIGS. [0083] 7A-7D reveal formation of AlF3 crystals on the surfaces of both grades of AlN parent material in the presence of a corrosive fluorine ambient. Formation of this AlF3 material reflects corrosion of the parent AlN material.
  • In a second experiment, a yttrium adhesion layer was formed by sputter deposition over coupons of the first and second grade of AlN, with later portions of the deposition process taking place on an oxygen ambient to create the surface YO coating. FIG. 8A shows a magnified (2000×) view of the top surface of the first grade AlN coupon sputtered with yttrium oxide in accordance with one alternative embodiment of the present invention. FIG. 8B shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 8A. FIG. 8C shows a magnified (2000×) view of the top surface of the AlN coupon of FIGS. [0084] 8A-B following exposure to a fluorine ambient at high temperature. FIG. 8D shows a magnified (7500×) view of the top surface of the AlN coupon of FIG. 8C.
  • FIG. 8E shows a magnified (2000×) view of the top surface of a second grade AlN coupon coated with yttrium oxide in accordance with the embodiment of the present invention just described. FIG. 8F shows a further magnified (7500×) view of the top surface of the coated AlN coupon of FIG. 8E. [0085]
  • FIG. 8G shows a magnified (2000×) view of the top surface of the AlN coupon of FIG. 8E coated with in accordance with one embodiment of the present invention, following exposure to a fluorine ambient at high temperature. FIG. 8H shows a further magnified (7500×) view of the top surface of the AlN coupon of FIG. 8G. [0086]
  • FIGS. [0087] 8A-8H show that the yttrium oxide coating deposited by reactive sputtering converted to dense, even coverage of yttrium fluoride (YF) in the presence of fluorine and high temperatures.
  • In a third experiment, coupons of the first grade of AlN were ion implanted with yttrium using MEPIIID to form an adhesion layer, and then the energy of implantation was reduced to produce a coating of yttrium on the coupon surface. FIG. 9A shows a magnified (2000×) view of the top surface of an AlN coupon implanted with Y using MEPIIID in accordance with one embodiment of the present invention. FIG. 9B shows a further magnified (7500×) view of the top surface of the implanted AlN coupon of FIG. 9A. FIG. 9C shows a further magnified (9000×) view of the fractured AlN coupon of FIGS. [0088] 9A-9B.
  • FIG. 9D shows a magnified (2000×) view of the surface of the AlN coupon of FIGS. [0089] 9A-9C following exposure to a fluorine ambient at high temperature. FIG. 9E shows a further magnified (7500×) view of the surface of this exposed coupon.
  • FIGS. [0090] 9A-9E show that the yttrium coating over the graded adhesion layer resulting from a reduced energy of implantation by MEPIIID was converted by reaction with fluorine to dense, even coverage of yttrium fluoride (YF). Because of its low vapor pressure and high sublimation temperature, this yttrium fluoride coating is expected to be much more stable than AlF3.
  • In a fourth experiment, the coupons of the first grade of AlN were implanted using MEPIID to form the adhesion layer, with latter stages of the implant process at reduced implant energies occurring in an oxygen ambient to create a YO surface coating. FIGS. 10A and 10B show formation utilizing MEPIIID of a yttrium oxide coating on an AlN coupon, followed by exposure to a fluorine ambient at high temperature. FIG. 10A shows a magnified (3300×) view of a fractured coated AlN coupon following exposure to a fluorine ambient at high temperature. FIG. 10B shows a further magnified (7500×) view of the AlN coupon of FIG. 10A. [0091]
  • FIGS. [0092] 10A-10B show that upon exposure to fluorine plasma, the yttrium oxide coating converted to dense, even coverage of yttrium fluoride (YF). In addition, good adhesion of the YF coating to the parent material was observed. Specifically, upon fracture of the coated coupon as shown in FIGS. 10A-10B, the YF coating at the fracture point remained in place, whereas an AlF3 coating would be expected to flake off at the fracture point.
  • The identity of the YF coating on the AlN coupon of FIGS. [0093] 10A-B subject to MEPIIID implantation is further evidenced by FIG. 11, which shows the results of Electron Dispersive Spectroscopy (EDS) of the surface of the coupon of FIGS. 10A-10B. EDS shows the coupon coating to be made up almost entirely of YF.
  • While the above experimental results illustrate formation of coatings imparting resistance to corrosion to fluorine-based plasmas, the present invention is not limited to this particular application. Resistance to corrosion in other types of plasma environments, including but not limited to chlorine-based plasmas, may also be imparted to chamber components by coatings in accordance with other embodiments of the present invention. [0094]
  • Moreover, while the above description focuses upon the formation of coatings and adhesion layers including yttrium, the present invention is not limited to use of this particular rare earth element. Coatings and adhesion layers in accordance with embodiments of the present invention may be formed utilizing a variety of rare earth metals, including but not limited to Sc, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu. [0095]
  • Having fully described several embodiments of the present invention, many other equivalent or alternative embodiments of the present invention will be apparent to those skilled in the art. These equivalents and alternatives are intended to be included within the scope of the present invention and the following claims. [0096]

Claims (15)

What is claimed is:
1. A substrate processing chamber having at least one component bearing a rare earth-containing coating bound to a parent material by an intervening adhesion layer, such that the component exhibits resistance to etching in a plasma environment.
2. The substrate processing chamber of claim 1 wherein said rare earth-containing coating is selected from the group of Yttrium fluoride, Yttrium oxides, Yttrium-containing oxides of Aluminum, Erbium oxides, and Neodymium oxides.
3. The substrate processing chamber of claim 1 wherein the component is selected from the group comprising a chamber liner, a chamber dome, a chamber wall, a cover plate, a gas manifold, a faceplate, a substrate support, and a substrate support/heater.
4. The substrate processing chamber of claim 1 wherein the adhesion layer comprises a graded subsurface layer of rare earth material formed in the surface of the parent material.
5. The substrate processing chamber of claim 4 wherein the adhesion layer comprises a subsurface rare earth layer resulting from a changed energy of bombardment during introduction of rare earth material into the parent material through an IBAD process.
6. The substrate processing chamber of claim 4 wherein the adhesion layer comprises a subsurface rare earth layer resulting from a changed implantation energy during introduction of rare earth material into the parent material through a MEPIIID process.
7. The substrate processing chamber of claim 1 wherein the parent material comprises aluminum nitride or aluminum oxide.
8. A method for treating a parent material for corrosion resistance to plasma comprising:
forming an adhesion layer over a parent material; and
forming a rare earth-containing coating over the adhesion layer.
9. The method of claim 8 wherein the rare earth-containing coating is formed by deposition of rare earth-containing material.
10. The method of claim 9 wherein rare-earth ions are introduced by conducting reactive sputter deposition in an oxygen-containing ambient.
11. The method of claim 8 wherein the adhesion layer is formed by introducing rare earth metals into the parent material at varying energies to form a graded implant layer.
12. The method of claim 11 wherein the adhesion layer is formed by an ion bombardment assisted deposition (IBAD) technique employing bombardment of a deposited rare earth layer with inert Argon ions at changed energies.
13. The method of claim 11 wherein the adhesion layer is formed by accelerating rare-earth ions at the parent material at changed energies of implantation.
14. The method of claim 13 wherein rare-earth ions are accelerated using a MEPIIID ion implanter.
15. The method of claim 8 wherein the rare-earth containing coating is formed by exposing a rare earth present on a surface of the parent material to a fluorine ambient.
US09/927,244 2001-08-10 2001-08-10 Corrosion resistant coating for semiconductor processing chamber Abandoned US20030029563A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/927,244 US20030029563A1 (en) 2001-08-10 2001-08-10 Corrosion resistant coating for semiconductor processing chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/927,244 US20030029563A1 (en) 2001-08-10 2001-08-10 Corrosion resistant coating for semiconductor processing chamber

Publications (1)

Publication Number Publication Date
US20030029563A1 true US20030029563A1 (en) 2003-02-13

Family

ID=25454456

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/927,244 Abandoned US20030029563A1 (en) 2001-08-10 2001-08-10 Corrosion resistant coating for semiconductor processing chamber

Country Status (1)

Country Link
US (1) US20030029563A1 (en)

Cited By (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030051811A1 (en) * 2001-03-30 2003-03-20 Toshiba Ceramics Co., Ltd. Plasma resistant member
US20040061447A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040060656A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060661A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US20040060657A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040173155A1 (en) * 2002-09-30 2004-09-09 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20040216667A1 (en) * 2002-11-28 2004-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040237894A1 (en) * 2003-05-30 2004-12-02 Jung-Hun Han Apparatus having high gas conductance
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050042483A1 (en) * 2003-08-22 2005-02-24 Saint-Gobain Ceramics & Plastics Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US20050056218A1 (en) * 2002-02-14 2005-03-17 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20050106869A1 (en) * 2002-03-11 2005-05-19 Jun Ooyabu Plasma processing apparatus
US20050103268A1 (en) * 2002-09-30 2005-05-19 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
US20060046450A1 (en) * 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
US20060118045A1 (en) * 2004-12-08 2006-06-08 Fink Steven T Method and apparatus for improved baffle plate
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US20060183344A1 (en) * 2003-03-31 2006-08-17 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
EP1777317A1 (en) * 2005-10-21 2007-04-25 Shin-Etsu Chemical Co., Ltd. Corrosion resistant member
US20070107846A1 (en) * 2002-09-30 2007-05-17 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070181065A1 (en) * 2006-02-09 2007-08-09 General Electric Company Etch resistant heater and assembly thereof
US20070221132A1 (en) * 2006-03-24 2007-09-27 General Electric Company Composition, coating, coated article, and method
US20070246346A1 (en) * 2003-05-06 2007-10-25 Applied Materials, Inc. Electroformed sputtering target
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029211A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Self-passivating plasma resistant material for joining chamber components
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080070032A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080075852A1 (en) * 2006-09-26 2008-03-27 United Microelectronics Corp. Method of cleaning reaction chamber, method of forming protection film and protection wafer
US20080141938A1 (en) * 2006-12-13 2008-06-19 General Electric Company Processing apparatus, coated article and method
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US20080226894A1 (en) * 2007-03-12 2008-09-18 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
US20080238326A1 (en) * 2007-03-29 2008-10-02 Tekletsadik Kasegn D Ion acceleration column connection mechanism with integrated shielding electrode and related methods
JP2008255001A (en) * 2007-03-12 2008-10-23 Ngk Insulators Ltd Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
US20090071407A1 (en) * 2007-09-17 2009-03-19 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20110247995A1 (en) * 2010-04-13 2011-10-13 Tokai University Educational System Dry etching method and dry etching apparatus
CN102230156A (en) * 2011-06-21 2011-11-02 深圳市金洲精工科技股份有限公司 Method for preparing composite hard coating on min-cutter and min-cutter
US20130135712A1 (en) * 2011-11-29 2013-05-30 Horst Schreiber Yttrium oxide coated optical elements with improved mid-infrared performance
US20150311044A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
WO2015164638A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
WO2015199752A1 (en) * 2014-06-25 2015-12-30 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
KR20160022361A (en) * 2013-06-20 2016-02-29 어플라이드 머티어리얼스, 인코포레이티드 Plasma erosion resistant rare-earth oxide based thin film coatings
JP2016076711A (en) * 2008-11-10 2016-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma resistant coatings for plasma chamber components
US20160307740A1 (en) * 2015-04-20 2016-10-20 Samsung Electronics Co., Ltd. Substrate Processing System and Ceramic Coating Method Therefor
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20170314125A1 (en) * 2016-04-27 2017-11-02 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9884787B2 (en) 2013-11-12 2018-02-06 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US10364197B2 (en) * 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10745805B2 (en) 2017-03-17 2020-08-18 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
WO2020167414A1 (en) * 2019-02-12 2020-08-20 Applied Materials, Inc. Method for fabricating chamber parts
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US10995624B2 (en) * 2016-08-01 2021-05-04 General Electric Company Article for high temperature service
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
CN113594013A (en) * 2020-04-30 2021-11-02 中微半导体设备(上海)股份有限公司 Component, method and device for forming coating thereof, and plasma reaction device
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
CN114068273A (en) * 2020-07-31 2022-02-18 中微半导体设备(上海)股份有限公司 Part and preparation method thereof and plasma reaction device
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US20220319817A1 (en) * 2019-07-19 2022-10-06 Jiangsu Leuven Instruments Co., Ltd Plasma processing system with faraday shielding device
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
WO2023172544A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139983A (en) * 1997-07-15 2000-10-31 Ngk Insulators, Ltd. Corrosion-resistant member, wafer-supporting member, and method of manufacturing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139983A (en) * 1997-07-15 2000-10-31 Ngk Insulators, Ltd. Corrosion-resistant member, wafer-supporting member, and method of manufacturing the same

Cited By (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080069966A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7846291B2 (en) 1999-12-10 2010-12-07 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US7879179B2 (en) 1999-12-10 2011-02-01 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080070032A1 (en) * 1999-12-10 2008-03-20 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20030051811A1 (en) * 2001-03-30 2003-03-20 Toshiba Ceramics Co., Ltd. Plasma resistant member
US20050227118A1 (en) * 2001-03-30 2005-10-13 Toshiba Ceramics Co., Ltd. Plasma resistant member
US8110086B2 (en) 2002-01-08 2012-02-07 Applied Materials, Inc. Method of manufacturing a process chamber component having yttrium-aluminum coating
US20080017516A1 (en) * 2002-01-08 2008-01-24 Applied Materials, Inc. Forming a chamber component having a yttrium-containing coating
US9012030B2 (en) 2002-01-08 2015-04-21 Applied Materials, Inc. Process chamber component having yttrium—aluminum coating
US7833401B2 (en) 2002-01-08 2010-11-16 Applied Materials, Inc. Electroplating an yttrium-containing coating on a chamber component
US8114525B2 (en) 2002-01-08 2012-02-14 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080223725A1 (en) * 2002-01-08 2008-09-18 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20050056218A1 (en) * 2002-02-14 2005-03-17 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20090087615A1 (en) * 2002-02-14 2009-04-02 Sun Jennifer Y Corrosion-resistant gas distribution plate for plasma processing chamber
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050106869A1 (en) * 2002-03-11 2005-05-19 Jun Ooyabu Plasma processing apparatus
US20070096658A1 (en) * 2002-09-30 2007-05-03 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7678226B2 (en) 2002-09-30 2010-03-16 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20070028839A1 (en) * 2002-09-30 2007-02-08 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20070034337A1 (en) * 2002-09-30 2007-02-15 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040061447A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040060656A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US8057600B2 (en) 2002-09-30 2011-11-15 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070107846A1 (en) * 2002-09-30 2007-05-17 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20070125494A1 (en) * 2002-09-30 2007-06-07 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060661A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US20070204794A1 (en) * 2002-09-30 2007-09-06 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7811428B2 (en) 2002-09-30 2010-10-12 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US8117986B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Apparatus for an improved deposition shield in a plasma processing system
US20040060657A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040173155A1 (en) * 2002-09-30 2004-09-09 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20050103268A1 (en) * 2002-09-30 2005-05-19 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8118936B2 (en) 2002-09-30 2012-02-21 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US8449715B2 (en) 2002-11-28 2013-05-28 Tokyo Electron Limited Internal member of a plasma processing vessel
US8877002B2 (en) 2002-11-28 2014-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040216667A1 (en) * 2002-11-28 2004-11-04 Tokyo Electron Limited Internal member of a plasma processing vessel
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20100307687A1 (en) * 2002-11-28 2010-12-09 Tokyo Electron Limited Internal member of a plasma processing vessel
US20060183344A1 (en) * 2003-03-31 2006-08-17 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20070246346A1 (en) * 2003-05-06 2007-10-25 Applied Materials, Inc. Electroformed sputtering target
CN100463112C (en) * 2003-05-30 2009-02-18 周星工程股份有限公司 Apparatus for semiconductor device
US20040237894A1 (en) * 2003-05-30 2004-12-02 Jung-Hun Han Apparatus having high gas conductance
US20080131689A1 (en) * 2003-08-22 2008-06-05 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US20050042483A1 (en) * 2003-08-22 2005-02-24 Saint-Gobain Ceramics & Plastics Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US7329467B2 (en) 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
US20060046450A1 (en) * 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
US8017062B2 (en) 2004-08-24 2011-09-13 Yeshwanth Narendar Semiconductor processing components and semiconductor processing utilizing same
US20060118045A1 (en) * 2004-12-08 2006-06-08 Fink Steven T Method and apparatus for improved baffle plate
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
EP1777317A1 (en) * 2005-10-21 2007-04-25 Shin-Etsu Chemical Co., Ltd. Corrosion resistant member
US20070092696A1 (en) * 2005-10-21 2007-04-26 Shin-Etsu Chemical Co., Ltd. Corrosion resistant member
US20070181065A1 (en) * 2006-02-09 2007-08-09 General Electric Company Etch resistant heater and assembly thereof
US20070221132A1 (en) * 2006-03-24 2007-09-27 General Electric Company Composition, coating, coated article, and method
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
KR101095752B1 (en) * 2006-08-01 2011-12-21 어플라이드 머티어리얼스, 인코포레이티드 Self-passivating plasma resistant material for joining chamber components
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US20080029211A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Self-passivating plasma resistant material for joining chamber components
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
EP1898457A1 (en) 2006-08-01 2008-03-12 Applied Materials, Inc. Substrate support with a protective layer for plasma resistance
CN101134879B (en) * 2006-08-01 2011-11-02 应用材料股份有限公司 Self-passivating plasma resistant material for joining chamber components
US7732009B2 (en) * 2006-09-26 2010-06-08 United Microelectronics Corp. Method of cleaning reaction chamber, method of forming protection film and protection wafer
US20080075852A1 (en) * 2006-09-26 2008-03-27 United Microelectronics Corp. Method of cleaning reaction chamber, method of forming protection film and protection wafer
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US20080141938A1 (en) * 2006-12-13 2008-06-19 General Electric Company Processing apparatus, coated article and method
JP2012232897A (en) * 2007-03-12 2012-11-29 Ngk Insulators Ltd Yttrium oxide-containing material, member of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
US20080226894A1 (en) * 2007-03-12 2008-09-18 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
EP1972599A1 (en) * 2007-03-12 2008-09-24 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2008255001A (en) * 2007-03-12 2008-10-23 Ngk Insulators Ltd Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
US7655928B2 (en) * 2007-03-29 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Ion acceleration column connection mechanism with integrated shielding electrode and related methods
US20080238326A1 (en) * 2007-03-29 2008-10-02 Tekletsadik Kasegn D Ion acceleration column connection mechanism with integrated shielding electrode and related methods
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US8118941B2 (en) 2007-09-17 2012-02-21 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20100326355A1 (en) * 2007-09-17 2010-12-30 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090071407A1 (en) * 2007-09-17 2009-03-19 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP2016076711A (en) * 2008-11-10 2016-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma resistant coatings for plasma chamber components
US20110247995A1 (en) * 2010-04-13 2011-10-13 Tokai University Educational System Dry etching method and dry etching apparatus
CN102222612A (en) * 2010-04-13 2011-10-19 富士胶片株式会社 Dry etching method and dry etching apparatus
CN102230156A (en) * 2011-06-21 2011-11-02 深圳市金洲精工科技股份有限公司 Method for preparing composite hard coating on min-cutter and min-cutter
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20130135712A1 (en) * 2011-11-29 2013-05-30 Horst Schreiber Yttrium oxide coated optical elements with improved mid-infrared performance
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US10364197B2 (en) * 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
KR102294960B1 (en) * 2013-06-20 2021-08-26 어플라이드 머티어리얼스, 인코포레이티드 Plasma erosion resistant rare-earth oxide based thin film coatings
KR20160022361A (en) * 2013-06-20 2016-02-29 어플라이드 머티어리얼스, 인코포레이티드 Plasma erosion resistant rare-earth oxide based thin film coatings
US10501843B2 (en) * 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP2016528380A (en) * 2013-06-20 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma corrosion resistant rare earth oxide thin film coating
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP2019108612A (en) * 2013-06-20 2019-07-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma erosion resistant rare-earth oxide based thin film coatings
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10119188B2 (en) 2013-06-20 2018-11-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20180087144A1 (en) * 2013-06-20 2018-03-29 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US10796888B2 (en) 2013-07-19 2020-10-06 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9812341B2 (en) 2013-07-20 2017-11-07 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
TWI745534B (en) * 2013-07-20 2021-11-11 美商應用材料股份有限公司 Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US11424136B2 (en) 2013-07-20 2022-08-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US10930526B2 (en) 2013-07-20 2021-02-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9869012B2 (en) 2013-07-20 2018-01-16 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings
US10577286B2 (en) 2013-11-12 2020-03-03 Applied Materials, Inc. Rare-earth oxide based chamber material
US10934216B2 (en) 2013-11-12 2021-03-02 Applied Materials, Inc. Rare-earth oxide based chamber material
US9884787B2 (en) 2013-11-12 2018-02-06 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US10584068B2 (en) 2013-11-12 2020-03-10 Applied Materials, Inc. Rare-earth oxide based chamber material
US9890086B2 (en) 2013-11-12 2018-02-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US11566318B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9797037B2 (en) 2013-12-06 2017-10-24 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566317B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566319B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US10563297B2 (en) 2014-04-25 2020-02-18 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
WO2015164638A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311044A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20160326626A1 (en) * 2014-04-25 2016-11-10 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US11773479B2 (en) 2014-04-25 2023-10-03 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10544500B2 (en) 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9970095B2 (en) * 2014-04-25 2018-05-15 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10815562B2 (en) 2014-04-25 2020-10-27 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
WO2015199752A1 (en) * 2014-06-25 2015-12-30 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
JP2017520679A (en) * 2014-06-25 2017-07-27 エフエムインダストリーズ, インクFm Industries, Inc. Emissivity-tuned coating for semiconductor chamber components
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160307740A1 (en) * 2015-04-20 2016-10-20 Samsung Electronics Co., Ltd. Substrate Processing System and Ceramic Coating Method Therefor
US11476146B2 (en) 2015-11-17 2022-10-18 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11769683B2 (en) 2015-11-17 2023-09-26 Applied Materials, Inc. Chamber component with protective ceramic coating containing yttrium, aluminum and oxygen
US10679885B2 (en) 2015-11-17 2020-06-09 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
CN108179401A (en) * 2016-04-27 2018-06-19 应用材料公司 Method and chamber part
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11198936B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
CN107313027A (en) * 2016-04-27 2017-11-03 应用材料公司 Ald for the protective coating of semiconductor processing chamber part
US20170314125A1 (en) * 2016-04-27 2017-11-02 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10676819B2 (en) 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10995624B2 (en) * 2016-08-01 2021-05-04 General Electric Company Article for high temperature service
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10573497B2 (en) 2017-01-20 2020-02-25 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11251023B2 (en) 2017-01-20 2022-02-15 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10745805B2 (en) 2017-03-17 2020-08-18 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11667578B2 (en) 2017-10-27 2023-06-06 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11519071B2 (en) 2019-02-12 2022-12-06 Applied Materials, Inc. Method for fabricating chamber parts
WO2020167414A1 (en) * 2019-02-12 2020-08-20 Applied Materials, Inc. Method for fabricating chamber parts
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US20220319817A1 (en) * 2019-07-19 2022-10-06 Jiangsu Leuven Instruments Co., Ltd Plasma processing system with faraday shielding device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113594013A (en) * 2020-04-30 2021-11-02 中微半导体设备(上海)股份有限公司 Component, method and device for forming coating thereof, and plasma reaction device
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
CN114068273A (en) * 2020-07-31 2022-02-18 中微半导体设备(上海)股份有限公司 Part and preparation method thereof and plasma reaction device
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023172544A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Similar Documents

Publication Publication Date Title
US20030029563A1 (en) Corrosion resistant coating for semiconductor processing chamber
US6432256B1 (en) Implanatation process for improving ceramic resistance to corrosion
KR101177333B1 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20190338408A1 (en) Coating for performance enhancement of semiconductor apparatus
JP4331479B2 (en) High toughness zirconia ceramic components and coatings in semiconductor processing equipment and methods of manufacturing the same
CN101302610B (en) Process chamber component having yttrium-aluminum coating
KR100853972B1 (en) Diamond coatings on reactor wall and method of manufacturing thereof
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
US9012030B2 (en) Process chamber component having yttrium—aluminum coating
KR102556603B1 (en) Components such as edge rings including chemical vapor deposition (cvd) diamond coating with high purity sp3 bonds for plasma processing systems
KR102311575B1 (en) Workpiece processing method
US6863926B2 (en) Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments
JP2007197835A (en) Halogen gas-resistant member for semiconductor working apparatus
JP3946660B2 (en) Method for producing halogen-resistant semiconductor processing device member

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAUSHAL, TONY S.;DAM, CHUONG QUANG;REEL/FRAME:012071/0165

Effective date: 20010810

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION