US20030015294A1 - Rapid heating and cooling of workpiece chucks - Google Patents

Rapid heating and cooling of workpiece chucks Download PDF

Info

Publication number
US20030015294A1
US20030015294A1 US10/244,713 US24471302A US2003015294A1 US 20030015294 A1 US20030015294 A1 US 20030015294A1 US 24471302 A US24471302 A US 24471302A US 2003015294 A1 US2003015294 A1 US 2003015294A1
Authority
US
United States
Prior art keywords
fluid
temperature
chuck
source
cold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/244,713
Inventor
Albert Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/244,713 priority Critical patent/US20030015294A1/en
Publication of US20030015294A1 publication Critical patent/US20030015294A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Definitions

  • the present invention relates generally to temperature control of workpiece supports for semiconductor processing equipment, and more particularly to rapid heating and cooling of such chucks for resist stripping chambers.
  • a workpiece e.g., a silicon wafer, glass substrate, etc.
  • Gaseous and/or plasma reactants are supplied to the surface of the workpiece while the workpiece is heated to specific temperatures.
  • radiant heat may be supplied to the workpiece through transparent “cold walls” formed of quartz. Radiant heat is particularly used for very high temperature processing (e.g., at greater than 500° C.), where it is desirable to raise and lower temperature during the process cycle for each workpiece.
  • the temperature of the workpiece support can be regulated by resistive heating.
  • chucks refer to supports for processing workpieces that are kept at constant temperature as workpieces transferred in, processed and transferred out in cycles.
  • Some systems, particularly plasma processing systems, require cooling rather than heating in order to maintain the desired chuck temperature constant.
  • a processed workpiece is advantageously allowed to cool to less than about 70° C. prior to placement in a low cost storage cassette that would otherwise melt. Since processing time within the chamber is at a premium, such cooling is most typically performed outside of the chamber on a separate station, such that another workpiece can be introduced to the process chamber while the first workpiece is cooling. Workpiece temperature ramping is thereby minimized, as the massive chuck is maintained at a substantially constant temperature while multiple workpieces are sequentially processed.
  • a chuck for supporting a workpiece within a semiconductor processing chamber.
  • the chuck is provided with temperature control mechanisms that permit rapid heating or cooling of the chuck during processing.
  • an apparatus for controlling the temperature of workpieces in a semiconductor processing reactor.
  • the apparatus includes a support with fluid channels.
  • a cold fluid source communicates with the fluid channels via a first supply line, and is configured to maintain a cold fluid maintained at a first temperature.
  • a heat source is maintained at a second temperature, which is higher than the first temperature.
  • a mechanism is provided for conductively transferring heat from the heat source to the support.
  • a method for controlling a workpiece chuck temperature in a process chamber.
  • a first heat transfer fluid circulates through the chuck during a cold phase to bring the chuck to a first temperature.
  • the first heat transfer fluid is removed from the chuck during a hot phase. Also in the hot phase, the chuck is heated to a second temperature higher than the first temperature.
  • both a high temperature fluid source and a low temperature fluid source are connected to the fluid channels.
  • a relatively low temperature fluid is supplied to the fluid channels in the wafer chuck during at least a portion of each cycle.
  • a heater block is in close contact with the chuck.
  • the heater block is physically moved from contact with the chuck and lower temperature heat transfer fluid flows through the chuck.
  • the dual temperature chucks of the preferred embodiments can be used in a photoresist-stripping reactor.
  • cool fluid is utilized to rapidly reduce workpiece temperature before removing the workpiece from the chuck.
  • low temperature fluid is kept at a temperature appropriate for a cleaning process, while a high temperature fluid supply or a heater block is kept at a high temperature suitable for photoresist stripping.
  • other multiple-step processes, particularly including photoresist stripping can be conducted at different temperatures rapidly and efficiently using the same wafer chuck.
  • FIG. 1 is a schematic view of a dual temperature workpiece chuck having a cold fluid source and a hot fluid source, constructed in accordance with a first preferred embodiment of the present invention
  • FIG. 2 is a schematic view of a dual temperature workpiece chuck having a cold fluid source and a movable heater block, constructed in accordance with a second preferred embodiment of the present invention.
  • a workpiece support apparatus or wafer chuck 50 is provided with fluid flow channels 15 .
  • the channels can be connected in series to define a single flow path winding through the chuck.
  • the fluid channels 15 preferably occupy at least about 50% of the wafer chuck volume, more preferably at least about 70%.
  • the fluid channels 15 can be provided in the wafer chuck 10 in a variety of manners.
  • the fluid channels 15 can be machined into a metal block from which the chuck 10 is formed, or the channels 15 can be formed in a molding process.
  • the chuck 10 can be formed of two pieces, with machined surface channels (not shown) in one piece covered by the other piece.
  • surface channels can be formed in a lower piece, with mating surface channels in the upper piece.
  • the chuck 10 can have any suitable construction, and in the illustrated embodiment provides an electrostatic attractive force for holding a workpiece (not shown) in place.
  • the chuck 10 can include vacuum channels for holding the workpiece to the chuck 10 .
  • a workpiece is held solely by gravitational forces on a susceptor or other support means.
  • the body of the chuck 10 is desirably formed of a thermally conductive material, and in the illustrated embodiment is formed of aluminum alloy.
  • a reactor (not shown) of the preferred embodiment includes mechanisms for maintaining the chuck 10 at two different temperatures at different stages or phases of a process cycle.
  • the mechanisms of the reactor are configured to rapidly exchange heat with the chuck 10 , effectively raising or lowering its temperature.
  • the chuck/workpiece combination rapidly reaches and maintains a desirable high or low temperature.
  • a high temperature mechanism or heat source comprises a hot fluid source 20 that is connected to the fluid channels 15 within the wafer chuck 10 by way of a supply line 22 and a return line 24 .
  • a switch to bring the heat source into thermal communication with the chuck 10 includes switching valves 26 and 28 along each of the supply 22 and return lines 24 , desirably centrally controlled to switch between the hot fluid source 20 and a cold fluid source 40 that will be discussed in more detail below. While not illustrated, it will be understood that the system includes pumping means for circulating fluid through the wafer chuck 10 .
  • purge source 30 is connected to the supply line 22 between the hot fluid source and the wafer chuck 10 .
  • a purge line 32 supplies a non-reactive or inert gas from the purge source 30 to the supply line 22 by way of a two-way valve or purge valve 35 , which is advantageously also centrally controlled.
  • the illustrated purge gas is nitrogen (N 2 ).
  • suitable purge gases include argon (Ar), helium (He), hydrogen (H 2 ), and other gases which are non-reactive with the heat transfer fluid and with the material of the wafer chuck 10 . It will be understood that a liquid can also be used for purging, though that is less preferred.
  • the hot fluid source 20 desirably holds a heat transfer fluid, the composition of which may vary with the application.
  • the boiling point of the heat transfer fluid is preferably greater than about 200° C., more preferably greater than about 250° C.
  • Preferred examples include a fluid commercially available under the trade name Paratherm NFTM from Paratherm Corporation of Conshohocken, Pennsylvania.
  • Another suitable thermal transfer fluid comprises DowthermTM, commercially available from the Dow Chemical Company of Midland, Mich. These fluids operate efficiently for heat transfer at temperatures up to about 400° C.
  • Galden-HT270 from the Kurt J. Lesker Company of Clairton, PN, is preferably used for electrostatic chucks due to its superior dielectric properties. It can be operated at temperatures of up to about 270° C.
  • a cold source comprises a cold fluid source 40 that is also connected to the fluid channels 15 within the wafer chuck 10 via a supply line 42 and a return lines 44 .
  • cold refers only to the temperature of the cold fluid source 40 relative to the temperature of the hot fluid source 20 .
  • the actual “cold” fluid source 40 is heated to a temperature above room temperature.
  • the cold fluid source 40 is connected to the same fluid channels 15 in the wafer chuck 10 as the hot fluid source 20 , thus maximizing the thermal mass of either the hot or cold heat transfer fluid, depending upon the point in the cycle, that can be flowed through the chuck 10 .
  • the cold fluid source 40 includes switchable valves 26 , 28 on each of the return line 44 and the supply line 42 .
  • the valves comprise the same three-way switching valves 26 , 28 that also connect to the supply and return lines 22 , 24 of the hot fluid source 20 .
  • the supply and return lines 22 , 24 , 42 , 44 for the hot and cold fluid sources 20 , 40 include common sections (common supply line section 50 and common return line section 52 ) between the three-way switching valves 26 , 28 and the wafer chuck 10 .
  • the supply lines 22 , 42 each include the common supply line section 50 and the return lines 24 , 44 each include the common return line section 52 .
  • the heat transfer fluid within the cold fluid source 40 comprises the same type of fluid held in the hot fluid source 20 , thus avoiding problems of cross-contamination and reaction between the fluids.
  • the cold fluid is maintained at temperatures less than about 150° C., and for certain embodiments more preferably less than 100° C. or less than 50° C., depending upon the purpose of the cold fluid source 40 .
  • the cold fluid is preferably kept at a temperature range less than about 100° C., and more preferably less than about 70° C.
  • the cold fluid is preferably maintained at or slightly above the desirable workpiece temperature.
  • Fluid temperature in each of the hot fluid source 20 and the cold fluid source 40 can be maintained at their respective desirable temperatures by any of a variety of suitable heating or cooling means, as will be readily understood by one of ordinary skill in the art.
  • both the hot and cold fluid sources 20 , 40 are heated above room temperature.
  • the cold fluid source 40 serves only to lower workpiece temperature prior to transfer to a storage cassette, the cold fluid can be maintained below room temperature.
  • the skilled artisan can readily determine, for a particular wafer chuck 10 configuration, the appropriate temperature at which to keep the heat transfer fluid in order for the workpiece to be kept at the desired temperature.
  • Factors affecting a temperature differential between the cold or hot fluid source 40 or 20 and the workpiece temperature include heat losses (or gains) along the return lines 24 , 44 and supply lines 22 , 42 , as well as other losses to the environment within the reactor.
  • fluid from the hot fluid source 20 is preferably circulated through the hot supply line 22 , the first or supply-end three-way switching valve 26 , the common supply line section 50 , the fluid channels 15 , the common return line section 52 , the second or return-end three-way switching valve 28 , the hot return line 24 and back to the hot fluid source 20 .
  • the switch is activated to bring the heat source in thermal communication with the chuck. Namely, the supply-end three-way switching valve 26 along the hot supply line 22 is closed, and the purge valve 35 to the purge line 30 is opened.
  • Purge gas such as nitrogen gas (N 2 ) in the illustrated embodiment, then flows under pressure through the fluid channels 15 in the wafer chuck 10 , thereby blowing residual hot fluid out through the hot return line 24 back into the hot fluid source 20 .
  • the return-end three-way switching valve 28 at the juncture of the return lines 24 , 44 can be switched to allow purged heat transfer fluid to the cold fluid source 40 .
  • the volume of fluid in the cold fluid source 40 is preferably large enough that the purged hot fluid has little effect on the overall temperature of the cold fluid source 40 .
  • the purge valve 35 is switched off and the supply-end switching valve 26 at the juncture of the supply lines 22 , 42 is switched to allow flow from the cold fluid source 40 through the wafer chuck 10 .
  • the switching valve between the return lines 40 a and 40 b is switched to allow flow of cold fluid back to the cold fluid source 20 from the wafer chuck 50 .
  • the supply-end three-way switching valve 26 is again switched to an off position, while the purge valve 35 is opened to once again purge fluid from the wafer chuck 10 .
  • the cold fluid can be purged to the hot fluid source 20 , but is preferably purged to its originating cold fluid source 40 .
  • the cycle is then repeated by switching the valves 26 , 28 again to allow flow from the hot fluid source 20 .
  • the purge line 30 can be eliminated from the system of FIG. 1, particularly if the hot fluid source 20 and the cold fluid source 40 comprise the same heat transfer fluid.
  • hot heat transfer fluid can be purged with cold heat transfer fluid, without the intermediate gas purge step.
  • the mass of the hot fluid source 20 is desirably high enough that mixing of purged cold fluid has negligible effect on the temperature of the stored hot fluid.
  • the mass of the cold fluid source 40 is desirably high enough that mixing of purged hot fluid with the cold fluid source 40 has negligible effect on the temperature of the stored cold fluid.
  • the volume of fluid in each of the hot fluid source 20 and the cold fluid source 40 is greater than about five (5) times the volume of the purged fluid (representing a volume of fluid within the supply lines 22 , 42 and return lines 24 , 44 and within the fluid channels 15 in the wafer chuck 10 ). More preferably, the fluid sources 20 , 40 are each greater than about ten (10) times and most preferably greater than about twenty (20) times the volume of purged fluid.
  • the workpiece support of the second embodiment also comprises a wafer chuck 10 a including fluid channels 15 a .
  • a cold fluid source 40 a storing thermal transfer fluid at a relatively low temperature, circulates cold heat transfer fluid through the fluid channels 15 a in the wafer chuck 10 a via a supply line 42 a and a return line 44 a .
  • the cold fluid source 40 a can be as described with respect to the previous embodiment.
  • the second embodiment also includes a purge line 32 a in communication with the supply line 42 a via an on/off purge valve 35 a.
  • the heat source of the second embodiment is a movable electrically heated chuck or heater block 20 a , as illustrated in FIG. 2.
  • the heater block 20 a is movable relative to the wafer chuck 10 a , although it will be understood that, in some arrangements, the wafer chuck 10 a can be made movable while the heater block 20 a remains stationary.
  • a switch for selectively bringing the heat source into thermal communication with the chuck thus comprises an actuator (not shown) that activates the motor for moving the heater block 20 a . It will be understood, of course, that the chuck could be moved while the heater block is stationary.
  • the heater block 20 a is preferably maintained during processing at a hot or process temperature that is equal to or slightly above the desired workpiece temperature for a high temperature integrated circuit fabrication process, much in the way that the heat transfer fluid within the hot fluid source 20 of FIG. 1 is constantly maintained at the desired high temperature.
  • the heater block 20 a can be maintained at a desired high temperature by any of a variety of suitable means.
  • the heater block 20 a can be heated by fluid convection, where heat transfer fluid heated in a hot fluid source is circulated through the heater block 20 a , much in the way that the wafer chuck 10 is directly heated by fluid convection in the embodiment of FIG. 1.
  • the heater block 20 a is maintained at a high temperature by electrical or resistive heating.
  • the heater block 20 a can be radiantly heated, inductively heated, etc.
  • the heater block 20 a has a high thermal mass relative to the supporting portion of the wafer chuck 10 a .
  • the thermal mass of the heater block 20 a is greater than that of the wafer chuck 10 a , more preferably greater than about 5 times and most preferably greater than about 10 times the thermal mass of the wafer chuck 10 a.
  • the heater block 20 a is positioned for conductive heat transfer between the heater block 20 a and the chuck 10 a .
  • the heater block 20 a directly contacts the chuck 10 a , more preferably flush across major surfaces of the heater block 20 a and the backside of the chuck 10 a.
  • the heater block 20 a When it is desired to cool the wafer to a cold or lower temperature, the heater block 20 a is removed from the thermally conductive position. In the illustrated embodiment, the heater block 20 a is lowered from the chuck 10 a , preferably by a distance of at least about 0.25 inch, and more preferably by a distance of about 0.5 inch. At the same time, or slightly after removal of the heater block 20 a , the valves 26 a , 28 a on the supply and return lines 42 a , 44 a to the cold fluid source 40 a are opened, and cold fluid is circulated through the lines and the wafer chuck 10 a . The chuck 10 a is thereby quickly cooled to approximately the temperature of the cold fluid source 40 a . It will also be understood that the lower the thermal mass of the chuck 10 a , particularly in relation to the heater block 20 a , the quicker the chuck temperature can be changed.
  • a lower temperature process phase is conducted on the workpiece seated on the chuck 10 a .
  • the cooled workpiece can be removed from the chuck 10 a at this stage and placed in a low-cost storage cassette without waiting for further cooling, and without the need for separate workpiece cooling mechanisms (such as cooling stations utilized in many semiconductor processing systems).
  • valve 26 a on the supply line 42 a from the cold fluid source 40 a is closed, and the valve 35 a on the purge line 32 a is opened to allow gas flow through the fluid channels 15 a in the wafer chuck 10 a . Residual cold fluid is thereby purged from the channels 15 a in wafer chuck 10 a through the return line 44 a and back to the cold fluid source 40 a .
  • the heater block 20 a is moved relative to the chuck 10 a to bring the heater block 20 a again in a position where heat is conductively exchanged from the heater block 20 a to the wafer chuck 10 a.
  • the illustrated dual temperature wafer chucks 10 , 10 a are employed within a microwave plasma asher for stripping organic photoresist from integrated circuit workpieces.
  • the reactor can also employ an internal radio frequency (RF) plasma generator within the process chamber.
  • RF radio frequency
  • the wafer chuck 10 , 10 a is powered, as well as a portion of the chamber walls, producing a parallel plate arrangement suitable for reactive ion etching within the chamber.
  • the dual temperature wafer chucks 10 , 10 a of the preferred embodiments are particularly useful for photoresist stripping and/or cleaning operations within a microwave plasma ashing reactor, also known as a chemical downstream etch (CDE) reactor.
  • CDE chemical downstream etch
  • Photoresist is applied and removed from a workpiece at various stages of semiconductor fabrication.
  • the illustrated dual temperature wafer chucks 10 , 10 a have utility in many resist strip contexts.
  • regions of a semiconductor substrate are implanted with dopants (e.g. boron, phosphorous, arsenic) through a photoresist mask.
  • dopants e.g. boron, phosphorous, arsenic
  • Ion implantation is similarly performed through masks in many other doping steps.
  • the ion implantation process results in a hardened crust at the top surface of the photoresist. Outgassing during high temperature steps tends to be trapped by the hardened crust until an explosive pressure is built within the photoresist, potentially causing damage to both the partially fabricated wafer as well as the reactor.
  • utilizing a low temperature strip process to avoid excess gas build-up has minimized this risk.
  • an initial strip is first conducted at low temperature until the trapping crust is removed from the photoresist.
  • Wafer temperatures during the initial step are preferably kept between about 100° C. and 140° C., more preferably between about 110° C. and 125° C.
  • Reaction gases can include an oxidant to aid oxidation of the resist (e.g., O 2 , preferably converted to oxygen radicals); a fluorine source to aid removal of the implanted portion (e.g., NF 3 or CF 4 , preferably converted to fluorine radicals); and a diluting gas (such as He or Ar) and/or forming gas (H 2 /N 2 ) to serve as a carrier.
  • Reactants can be supplied to the workpiece surface in any suitable fashion. Radicals are preferably generated in a remote microwave plasma generator. The implanted upper portion of the resist is typically removed in about thirty (30) seconds.
  • cold fluid is preferably circulated through the wafer chuck 10 , 10 a , and the cold fluid is desirably stored in the cold fluid source 40 , 4 a at the desired wafer temperatures (i.e., between about 100° C. and 140° C., more preferably between about 110° C. and 125° C.). Accordingly, the “cold” fluid is actually heated to the desired temperature.
  • reaction continues while the temperature of the chuck 10 , 10 a is raised.
  • the cold fluid is purged from the wafer chuck 10 , preferably with a purge gas for about five (5) seconds, and the hot fluid is circulated through the wafer chuck 10 .
  • switching temperatures involves stopping the cold fluid flow through the heater chuck 10 a , purging the cold fluid from the chuck 10 a , and raising the heater block 20 a to conductive contact with the wafer chuck 10 a.
  • the temperature is raised to between about 150° C. and 300° C. and more preferably between about 200° C. and 250° C.
  • the same reactant chemistry can continue to flow during the second stage of the strip.
  • N 2 or forming gas
  • O 2 or fluorine flow
  • the raised temperature results in a significantly increased etch rate, thereby improving workpiece throughput.
  • a temperature of about 250° C. results in a strip rate of about 7 ⁇ m/min.
  • a typical photoresist mask of about 1 ⁇ m can therefore be removed within about 5 to 10 seconds.
  • vias are created through layers, typically through insulating layers such as borophosphosilicate glass (BPSG) or oxides formed from tetraethylorthosilicate (TEOS).
  • BPSG borophosphosilicate glass
  • TEOS tetraethylorthosilicate
  • a photoresist mask is selectively exposed and developed in a desired pattern and the developed or undeveloped resist is removed, depending upon whether positive or negative resist is employed. Vias are then formed through the patterned photoresist mask and through the exposed portions of the underlying layer, typically an oxide.
  • the photoresist mask After via formation, the photoresist mask must be removed. Unfortunately, the process of forming the via creates an organic residue within the via, which is often difficult to remove.
  • the residue is often referred to in the industry as a polymer “veil,” and is particularly problematic following reactive ion etching of vias for back-end or metallization stages of fabrication. While relatively vigorous cleaning chemistries must be employed to remove this polymer residue, overetching risks damage to the exposed features within the via. Accordingly, it is advantageous to conduct the post-via formation cleaning, after rapid resist stripping, at relatively low temperatures.
  • a high temperature resist strip can be conducted at rapid rates, as disclosed above with respect to the second stage of the post-implant process. Reactants can also be as discussed above, with optional fluorine flow.
  • hot fluid can circulate through the wafer chuck 10 (FIG. 1) or a heater block 20 a can be positioned for conductive thermal exchange with the wafer chuck 10 a (FIG. 2).
  • the post-via cleaning can be conducted within the same process chamber as the resist strip. Accordingly, a low temperature cleaning process is conducted immediately following the resist strip process.
  • hot fluid circulation is discontinued and purged from the fluid channels 15 , and subsequently replaced with cold heat transfer fluid.
  • the heater block 20 a is removed from the wafer chuck 10 a and cold fluid begins to circulate through the wafer chuck 10 a.
  • the workpiece temperature is preferably kept between about room temperature and 100° C., more preferably between about 50° C. and 80° C.
  • the chemistry during this process preferably includes an oxidant (e.g., O 2 ), a diluting gas (e.g., He, Ar, and/or forming gas—N 2 /H 2 ), and a fluorine source gas (e.g., NF 3 or CF 4 ).
  • the fluorine while aiding removal of the polymer, also attacks the oxide sidewalls of the via.
  • the oxidant and fluorine reactants comprise radicals formed upstream of the reaction chamber.
  • the process preferably includes RF plasma generation within the chamber, compensating for reduced temperatures during the process. N 2 or forming gas aid maintenance of the plasma discharge. Additionally, an optional physical sputter etch can be briefly applied immediately after treatment with the oxygen and fluorine sources.
  • “cold” fluid is thus circulated through the wafer chuck 10 , 10 a , where the cold fluid source is kept within the desired workpiece temperature range.
  • the workpiece is removed from the chamber, cold fluid circulation is discontinued and the cold fluid is purged from the fluid channels 15 , 15 a .
  • the cold fluid is replaced with hot heat transfer fluid, while in the embodiment of FIG. 2, the heater block 20 a is positioned for thermally conductive exchange with the wafer chuck 10 a .
  • the chuck 10 or 10 a is thus prepared for processing another wafer.
  • Integrated circuits include many dielectric elements for electrical isolation of conductive elements.
  • a common material for such dielectric elements is silicon oxide in various forms, although silicon nitride is also popular for many applications.
  • the contact holes or openings are formed through insulating layers known as interlevel dielectrics (ILDs). Opening contact holes to active areas within semiconductor substrates often expose insulative sidewall spacers over transistor gate electrodes. Such contact etches typically also expose sacrificial oxide over the substrate. In each of these examples, masks are employed to define the hole or via, and an etch process exposes oxide surfaces.
  • ILDs interlevel dielectrics
  • Such oxide surfaces define dimensions selected by a circuit design. As device packing density continues to increase in pursuit of faster integrated circuit (IC) operating speeds and lower power consumption, it becomes ever more critical to maintain these dimensions, and tolerance for overetch is commensurately reduced. Cleaning the openings after removal of the photoresist mask, therefore, needs to be carefully controlled to avoid overetch of the exposed insulating surfaces, particularly oxide surfaces.
  • a first stage of post-contact etch resist stripping is conducted at high temperatures (preferably between about 100° C. and 300° C., more preferably between about 200° C. and 250° C.), with the wafer supported upon a dual temperature wafer chuck 10 or 10 a (FIGS. 1, 2).
  • An exemplary reactant flow includes 1 : 10 ratios of N 2 :O 2 .
  • the illustrated dual temperature wafer chucks 10 , 10 a can be employed to perform a lower temperature post-strip clean.
  • fluorine aids in cleaning oxide surfaces of lithography by-products.
  • a relative small percentage of fluorine gas source e.g., less than about 5% CF 4 ) is added to the flow.
  • the cold fluid source 40 , 40 a is preferably maintained between about 15° C. and 100° C., more preferably between about 20° C. and 100° C., and most preferably between about 25° C. and 50° C.
  • the post-strip clean can be strictly controlled by limiting the time for which the RF electrodes are powered (e.g., for about 15 seconds).
  • the disclosed dual temperature wafer chucks 10 or 10 a can advantageously increase workpiece throughput for any desired process, including single-temperature processes which are conducted at high temperatures.
  • a rapid resist strip process (preferably between about 100° C. and 300° C., more preferably between about 200° C. and 250 ° C.) can be followed by lowering the wafer temperature, while still mounted upon the chuck 10 , 10 a , to levels tolerable by commercial storage cassettes.
  • the wafer temperature is lowered to less than about 100° C., and more preferably to less than about 70° C.
  • the cold fluid source 40 , 40 a can be maintained at well below the desired removal temperature.
  • a high temperature differential between the hot wafer chuck 10 or 10 a (after resist strip) and the cold fluid introduced to the fluid channels 15 , 15 a results in very rapid cooling of the workpiece/chuck combination.
  • the cold fluid source 40 , 40 a is preferably maintained below about 100° C., and more preferably below about 70° C. The workpiece can thus be removed from the chuck 10 , 10 a and placed directly into a low temperature storage cassette without any waiting beyond the time required to open the chamber gate valve and extend the transfer robot to lift the workpiece.

Abstract

A workpiece support or chuck that rapidly heats and cools a semiconductor workpiece is disclosed. A heat source and a cooling source, maintained at different temperatures, alternately communicate with the chuck. In one embodiment, the heat source and cooling source alternately provide relatively “hot” and “cold” heat transfer fluids to fluid channels within the workpiece chuck. Accordingly, a semiconductor workpiece in contact with the chuck rapidly heats to the temperature of the hot fluid, or rapidly cools to the temperature of the cold fluid, depending upon which fluid flowing through the chuck. In another embodiment, the heat source comprises a movable resistive heating block at a first temperature that is placed in contact with the chuck during heating, and is removed from the chuck while colder heat transfer fluid circulates within the chuck. Optionally, inert fluid can be provided to purge heat transfer fluid from the chuck channels between heating and cooling steps.

Description

    REFERENCE TO RELATED APPLICATION
  • The present application is a divisional of U.S. patent application Ser. No. 09/579,943, of Wang, filed May 26, 2000, which claims the priority benefit under 35 U.S.C. §119(e) from provisional application No. 60/136,738, of Wang, filed May 27, 1999.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates generally to temperature control of workpiece supports for semiconductor processing equipment, and more particularly to rapid heating and cooling of such chucks for resist stripping chambers. [0002]
  • BACKGROUND OF THE INVENTION
  • In many semiconductor processing steps, such as etching, deposition, annealing, etc., a workpiece (e.g., a silicon wafer, glass substrate, etc.) is supported within a processing chamber. Gaseous and/or plasma reactants are supplied to the surface of the workpiece while the workpiece is heated to specific temperatures. [0003]
  • Typically, higher temperatures aid in achieving higher reaction rates, and therefore higher workpiece throughput. On the other hand, high temperatures can sometimes cause damage to structures on partially fabricated integrated circuits. Additionally, certain chemical reactions are most efficiently performed at lower temperatures. [0004]
  • Many structures and methods are known in the art for controlling workpiece temperature within the chamber. For example, radiant heat may be supplied to the workpiece through transparent “cold walls” formed of quartz. Radiant heat is particularly used for very high temperature processing (e.g., at greater than 500° C.), where it is desirable to raise and lower temperature during the process cycle for each workpiece. [0005]
  • In other arrangements, the temperature of the workpiece support, particularly gravitational, electrostatic or vacuum wafer chucks, can be regulated by resistive heating. Conventionally, “chucks” refer to supports for processing workpieces that are kept at constant temperature as workpieces transferred in, processed and transferred out in cycles. Some systems, particularly plasma processing systems, require cooling rather than heating in order to maintain the desired chuck temperature constant. [0006]
  • Regardless of the particular method used to heat the workpiece, workpieces must often be cooled down after processing is completed. For example, a processed workpiece is advantageously allowed to cool to less than about 70° C. prior to placement in a low cost storage cassette that would otherwise melt. Since processing time within the chamber is at a premium, such cooling is most typically performed outside of the chamber on a separate station, such that another workpiece can be introduced to the process chamber while the first workpiece is cooling. Workpiece temperature ramping is thereby minimized, as the massive chuck is maintained at a substantially constant temperature while multiple workpieces are sequentially processed. [0007]
  • While a number of heating and cooling systems are known in the art, many of these systems are generally overly complex, too slow, susceptible to particulate generation within the chamber, etc. Accordingly, a need exists for an improved method and apparatus for controlling the temperature of workpiece supports. [0008]
  • SUMMARY OF THE INVENTION
  • In satisfaction of this need, a chuck for supporting a workpiece within a semiconductor processing chamber. The chuck is provided with temperature control mechanisms that permit rapid heating or cooling of the chuck during processing. [0009]
  • In accordance with one aspect of the invention, an apparatus is provided for controlling the temperature of workpieces in a semiconductor processing reactor. The apparatus includes a support with fluid channels. A cold fluid source communicates with the fluid channels via a first supply line, and is configured to maintain a cold fluid maintained at a first temperature. A heat source is maintained at a second temperature, which is higher than the first temperature. A mechanism is provided for conductively transferring heat from the heat source to the support. [0010]
  • In accordance with another aspect of the invention, a method is provided for controlling a workpiece chuck temperature in a process chamber. A first heat transfer fluid circulates through the chuck during a cold phase to bring the chuck to a first temperature. The first heat transfer fluid is removed from the chuck during a hot phase. Also in the hot phase, the chuck is heated to a second temperature higher than the first temperature. [0011]
  • In one embodiment, both a high temperature fluid source and a low temperature fluid source are connected to the fluid channels. By switching between circulation of the high temperature fluid and low temperature fluid, the wafer chuck and thus the workpiece on it, can be rapidly heated or cooled between two different temperatures. In another embodiment, a relatively low temperature fluid is supplied to the fluid channels in the wafer chuck during at least a portion of each cycle. When high temperatures are desired, a heater block is in close contact with the chuck. When low temperatures are desired, the heater block is physically moved from contact with the chuck and lower temperature heat transfer fluid flows through the chuck. [0012]
  • Advantageously, the dual temperature chucks of the preferred embodiments can be used in a photoresist-stripping reactor. In one process, cool fluid is utilized to rapidly reduce workpiece temperature before removing the workpiece from the chuck. In another embodiment, low temperature fluid is kept at a temperature appropriate for a cleaning process, while a high temperature fluid supply or a heater block is kept at a high temperature suitable for photoresist stripping. Similarly, other multiple-step processes, particularly including photoresist stripping, can be conducted at different temperatures rapidly and efficiently using the same wafer chuck.[0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other aspects of the invention will be readily apparent from the description below and from the appended drawings, in which: [0014]
  • FIG. 1 is a schematic view of a dual temperature workpiece chuck having a cold fluid source and a hot fluid source, constructed in accordance with a first preferred embodiment of the present invention; and [0015]
  • FIG. 2 is a schematic view of a dual temperature workpiece chuck having a cold fluid source and a movable heater block, constructed in accordance with a second preferred embodiment of the present invention.[0016]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • While the invention is described in the context of microwave downstream plasma systems, the skilled artisan will readily find application for the principles disclosed herein in a variety of contexts. The processes and structures disclosed herein have particular utility where workpiece chucks desirably support rapid cooling and heating features. For example, it is advantageous for resist stripping reactors to undergo two-step processes at different temperatures. [0017]
  • Preferred Dual Temperature Chucks. [0018]
  • Referring first to FIG. 1, a workpiece support apparatus or [0019] wafer chuck 50 is provided with fluid flow channels 15. It will be understood that the channels can be connected in series to define a single flow path winding through the chuck. Preferably, to speed up the temperature response of the wafer chuck 10, its thermal capacity is preferably minimized. Accordingly, the fluid channels 15 preferably occupy at least about 50% of the wafer chuck volume, more preferably at least about 70%.
  • The skilled artisan will readily appreciate that the [0020] fluid channels 15 can be provided in the wafer chuck 10 in a variety of manners. The fluid channels 15 can be machined into a metal block from which the chuck 10 is formed, or the channels 15 can be formed in a molding process. In other arrangements, the chuck 10 can be formed of two pieces, with machined surface channels (not shown) in one piece covered by the other piece. For example, surface channels can be formed in a lower piece, with mating surface channels in the upper piece.
  • The [0021] chuck 10 can have any suitable construction, and in the illustrated embodiment provides an electrostatic attractive force for holding a workpiece (not shown) in place. In other arrangements, the chuck 10 can include vacuum channels for holding the workpiece to the chuck 10. In still other arrangements, a workpiece is held solely by gravitational forces on a susceptor or other support means. The body of the chuck 10 is desirably formed of a thermally conductive material, and in the illustrated embodiment is formed of aluminum alloy.
  • A reactor (not shown) of the preferred embodiment includes mechanisms for maintaining the [0022] chuck 10 at two different temperatures at different stages or phases of a process cycle. Desirably, the mechanisms of the reactor are configured to rapidly exchange heat with the chuck 10, effectively raising or lowering its temperature. Advantageously, the chuck/workpiece combination rapidly reaches and maintains a desirable high or low temperature.
  • In the illustrated embodiment of FIG. 1, a high temperature mechanism or heat source comprises a hot [0023] fluid source 20 that is connected to the fluid channels 15 within the wafer chuck 10 by way of a supply line 22 and a return line 24. A switch to bring the heat source into thermal communication with the chuck 10 includes switching valves 26 and 28 along each of the supply 22 and return lines 24, desirably centrally controlled to switch between the hot fluid source 20 and a cold fluid source 40 that will be discussed in more detail below. While not illustrated, it will be understood that the system includes pumping means for circulating fluid through the wafer chuck 10.
  • Optionally, purge [0024] source 30 is connected to the supply line 22 between the hot fluid source and the wafer chuck 10. Desirably, a purge line 32 supplies a non-reactive or inert gas from the purge source 30 to the supply line 22 by way of a two-way valve or purge valve 35, which is advantageously also centrally controlled. The illustrated purge gas is nitrogen (N2). Other suitable purge gases include argon (Ar), helium (He), hydrogen (H2), and other gases which are non-reactive with the heat transfer fluid and with the material of the wafer chuck 10. It will be understood that a liquid can also be used for purging, though that is less preferred.
  • The hot [0025] fluid source 20 desirably holds a heat transfer fluid, the composition of which may vary with the application. The boiling point of the heat transfer fluid is preferably greater than about 200° C., more preferably greater than about 250° C. Preferred examples include a fluid commercially available under the trade name Paratherm NF™ from Paratherm Corporation of Conshohocken, Pennsylvania. Another suitable thermal transfer fluid comprises Dowtherm™, commercially available from the Dow Chemical Company of Midland, Mich. These fluids operate efficiently for heat transfer at temperatures up to about 400° C. On the other hand, Galden-HT270 from the Kurt J. Lesker Company of Clairton, PN, is preferably used for electrostatic chucks due to its superior dielectric properties. It can be operated at temperatures of up to about 270° C.
  • As is further illustrated in the preferred embodiment of FIG. 1, a cold source comprises a cold [0026] fluid source 40 that is also connected to the fluid channels 15 within the wafer chuck 10 via a supply line 42 and a return lines 44. It should be generally understood that the term “cold” refers only to the temperature of the cold fluid source 40 relative to the temperature of the hot fluid source 20. For example, in preferred embodiments disclosed below, the actual “cold” fluid source 40 is heated to a temperature above room temperature.
  • In the illustrated embodiment, the cold [0027] fluid source 40 is connected to the same fluid channels 15 in the wafer chuck 10 as the hot fluid source 20, thus maximizing the thermal mass of either the hot or cold heat transfer fluid, depending upon the point in the cycle, that can be flowed through the chuck 10. As with the hot fluid source 20, the cold fluid source 40 includes switchable valves 26, 28 on each of the return line 44 and the supply line 42. In the illustrated embodiment, the valves comprise the same three- way switching valves 26, 28 that also connect to the supply and return lines 22, 24 of the hot fluid source 20. Thus, the supply and return lines 22, 24, 42, 44 for the hot and cold fluid sources 20, 40 include common sections (common supply line section 50 and common return line section 52) between the three- way switching valves 26, 28 and the wafer chuck 10. Thus, as used herein, the supply lines 22, 42 each include the common supply line section 50 and the return lines 24, 44 each include the common return line section 52.
  • Advantageously, the heat transfer fluid within the cold [0028] fluid source 40 comprises the same type of fluid held in the hot fluid source 20, thus avoiding problems of cross-contamination and reaction between the fluids. Preferably, the cold fluid is maintained at temperatures less than about 150° C., and for certain embodiments more preferably less than 100° C. or less than 50° C., depending upon the purpose of the cold fluid source 40. For example, where the cold fluid source 40 serves to cool the workpiece prior to transferring the wafer to a cassette, the cold fluid is preferably kept at a temperature range less than about 100° C., and more preferably less than about 70° C. On the other hand, where the cold fluid source 40 serves to maintain the workpiece temperature during one or more relatively low temperature steps during a multi-step process, the cold fluid is preferably maintained at or slightly above the desirable workpiece temperature.
  • Fluid temperature in each of the hot [0029] fluid source 20 and the cold fluid source 40 can be maintained at their respective desirable temperatures by any of a variety of suitable heating or cooling means, as will be readily understood by one of ordinary skill in the art. In the illustrated embodiments, both the hot and cold fluid sources 20, 40 are heated above room temperature. However, where the cold fluid source 40 serves only to lower workpiece temperature prior to transfer to a storage cassette, the cold fluid can be maintained below room temperature. The skilled artisan can readily determine, for a particular wafer chuck 10 configuration, the appropriate temperature at which to keep the heat transfer fluid in order for the workpiece to be kept at the desired temperature. Factors affecting a temperature differential between the cold or hot fluid source 40 or 20 and the workpiece temperature include heat losses (or gains) along the return lines 24, 44 and supply lines 22, 42, as well as other losses to the environment within the reactor.
  • In operation, during a high temperature processing step (or “hot phase”), fluid from the hot [0030] fluid source 20 is preferably circulated through the hot supply line 22, the first or supply-end three-way switching valve 26, the common supply line section 50, the fluid channels 15, the common return line section 52, the second or return-end three-way switching valve 28, the hot return line 24 and back to the hot fluid source 20. When the high temperature processing is completed, the switch is activated to bring the heat source in thermal communication with the chuck. Namely, the supply-end three-way switching valve 26 along the hot supply line 22 is closed, and the purge valve 35 to the purge line 30 is opened. Purge gas, such as nitrogen gas (N2) in the illustrated embodiment, then flows under pressure through the fluid channels 15 in the wafer chuck 10, thereby blowing residual hot fluid out through the hot return line 24 back into the hot fluid source 20. Alternatively, the return-end three-way switching valve 28 at the juncture of the return lines 24, 44 can be switched to allow purged heat transfer fluid to the cold fluid source 40. In the latter arrangement, the volume of fluid in the cold fluid source 40 is preferably large enough that the purged hot fluid has little effect on the overall temperature of the cold fluid source 40.
  • Once the [0031] wafer chuck 10 has been purged of hot fluid, the purge valve 35 is switched off and the supply-end switching valve 26 at the juncture of the supply lines 22, 42 is switched to allow flow from the cold fluid source 40 through the wafer chuck 10. At the same time, the switching valve between the return lines 40 a and 40 b is switched to allow flow of cold fluid back to the cold fluid source 20 from the wafer chuck 50. After the cold phase is complete (e.g., the workpiece has cooled sufficiently to allow storage in a low temperature storage cassette, or a low temperature process is completed), the supply-end three-way switching valve 26 is again switched to an off position, while the purge valve 35 is opened to once again purge fluid from the wafer chuck 10. As with purging after a high temperature cycle, the cold fluid can be purged to the hot fluid source 20, but is preferably purged to its originating cold fluid source 40. The cycle is then repeated by switching the valves 26, 28 again to allow flow from the hot fluid source 20.
  • In other arrangements, the [0032] purge line 30 can be eliminated from the system of FIG. 1, particularly if the hot fluid source 20 and the cold fluid source 40 comprise the same heat transfer fluid. In such an arrangement, hot heat transfer fluid can be purged with cold heat transfer fluid, without the intermediate gas purge step. As noted, the mass of the hot fluid source 20 is desirably high enough that mixing of purged cold fluid has negligible effect on the temperature of the stored hot fluid. Similarly, the mass of the cold fluid source 40 is desirably high enough that mixing of purged hot fluid with the cold fluid source 40 has negligible effect on the temperature of the stored cold fluid. Preferably, the volume of fluid in each of the hot fluid source 20 and the cold fluid source 40 is greater than about five (5) times the volume of the purged fluid (representing a volume of fluid within the supply lines 22, 42 and return lines 24, 44 and within the fluid channels 15 in the wafer chuck 10). More preferably, the fluid sources 20, 40 are each greater than about ten (10) times and most preferably greater than about twenty (20) times the volume of purged fluid.
  • Referring now to FIG. 2, the workpiece support of the second embodiment also comprises a wafer chuck [0033] 10 a including fluid channels 15 a. In the figures, parts that correspond to parts of the previous embodiment are referenced by like numerals with the addition of a suffix “a”. As in the previously described embodiment, a cold fluid source 40 a, storing thermal transfer fluid at a relatively low temperature, circulates cold heat transfer fluid through the fluid channels 15 a in the wafer chuck 10 a via a supply line 42 a and a return line 44 a. The cold fluid source 40 a can be as described with respect to the previous embodiment. The second embodiment also includes a purge line 32 a in communication with the supply line 42 a via an on/off purge valve 35 a.
  • In place of the hot fluid source [0034] 20 (FIG. 1) of the previous embodiment, however, the heat source of the second embodiment is a movable electrically heated chuck or heater block 20 a, as illustrated in FIG. 2. Preferably, the heater block 20 a is movable relative to the wafer chuck 10 a, although it will be understood that, in some arrangements, the wafer chuck 10 a can be made movable while the heater block 20 a remains stationary. A switch for selectively bringing the heat source into thermal communication with the chuck thus comprises an actuator (not shown) that activates the motor for moving the heater block 20 a. It will be understood, of course, that the chuck could be moved while the heater block is stationary.
  • The heater block [0035] 20 a is preferably maintained during processing at a hot or process temperature that is equal to or slightly above the desired workpiece temperature for a high temperature integrated circuit fabrication process, much in the way that the heat transfer fluid within the hot fluid source 20 of FIG. 1 is constantly maintained at the desired high temperature. The heater block 20 a can be maintained at a desired high temperature by any of a variety of suitable means. For example, the heater block 20 a can be heated by fluid convection, where heat transfer fluid heated in a hot fluid source is circulated through the heater block 20 a, much in the way that the wafer chuck 10 is directly heated by fluid convection in the embodiment of FIG. 1. In the illustrated embodiment, the heater block 20 a is maintained at a high temperature by electrical or resistive heating. In still other arrangements, the heater block 20 a can be radiantly heated, inductively heated, etc.
  • Advantageously, the heater block [0036] 20 a has a high thermal mass relative to the supporting portion of the wafer chuck 10 a. Preferably, the thermal mass of the heater block 20 a is greater than that of the wafer chuck 10 a, more preferably greater than about 5 times and most preferably greater than about 10 times the thermal mass of the wafer chuck 10 a.
  • In operation, when a wafer or other workpiece supported upon the chuck [0037] 10 a is ready for high temperature processing, the heater block 20 a is positioned for conductive heat transfer between the heater block 20 a and the chuck 10 a. Preferably, the heater block 20 a directly contacts the chuck 10 a, more preferably flush across major surfaces of the heater block 20 a and the backside of the chuck 10 a.
  • When it is desired to cool the wafer to a cold or lower temperature, the heater block [0038] 20 a is removed from the thermally conductive position. In the illustrated embodiment, the heater block 20 a is lowered from the chuck 10 a, preferably by a distance of at least about 0.25 inch, and more preferably by a distance of about 0.5 inch. At the same time, or slightly after removal of the heater block 20 a, the valves 26 a, 28 a on the supply and return lines 42 a, 44 a to the cold fluid source 40 a are opened, and cold fluid is circulated through the lines and the wafer chuck 10 a. The chuck 10 a is thereby quickly cooled to approximately the temperature of the cold fluid source 40 a. It will also be understood that the lower the thermal mass of the chuck 10 a, particularly in relation to the heater block 20 a, the quicker the chuck temperature can be changed.
  • In some arrangements, a lower temperature process phase is conducted on the workpiece seated on the chuck [0039] 10 a. In other arrangements, the cooled workpiece can be removed from the chuck 10 a at this stage and placed in a low-cost storage cassette without waiting for further cooling, and without the need for separate workpiece cooling mechanisms (such as cooling stations utilized in many semiconductor processing systems).
  • When it is desirable to once again heat the wafer chuck [0040] 10 a, the valve 26 a on the supply line 42 a from the cold fluid source 40 a is closed, and the valve 35 a on the purge line 32 a is opened to allow gas flow through the fluid channels 15 a in the wafer chuck 10 a. Residual cold fluid is thereby purged from the channels 15 a in wafer chuck 10 a through the return line 44 a and back to the cold fluid source 40 a. At the same time, or slightly after shutting the cold fluid flow, the heater block 20 a is moved relative to the chuck 10 a to bring the heater block 20 a again in a position where heat is conductively exchanged from the heater block 20 a to the wafer chuck 10 a.
  • Preferred Processes Employing the Illustrated Dual Temperature Chucks [0041]
  • Preferably, the illustrated dual temperature wafer chucks [0042] 10, 10 a are employed within a microwave plasma asher for stripping organic photoresist from integrated circuit workpieces. In addition to a remote microwave plasma source, which produces oxygen and/or fluorine radicals upstream of the process chamber, the reactor can also employ an internal radio frequency (RF) plasma generator within the process chamber. In the latter case, the wafer chuck 10, 10 a is powered, as well as a portion of the chamber walls, producing a parallel plate arrangement suitable for reactive ion etching within the chamber.
  • As previously noted, the dual temperature wafer chucks [0043] 10, 10 a of the preferred embodiments are particularly useful for photoresist stripping and/or cleaning operations within a microwave plasma ashing reactor, also known as a chemical downstream etch (CDE) reactor. Photoresist is applied and removed from a workpiece at various stages of semiconductor fabrication. As set forth in more detail below, the illustrated dual temperature wafer chucks 10, 10 a have utility in many resist strip contexts.
  • Post-Implant Resist Strip [0044]
  • During initial stages of semiconductor fabrication, regions of a semiconductor substrate are implanted with dopants (e.g. boron, phosphorous, arsenic) through a photoresist mask. Ion implantation is similarly performed through masks in many other doping steps. The ion implantation process results in a hardened crust at the top surface of the photoresist. Outgassing during high temperature steps tends to be trapped by the hardened crust until an explosive pressure is built within the photoresist, potentially causing damage to both the partially fabricated wafer as well as the reactor. Traditionally, utilizing a low temperature strip process to avoid excess gas build-up has minimized this risk. [0045]
  • In a preferred process employing the dual temperature wafer chucks [0046] 10, 10 a of the illustrated embodiments, an initial strip is first conducted at low temperature until the trapping crust is removed from the photoresist. Wafer temperatures during the initial step are preferably kept between about 100° C. and 140° C., more preferably between about 110° C. and 125° C. Reaction gases can include an oxidant to aid oxidation of the resist (e.g., O2, preferably converted to oxygen radicals); a fluorine source to aid removal of the implanted portion (e.g., NF3 or CF4, preferably converted to fluorine radicals); and a diluting gas (such as He or Ar) and/or forming gas (H2/N2) to serve as a carrier. Reactants can be supplied to the workpiece surface in any suitable fashion. Radicals are preferably generated in a remote microwave plasma generator. The implanted upper portion of the resist is typically removed in about thirty (30) seconds.
  • During this low temperature step, cold fluid is preferably circulated through the [0047] wafer chuck 10, 10 a, and the cold fluid is desirably stored in the cold fluid source 40, 4 a at the desired wafer temperatures (i.e., between about 100° C. and 140° C., more preferably between about 110° C. and 125° C.). Accordingly, the “cold” fluid is actually heated to the desired temperature.
  • Once the crust has been removed, reaction continues while the temperature of the [0048] chuck 10, 10 a is raised. With the embodiment of FIG. 1, for example, the cold fluid is purged from the wafer chuck 10, preferably with a purge gas for about five (5) seconds, and the hot fluid is circulated through the wafer chuck 10. Utilizing the chuck 10 a of FIG. 2, switching temperatures involves stopping the cold fluid flow through the heater chuck 10 a, purging the cold fluid from the chuck 10 a, and raising the heater block 20 a to conductive contact with the wafer chuck 10 a.
  • Preferably, the temperature is raised to between about 150° C. and 300° C. and more preferably between about 200° C. and 250° C. The same reactant chemistry can continue to flow during the second stage of the strip. Preferably, however, N[0049] 2 (or forming gas) flows with O2 and fluorine flow can be optionally discontinued. The raised temperature results in a significantly increased etch rate, thereby improving workpiece throughput. In particular, a temperature of about 250° C. results in a strip rate of about 7 μm/min. A typical photoresist mask of about 1 μm can therefore be removed within about 5 to 10 seconds.
  • Post-Via Resist Strip [0050]
  • At various stages during semiconductor fabrication, vias are created through layers, typically through insulating layers such as borophosphosilicate glass (BPSG) or oxides formed from tetraethylorthosilicate (TEOS). A photoresist mask is selectively exposed and developed in a desired pattern and the developed or undeveloped resist is removed, depending upon whether positive or negative resist is employed. Vias are then formed through the patterned photoresist mask and through the exposed portions of the underlying layer, typically an oxide. [0051]
  • After via formation, the photoresist mask must be removed. Unfortunately, the process of forming the via creates an organic residue within the via, which is often difficult to remove. The residue is often referred to in the industry as a polymer “veil,” and is particularly problematic following reactive ion etching of vias for back-end or metallization stages of fabrication. While relatively vigorous cleaning chemistries must be employed to remove this polymer residue, overetching risks damage to the exposed features within the via. Accordingly, it is advantageous to conduct the post-via formation cleaning, after rapid resist stripping, at relatively low temperatures. [0052]
  • With the [0053] wafer chuck 10, 10 a at an elevated temperature (e.g., 200° C. to 250° C.), a high temperature resist strip can be conducted at rapid rates, as disclosed above with respect to the second stage of the post-implant process. Reactants can also be as discussed above, with optional fluorine flow. During this high temperature step, hot fluid can circulate through the wafer chuck 10 (FIG. 1) or a heater block 20 a can be positioned for conductive thermal exchange with the wafer chuck 10 a (FIG. 2).
  • In the illustrated embodiment, wherein the [0054] wafer chuck 10, 10 a can be quickly adjusted between two temperatures, the post-via cleaning can be conducted within the same process chamber as the resist strip. Accordingly, a low temperature cleaning process is conducted immediately following the resist strip process. Thus, for reactors employing the apparatus of FIG. 1, hot fluid circulation is discontinued and purged from the fluid channels 15, and subsequently replaced with cold heat transfer fluid. If the apparatus of FIG. 2 is employed, the heater block 20 a is removed from the wafer chuck 10 a and cold fluid begins to circulate through the wafer chuck 10 a.
  • During the post-strip clean process, the workpiece temperature is preferably kept between about room temperature and 100° C., more preferably between about 50° C. and 80° C. The chemistry during this process preferably includes an oxidant (e.g., O[0055] 2), a diluting gas (e.g., He, Ar, and/or forming gas—N2/H2), and a fluorine source gas (e.g., NF3 or CF4). The fluorine, while aiding removal of the polymer, also attacks the oxide sidewalls of the via. Preferably, the oxidant and fluorine reactants comprise radicals formed upstream of the reaction chamber.
  • The process preferably includes RF plasma generation within the chamber, compensating for reduced temperatures during the process. N[0056] 2 or forming gas aid maintenance of the plasma discharge. Additionally, an optional physical sputter etch can be briefly applied immediately after treatment with the oxygen and fluorine sources.
  • During the post-via clean, “cold” fluid is thus circulated through the [0057] wafer chuck 10, 10 a, where the cold fluid source is kept within the desired workpiece temperature range. Upon completion of the via cleaning step, the workpiece is removed from the chamber, cold fluid circulation is discontinued and the cold fluid is purged from the fluid channels 15, 15 a. In the embodiment of FIG. 1, the cold fluid is replaced with hot heat transfer fluid, while in the embodiment of FIG. 2, the heater block 20 a is positioned for thermally conductive exchange with the wafer chuck 10 a. The chuck 10 or 10 a is thus prepared for processing another wafer.
  • Reduction of Oxide Loss [0058]
  • As discussed above with respect to the post-via stripping, residues in vias formed by RIE during back-end metallization are cleaned after the photoresist stripping. More generally, however, contact openings or holes are formed at many stages of integrated circuit fabrication, whether by wet etch, dry vapor etch or RIE. [0059]
  • Integrated circuits include many dielectric elements for electrical isolation of conductive elements. A common material for such dielectric elements is silicon oxide in various forms, although silicon nitride is also popular for many applications. [0060]
  • In forming electrical contacts among conductive elements, the contact holes or openings are formed through insulating layers known as interlevel dielectrics (ILDs). Opening contact holes to active areas within semiconductor substrates often expose insulative sidewall spacers over transistor gate electrodes. Such contact etches typically also expose sacrificial oxide over the substrate. In each of these examples, masks are employed to define the hole or via, and an etch process exposes oxide surfaces. [0061]
  • Such oxide surfaces define dimensions selected by a circuit design. As device packing density continues to increase in pursuit of faster integrated circuit (IC) operating speeds and lower power consumption, it becomes ever more critical to maintain these dimensions, and tolerance for overetch is commensurately reduced. Cleaning the openings after removal of the photoresist mask, therefore, needs to be carefully controlled to avoid overetch of the exposed insulating surfaces, particularly oxide surfaces. [0062]
  • Accordingly, a first stage of post-contact etch resist stripping is conducted at high temperatures (preferably between about 100° C. and 300° C., more preferably between about 200° C. and 250° C.), with the wafer supported upon a dual [0063] temperature wafer chuck 10 or 10 a (FIGS. 1, 2). An exemplary reactant flow includes 1:10 ratios of N2:O2.
  • After the strip, the illustrated dual temperature wafer chucks [0064] 10, 10 a can be employed to perform a lower temperature post-strip clean. As noted with respect to the post-via cleaning, fluorine aids in cleaning oxide surfaces of lithography by-products. Desirably, a relative small percentage of fluorine gas source (e.g., less than about 5% CF4) is added to the flow.
  • Advantageously, employment of an RF plasma, in addition to the remote plasma generator, lowers the required process temperature for a given etch rate. Accordingly, the cold [0065] fluid source 40, 40 a is preferably maintained between about 15° C. and 100° C., more preferably between about 20° C. and 100° C., and most preferably between about 25° C. and 50° C. Despite rapid etch rates, the post-strip clean can be strictly controlled by limiting the time for which the RF electrodes are powered (e.g., for about 15 seconds).
  • Post-Process Cooling [0066]
  • In addition to the two stage processes discussed above, the disclosed dual temperature wafer chucks [0067] 10 or 10 a (FIG. 1, 2) can advantageously increase workpiece throughput for any desired process, including single-temperature processes which are conducted at high temperatures.
  • For such processes, a rapid resist strip process (preferably between about 100° C. and 300° C., more preferably between about 200° C. and [0068] 250° C.) can be followed by lowering the wafer temperature, while still mounted upon the chuck 10, 10 a, to levels tolerable by commercial storage cassettes. Preferably, the wafer temperature is lowered to less than about 100° C., and more preferably to less than about 70° C.
  • Since, for this step, no workpiece treatment is to be conducted, the workpiece temperature need not be stabilized prior to removal. Accordingly, the cold [0069] fluid source 40, 40 a can be maintained at well below the desired removal temperature. A high temperature differential between the hot wafer chuck 10 or 10 a (after resist strip) and the cold fluid introduced to the fluid channels 15, 15 a results in very rapid cooling of the workpiece/chuck combination. Accordingly, the cold fluid source 40, 40 a is preferably maintained below about 100° C., and more preferably below about 70° C. The workpiece can thus be removed from the chuck 10, 10 a and placed directly into a low temperature storage cassette without any waiting beyond the time required to open the chamber gate valve and extend the transfer robot to lift the workpiece.
  • Accordingly, several objects and advantages inhere in the described invention. For example, processes that require two-step processing at different temperatures can be efficiently conducted within the same process chamber. Also, the heat transfer fluid used for both the hot and cold fluid sources can be the same, which minimizes any cross-contamination and reaction between fluids. Furthermore, workpiece throughput can be increased by eliminating a separate cooling station conventionally used for cooling workpieces prior to placement in low-cost storage cassette. [0070]
  • It will be appreciated by those skilled in the art that various modifications and changes may be made without departing from the scope of the invention, and all such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims. [0071]

Claims (16)

I claim:
1. An apparatus for controlling the temperature of workpieces in a semiconductor processing reactor, comprising:
a support having fluid channels therein;
a cold fluid source communicating with the fluid channels via a first supply line, the cold fluid source configured to maintain a cold fluid maintained at a first temperature;
a heat source maintained at a second temperature, the second temperature being higher than the first temperature; and
a mechanism for conductively transferring heat from the heat source to the support.
2. The apparatus of claim 1, wherein the heat source comprises a hot fluid source configured to maintain a hot fluid at the second temperature, and the mechanism comprises a second supply line in fluid communication with the hot fluid source and channels in the support.
3. The apparatus of claim 2, wherein the first supply line and the second supply line are in fluid communication with the same fluid channels in the support.
4. The apparatus of claim 3, further comprising a three-way switching valve controlling flow from the cold fluid source and the hot fluid source to the fluid channels.
5. The apparatus of claim 3, wherein the first supply line and the second supply line overlap in a common supply line section downstream of the three-way switching valve.
6. The apparatus of claim 5, further comprising a purge line connected to the common supply line section for purging heat transfer fluid from the fluid channels.
7. The apparatus of claim 3, further comprising a first return line in fluid communication with a downstream end of the fluid channels and with the cold fluid source, and a second return line in fluid communication with the downstream end of the fluid channels and with the hot fluid source.
8. The apparatus of claim 7, further comprising a three-way switching valve controlling flow from the fluid channels to the cold fluid source and the hot fluid source.
9. The apparatus of claim 3, wherein the hot fluid and the cold fluid have the same chemical composition.
10. The apparatus of claim 2, wherein the fluid channels occupy at least 50% of a volume of the support.
11. The apparatus of claim 1, further comprising a purge line connected to the fluid channels for purging heat transfer fluid therefrom.
12. The apparatus of claim 1, wherein the heat source comprises a heater block, and the mechanism comprises a mechanical lift placing the heater block in conductive contact with the support.
13. The apparatus of claim 12, wherein the heat source is resistively heated.
14. The apparatus of claim 10, wherein the heat source is heated by circulation of hot fluid therethrough.
15. The apparatus of claim 1, wherein the first temperature is selected to maintain a workpiece supported upon the support at less than about 150° C., and the second temperature is selected to maintain the workpiece at between about 150° C. and 300° C.
16. The apparatus of claim 1, wherein the semiconductor processing reactor comprises a photoresist asher.
US10/244,713 1999-05-27 2002-09-16 Rapid heating and cooling of workpiece chucks Abandoned US20030015294A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/244,713 US20030015294A1 (en) 1999-05-27 2002-09-16 Rapid heating and cooling of workpiece chucks

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13673899P 1999-05-27 1999-05-27
US09/579,943 US6461801B1 (en) 1999-05-27 2000-05-26 Rapid heating and cooling of workpiece chucks
US10/244,713 US20030015294A1 (en) 1999-05-27 2002-09-16 Rapid heating and cooling of workpiece chucks

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/579,943 Division US6461801B1 (en) 1999-05-27 2000-05-26 Rapid heating and cooling of workpiece chucks

Publications (1)

Publication Number Publication Date
US20030015294A1 true US20030015294A1 (en) 2003-01-23

Family

ID=22474151

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/579,943 Expired - Lifetime US6461801B1 (en) 1999-05-27 2000-05-26 Rapid heating and cooling of workpiece chucks
US10/244,713 Abandoned US20030015294A1 (en) 1999-05-27 2002-09-16 Rapid heating and cooling of workpiece chucks

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/579,943 Expired - Lifetime US6461801B1 (en) 1999-05-27 2000-05-26 Rapid heating and cooling of workpiece chucks

Country Status (3)

Country Link
US (2) US6461801B1 (en)
AU (1) AU5448200A (en)
WO (1) WO2000074117A1 (en)

Cited By (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040097088A1 (en) * 2001-01-23 2004-05-20 Hirofumi Kitayama Conductor treating single-wafer type treating device and method for semi-conductor treating
US20050227503A1 (en) * 2002-04-15 2005-10-13 Erich Reitinger Method and device for conditioning semiconductor wafers and/or hybrids
US20060249079A1 (en) * 2005-05-09 2006-11-09 Ping-Hua Yao Wafer heater and wafer chuck including the same
US20070264841A1 (en) * 2006-05-10 2007-11-15 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US20080023926A1 (en) * 2006-07-25 2008-01-31 Young-Han Kim Chuck assembly and method for controlling a temperature of a chuck
US20090294101A1 (en) * 2008-06-03 2009-12-03 Applied Materials, Inc. Fast substrate support temperature control
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20110262315A1 (en) * 2003-06-30 2011-10-27 Lam Research Corporation Substrate support having dynamic temperature control
CN102971736A (en) * 2010-05-27 2013-03-13 埃默拉尔德治疗有限公司 System and method for propagating information using modified nucleic acids
US20160097123A1 (en) * 2014-10-07 2016-04-07 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6866094B2 (en) 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
US6767698B2 (en) * 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6905333B2 (en) 2002-09-10 2005-06-14 Axcelis Technologies, Inc. Method of heating a substrate in a variable temperature process using a fixed temperature chuck
WO2004102640A1 (en) * 2003-05-07 2004-11-25 Axcelis Technologies, Inc. Wide temperature range chuck system
WO2005055291A1 (en) * 2003-11-26 2005-06-16 Temptronic Corporation Apparatus and method for reducing electrical noise in a thermally controlled chuck
WO2007116492A1 (en) * 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited Method for manufacturing semiconductor device
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8021135B2 (en) 2007-06-08 2011-09-20 Sabic Innovative Plastics Ip B.V. Mold apparatus for forming polymer and method
EP2212079A1 (en) * 2007-10-26 2010-08-04 SABIC Innovative Plastics IP B.V. System and method for forming polymer
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8709165B2 (en) 2010-12-03 2014-04-29 Lam Research Ag Method and apparatus for surface treatment using inorganic acid and ozone
KR20130031945A (en) * 2011-09-22 2013-04-01 삼성전자주식회사 Apparatus for controlling temperature of loading chuck and method of controlling temperature
US10256123B2 (en) * 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
CN103369810B (en) * 2012-03-31 2016-02-10 中微半导体设备(上海)有限公司 A kind of plasma reactor
US8916052B2 (en) 2013-02-01 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Resist technique
KR101367086B1 (en) * 2013-10-17 2014-02-24 (주)테키스트 Temperature control system for semiconductor manufacturing system
WO2015198288A1 (en) 2014-06-27 2015-12-30 Sabic Global Technologies B.V. Induction heated mold apparatus with multimaterial core and method of using the same
US11445650B2 (en) 2019-10-22 2022-09-13 International Business Machines Corporation Localized rework using liquid media soldering
US10866036B1 (en) 2020-05-18 2020-12-15 Envertic Thermal Systems, Llc Thermal switch

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR860002082B1 (en) 1983-01-19 1986-11-24 가부시기가이샤 도시바 Forming method and apparatus of resistor pattern
US4628991A (en) * 1984-11-26 1986-12-16 Trilogy Computer Development Partners, Ltd. Wafer scale integrated circuit testing chuck
JPS62282437A (en) 1986-05-31 1987-12-08 Shinku Riko Kk Rapid heating and cooling device for semiconductor wafer treatment
JPS63291419A (en) 1987-05-24 1988-11-29 Tatsumo Kk Heat treatment device
US4811493A (en) 1987-08-05 1989-03-14 Burgio Joseph T Jr Dryer-cooler apparatus
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JP2731855B2 (en) 1989-02-14 1998-03-25 アネルバ株式会社 Low pressure vapor phase growth equipment
EP0397315B1 (en) 1989-05-08 1995-03-01 Applied Materials, Inc. Method and apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment
US5248370A (en) 1989-05-08 1993-09-28 Applied Materials, Inc. Apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment
JPH03224236A (en) 1990-01-30 1991-10-03 Sony Corp Method and apparatus for treating untreated object
USH1145H (en) * 1990-09-25 1993-03-02 Sematech, Inc. Rapid temperature response wafer chuck
JP2969918B2 (en) 1990-11-08 1999-11-02 ソニー株式会社 Dry etching equipment
US5181556A (en) 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
JP2888026B2 (en) 1992-04-30 1999-05-10 松下電器産業株式会社 Plasma CVD equipment
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (en) 1993-03-03 2002-06-17 東京エレクトロン株式会社 Heat treatment equipment
US5318801A (en) 1993-05-18 1994-06-07 United States Of America As Represented By The Secretary Of The Navy Substrate temperature control apparatus and technique for CVD reactors
JP2560986B2 (en) 1993-07-27 1996-12-04 日本電気株式会社 Tungsten CVD equipment
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
EP0733130A4 (en) 1993-12-17 1997-04-02 Brooks Automation Inc Apparatus for heating or cooling wafers
US5883778A (en) * 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
US5811762A (en) * 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
JP3442253B2 (en) 1997-03-13 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
US5937541A (en) 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement

Cited By (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235137B2 (en) * 2001-01-23 2007-06-26 Tokyo Electron Limited Conductor treating single-wafer type treating device and method for semi-conductor treating
US20040097088A1 (en) * 2001-01-23 2004-05-20 Hirofumi Kitayama Conductor treating single-wafer type treating device and method for semi-conductor treating
US7900373B2 (en) * 2002-04-15 2011-03-08 Ers Electronic Gmbh Method for conditioning semiconductor wafers and/or hybrids
US20050227503A1 (en) * 2002-04-15 2005-10-13 Erich Reitinger Method and device for conditioning semiconductor wafers and/or hybrids
US8747559B2 (en) * 2003-06-30 2014-06-10 Lam Research Corporation Substrate support having dynamic temperature control
US20110262315A1 (en) * 2003-06-30 2011-10-27 Lam Research Corporation Substrate support having dynamic temperature control
US20060249079A1 (en) * 2005-05-09 2006-11-09 Ping-Hua Yao Wafer heater and wafer chuck including the same
US20070264841A1 (en) * 2006-05-10 2007-11-15 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7605063B2 (en) 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US20080023926A1 (en) * 2006-07-25 2008-01-31 Young-Han Kim Chuck assembly and method for controlling a temperature of a chuck
US8596336B2 (en) 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
WO2009155090A3 (en) * 2008-06-03 2010-03-11 Applied Materials, Inc. Fast substrate support temperature control
US20090294101A1 (en) * 2008-06-03 2009-12-03 Applied Materials, Inc. Fast substrate support temperature control
WO2009155090A2 (en) * 2008-06-03 2009-12-23 Applied Materials, Inc. Fast substrate support temperature control
CN105603376A (en) * 2008-11-12 2016-05-25 朗姆研究公司 Substrate temperature control by using liquid controlled multi-zone substrate support
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102971736A (en) * 2010-05-27 2013-03-13 埃默拉尔德治疗有限公司 System and method for propagating information using modified nucleic acids
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) * 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20210156030A1 (en) * 2014-10-07 2021-05-27 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US20160097123A1 (en) * 2014-10-07 2016-04-07 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US11094528B2 (en) 2017-12-15 2021-08-17 Beijing E-town Semiconductor Technology Co., Ltd. Surface treatment of substrates using passivation layers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
WO2000074117A9 (en) 2002-01-31
US6461801B1 (en) 2002-10-08
WO2000074117A1 (en) 2000-12-07
AU5448200A (en) 2000-12-18

Similar Documents

Publication Publication Date Title
US6461801B1 (en) Rapid heating and cooling of workpiece chucks
US6911112B2 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US7235137B2 (en) Conductor treating single-wafer type treating device and method for semi-conductor treating
EP1623452B1 (en) Wide temperature range chuck system
US4985372A (en) Method of forming conductive layer including removal of native oxide
JP5014985B2 (en) Process processing system and method for processing substrates
US7097779B2 (en) Processing system and method for chemically treating a TERA layer
US20020045355A1 (en) Method of manufacturing a semiconductor device having a silicide layer
KR100658235B1 (en) Method of coating and annealing large area glass substrates
TWI640040B (en) Methods for stabilizing an interface post etch to minimize queue time issues before next processing step
TW201532143A (en) Methods for etching a dielectric barrier layer in a dual damascene structure
TW201237941A (en) Abatement and strip process chamber in a dual loadlock configuration
TW201517123A (en) Formation method for micropattern, manufacturing method for semiconductor device, substrate processing device, and recording medium
US11756828B2 (en) Cluster processing system for forming a transition metal material
US20160379848A1 (en) Substrate Processing Apparatus
WO2020159663A1 (en) Vertical transistor fabrication for memory applications
JP3204836B2 (en) Plasma processing method and plasma processing apparatus
KR20000047614A (en) Uhv horizontal hot wall cluster cvd/growth design
JP2002299319A (en) Substrate processor
JP2003059899A (en) Wafer processing system
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
US20050284572A1 (en) Heating system for load-lock chamber
CN114207787A (en) Non-plasma etching of titanium-containing material layers with tunable selectivity to alternating metal and dielectric
US11393696B2 (en) Method of controlling substrate treatment apparatus, substrate treatment apparatus, and cluster system
CN115491651A (en) Base cleaning

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION