US20020192982A1 - Method of forming a carbon doped oxide layer on a substrate - Google Patents

Method of forming a carbon doped oxide layer on a substrate Download PDF

Info

Publication number
US20020192982A1
US20020192982A1 US09/867,869 US86786901A US2002192982A1 US 20020192982 A1 US20020192982 A1 US 20020192982A1 US 86786901 A US86786901 A US 86786901A US 2002192982 A1 US2002192982 A1 US 2002192982A1
Authority
US
United States
Prior art keywords
vapor deposition
chemical vapor
rate
plasma enhanced
enhanced chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/867,869
Other versions
US6482754B1 (en
Inventor
Ebrahim Andideh
Kevin Peterson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US09/867,869 priority Critical patent/US6482754B1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ANDIDEH, EBRAHIM, PETERSON, KEVIN L.
Application granted granted Critical
Publication of US6482754B1 publication Critical patent/US6482754B1/en
Publication of US20020192982A1 publication Critical patent/US20020192982A1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Definitions

  • the present invention relates to a method of forming a carbon doped oxide layer on a substrate, when making a semiconductor device.
  • Semiconductor devices include metal layers that are insulated from each other by dielectric layers. As device features shrink, reducing the distance between the metal layers and between metal lines on each layer, capacitance increases. To address this problem, insulating materials that have a relatively low dielectric constant are being used in place of silicon dioxide to form the dielectric layer that separates the metal lines.
  • a material that may be used to form such a low k dielectric layer is carbon doped oxide (“CDO”). Using this material instead of silicon dioxide to separate metal lines may yield a device having reduced propagation delay, cross-talk noise and power dissipation.
  • CDO layer may be deposited on a substrate using a plasma enhanced chemical vapor deposition (“PECVD”) process. When using such a process to form such a layer, gases that provide a source of silicon, oxygen, and carbon must be fed into a PECVD reactor.
  • PECVD plasma enhanced chemical vapor deposition
  • gases examples include those having the formula (CH 3 ) x Si(OCH3) 4-x , e.g., tetramethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, and trimethylmethoxysilane.
  • a background gas e.g., an inert gas such as helium, may be fed into the reactor at the same time. That reactor may then be operated at conventional pressures, temperatures, RF and power for a time sufficient to deposit a CDO layer of the desired thickness onto the substrate.
  • FIG. 1 provides a schematic representation of a CVD chamber for a PECVD reactor.
  • FIG. 2 represents a cross-section of a structure that includes a CDO layer deposited on a substrate, which may be generated when forming a semiconductor device.
  • a method for forming a carbon doped oxide layer on a substrate comprises introducing into a chemical vapor deposition apparatus a precursor gas that is selected from the group consisting of gases that have the formula (CH 3 ) x Si(OCH3) 4-x . Simultaneously, a background gas, oxygen and nitrogen are introduced into the chemical vapor deposition apparatus, which is then operated under conditions that cause a carbon doped oxide layer to form on the substrate.
  • a precursor gas that is selected from the group consisting of gases that have the formula (CH 3 ) x Si(OCH3) 4-x .
  • a background gas, oxygen and nitrogen are introduced into the chemical vapor deposition apparatus, which is then operated under conditions that cause a carbon doped oxide layer to form on the substrate.
  • a substrate e.g., a silicon wafer upon which various conducting and insulating layers may have been formed
  • a chemical vapor deposition apparatus preferably a PECVD reactor, e.g., PECVD reactor 100 illustrated in FIG. 1.
  • gases that provide a source of carbon, silicon, and oxygen are introduced into reactor 100 in the conventional manner.
  • Gases that may provide a source of these elements include those that have the formula (CH 3 ) x Si(OCH3) 4-x .
  • gases include those that contain tetramethoxysilane, methyltrimethoxysilane, dimethyidimethoxysilane, and/or trimethylmethoxysilane.
  • a particularly preferred precursor gas is dimethyidimethoxysilane. Note that while these substances are introduced into the reactor as gases, they may be liquids at standard temperature and pressure.
  • a background gas e.g., an inert gas such as helium
  • oxygen and nitrogen are also introduced into the reactor.
  • gases may be introduced at the following flow rates: Precursor gas flow rate 90-200 sccm Background gas flow rate 20-200 sccm Oxygen gas flow rate 1-20 sccm Nitrogen gas flow rate 15-300 sccm
  • these gases are preferably introduced into the reactor at the flow rates specified above, they may, of course, be fed into the reactor at flow rates that fall outside the indicated ranges, without departing from the spirit and scope of the present invention.
  • Those gases may be introduced into reactor 100 at conventional temperatures and pressures.
  • Optimal operating conditions may, of course, depend upon the composition of the gas streams fed into the reactor, the type of reactor used, and the desired properties for the resulting CDO layer.
  • a CDO layer with acceptable properties may be formed by maintaining the reactor pressure between about 2.0 and about 10.0 Torr (more preferably between about 3.0 and about 6.0 Torr), the susceptor temperature between about 350° C.
  • RF energy is applied—preferably at standard frequencies and at between about 1,600 and about 1,800 watts.
  • oxygen and nitrogen are introduced into the reactor such that they constitute, in combination, less than about 5% of the total gas flow.
  • the nitrogen and oxygen should be fed into the reactor at a flow rate ratio that is between about 1:1 and about 20:1. Feeding into reactor 100 this combination of precursor and background gases along with oxygen and nitrogen, under the above specified operating conditions, should cause CDO layer 200 to form on substrate 201 (as illustrated in FIG. 2) such that CDO layer 200 has a dielectric constant that is less than or equal to about 3.0.
  • the process of the present invention may enable the generation of a CDO layer that has a slightly lower dielectric constant, when compared to the dielectric constant of CDO layers made from processes that apply a (CH 3 ) x Si(OCH3) 4-x precursor gas without oxygen and nitrogen.
  • the process of the present invention enables a CDO layer to be deposited on a substrate at a rate that exceeds about 7,000 angstroms per minute. Increasing that deposition rate enhances the manufacturability of processes for making semiconductor devices that include CDO insulating layers.

Abstract

A method of forming a carbon doped oxide layer on a substrate is described. That method comprises introducing into a chemical vapor deposition apparatus a precursor gas that is selected from those having the formula (CH3)xSi(OCH3)4-x. Simultaneously, a background gas, oxygen and nitrogen are introduced into the chemical vapor deposition apparatus. That apparatus is then operated under conditions that cause a carbon doped oxide layer to form on the substrate.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a method of forming a carbon doped oxide layer on a substrate, when making a semiconductor device. [0001]
  • BACKGROUND OF THE INVENTION
  • Semiconductor devices include metal layers that are insulated from each other by dielectric layers. As device features shrink, reducing the distance between the metal layers and between metal lines on each layer, capacitance increases. To address this problem, insulating materials that have a relatively low dielectric constant are being used in place of silicon dioxide to form the dielectric layer that separates the metal lines. [0002]
  • A material that may be used to form such a low k dielectric layer is carbon doped oxide (“CDO”). Using this material instead of silicon dioxide to separate metal lines may yield a device having reduced propagation delay, cross-talk noise and power dissipation. A CDO layer may be deposited on a substrate using a plasma enhanced chemical vapor deposition (“PECVD”) process. When using such a process to form such a layer, gases that provide a source of silicon, oxygen, and carbon must be fed into a PECVD reactor. Examples of such gases include those having the formula (CH[0003] 3)xSi(OCH3)4-x, e.g., tetramethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, and trimethylmethoxysilane. A background gas, e.g., an inert gas such as helium, may be fed into the reactor at the same time. That reactor may then be operated at conventional pressures, temperatures, RF and power for a time sufficient to deposit a CDO layer of the desired thickness onto the substrate.
  • Although processes that use (CH[0004] 3)xSi(OCH3)4-x precursors to form CDO layers may produce layers that have a dielectric constant that is less than 3.0, those processes generate those layers at a relatively low deposition rate. Accordingly, there is a need for an improved process for making a CDO insulating layer. There is a need for such a process that generates a CDO layer from a (CH3)xSi(OCH3)4-x precursor at an increased deposition rate. The method of the present invention provides such a process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 provides a schematic representation of a CVD chamber for a PECVD reactor. [0005]
  • FIG. 2 represents a cross-section of a structure that includes a CDO layer deposited on a substrate, which may be generated when forming a semiconductor device.[0006]
  • DETAILED DESCRIPTION OF THE PRESENT INVENTION
  • A method is described for forming a carbon doped oxide layer on a substrate. That method comprises introducing into a chemical vapor deposition apparatus a precursor gas that is selected from the group consisting of gases that have the formula (CH[0007] 3)xSi(OCH3)4-x. Simultaneously, a background gas, oxygen and nitrogen are introduced into the chemical vapor deposition apparatus, which is then operated under conditions that cause a carbon doped oxide layer to form on the substrate.
  • In the following description, a number of details are set forth to provide a thorough understanding of the present invention. It will be apparent to those skilled in the art, however, that the invention may be practiced in many ways other than those expressly described here. The invention is thus not limited by the specific details disclosed below. [0008]
  • In the method of the present invention, a substrate, e.g., a silicon wafer upon which various conducting and insulating layers may have been formed, is placed in a chemical vapor deposition apparatus—preferably a PECVD reactor, e.g., [0009] PECVD reactor 100 illustrated in FIG. 1. To form a CDO layer on such a substrate, in accordance with the method of the present invention, gases that provide a source of carbon, silicon, and oxygen are introduced into reactor 100 in the conventional manner.
  • Gases that may provide a source of these elements include those that have the formula (CH[0010] 3)xSi(OCH3)4-x. Such gases include those that contain tetramethoxysilane, methyltrimethoxysilane, dimethyidimethoxysilane, and/or trimethylmethoxysilane. A particularly preferred precursor gas is dimethyidimethoxysilane. Note that while these substances are introduced into the reactor as gases, they may be liquids at standard temperature and pressure.
  • At the same time the gas that provides this source of silicon, carbon, and oxygen is fed into the reactor, a background gas, e.g., an inert gas such as helium, is fed into the reactor. In the method of the present invention, oxygen and nitrogen are also introduced into the reactor. These gases may be introduced at the following flow rates: [0011]
    Precursor gas flow rate 90-200 sccm
    Background gas flow rate 20-200 sccm
    Oxygen gas flow rate 1-20 sccm
    Nitrogen gas flow rate 15-300 sccm
  • Although these gases are preferably introduced into the reactor at the flow rates specified above, they may, of course, be fed into the reactor at flow rates that fall outside the indicated ranges, without departing from the spirit and scope of the present invention. Those gases may be introduced into [0012] reactor 100 at conventional temperatures and pressures. Optimal operating conditions may, of course, depend upon the composition of the gas streams fed into the reactor, the type of reactor used, and the desired properties for the resulting CDO layer. A CDO layer with acceptable properties may be formed by maintaining the reactor pressure between about 2.0 and about 10.0 Torr (more preferably between about 3.0 and about 6.0 Torr), the susceptor temperature between about 350° C. and about 450° C., and the electrode spacing at between about 15 and about 50 mm (more preferably between about 24 and about 26 mm). To generate a plasma from such a mixture of gases, RF energy is applied—preferably at standard frequencies and at between about 1,600 and about 1,800 watts.
  • In a particularly preferred embodiment of the present invention, oxygen and nitrogen are introduced into the reactor such that they constitute, in combination, less than about 5% of the total gas flow. For optimum results, the nitrogen and oxygen should be fed into the reactor at a flow rate ratio that is between about 1:1 and about 20:1. Feeding into [0013] reactor 100 this combination of precursor and background gases along with oxygen and nitrogen, under the above specified operating conditions, should cause CDO layer 200 to form on substrate 201 (as illustrated in FIG. 2) such that CDO layer 200 has a dielectric constant that is less than or equal to about 3.0.
  • The process of the present invention may enable the generation of a CDO layer that has a slightly lower dielectric constant, when compared to the dielectric constant of CDO layers made from processes that apply a (CH[0014] 3)xSi(OCH3)4-x precursor gas without oxygen and nitrogen. In addition, the process of the present invention enables a CDO layer to be deposited on a substrate at a rate that exceeds about 7,000 angstroms per minute. Increasing that deposition rate enhances the manufacturability of processes for making semiconductor devices that include CDO insulating layers.
  • Although the foregoing description has specified certain steps, materials, and equipment that may be used in the above described method for forming a CDO layer on a substrate, those skilled in the art will appreciate that many modifications and substitutions may be made. Accordingly, it is intended that all such modifications, alterations, substitutions and additions be considered to fall within the spirit and scope of the invention as defined by the appended claims. [0015]

Claims (15)

What is claimed is:
1. A method of forming a carbon doped oxide layer on a substrate comprising:
introducing into a chemical vapor deposition apparatus a precursor gas that is selected from the group consisting of gases that have the formula (CH3)xSi(OCH3)4-x;
simultaneously introducing a background gas, oxygen and nitrogen into the chemical vapor deposition apparatus; then
operating the apparatus under conditions that cause a carbon doped oxide layer to form on the substrate.
2. The method of claim 1 wherein the chemical vapor deposition apparatus is a plasma enhanced chemical vapor deposition reactor.
3. The method of claim 2 wherein the resulting carbon doped oxide has a dielectric constant that is less than or equal to about 3.0.
4. The method of claim 3 wherein the precursor gas is selected from the group consisting of tetramethoxysilane, methyltrimethoxysilane, dimethyidimethoxysilane, and trimethylmethoxysilane.
5. The method of claim 4 wherein the background gas comprises an inert gas.
6. The method of claim 5 wherein the background gas comprises helium and further comprising:
introducing the precursor gas into the plasma enhanced chemical vapor deposition reactor at a rate of between about 90 and about 200 sccm; introducing the background gas into the plasma enhanced chemical vapor deposition reactor at a rate of between about 20 and about 200 sccm; introducing oxygen into the plasma enhanced chemical vapor deposition reactor at a rate of between about 1 and about 20 sccm; and introducing nitrogen into the plasma enhanced chemical vapor deposition reactor at a rate of between about 15 and about 300 sccm.
7. The method of claim 6 wherein nitrogen and oxygen are introduced into the plasma enhanced chemical vapor deposition reactor at a flow rate ratio that is between about 1:1 and about 20:1.
8. The method of claim 7 wherein the carbon doped oxide is deposited on the substrate at a rate that exceeds about 7,000 angstroms per minute.
9. A method of forming a semiconductor device comprising:
forming on a substrate a carbon doped oxide layer by
introducing into a plasma enhanced chemical vapor deposition reactor a precursor gas that is selected from the group consisting of tetramethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, and trimethylmethoxysilane;
simultaneously introducing a background gas, oxygen and nitrogen into the plasma enhanced chemical vapor deposition reactor; then
operating the reactor under conditions that cause a carbon doped oxide layer to form on the substrate at a rate that exceeds about 7,000 angstroms per minute.
10. The method of claim 9 wherein the background gas comprises helium, which is added to the nitrogen and oxygen that is fed into the reactor.
11. The method of claim 10 further comprising:
introducing the precursor gas into the plasma enhanced chemical vapor deposition reactor at a rate of between about 90 and about 200 sccm;
introducing the background gas into the plasma enhanced chemical vapor deposition reactor at a rate of between about 20 and about 200 sccm;
introducing oxygen into the plasma enhanced chemical vapor deposition reactor at a rate of between about 1 and about 20 sccm; and
introducing nitrogen into the plasma enhanced chemical vapor deposition reactor at a rate of between about 15 and about 300 sccm.
12. The method of claim 11 wherein nitrogen and oxygen are introduced into the plasma enhanced chemical vapor deposition reactor at a flow rate ratio that is between about 1:1 and about 20:1.
13. A method of forming a semiconductor device comprising:
forming on a substrate a carbon doped oxide layer by
introducing into a plasma enhanced chemical vapor deposition reactor at a rate of between about 90 and about 200 sccm a precursor gas that is selected from the group consisting of tetramethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, and trimethylmethoxysilane;
simultaneously introducing helium at a rate of between about 20 and about 200 sccm, oxygen at a rate of between about 1 and about 20 sccm, and nitrogen at a rate of between about 15 and about 300 sccm into the plasma enhanced chemical vapor deposition reactor; then
operating the reactor under conditions that cause a carbon doped oxide layer to form on the substrate.
14. The method of claim 13 wherein nitrogen and oxygen are introduced into the plasma enhanced chemical vapor deposition reactor at a flow rate ratio that is between about 1:1 and about 20:1.
15. The method of claim 14 wherein the carbon doped oxide is deposited at a rate that exceeds about 7,000 angstroms per minute.
US09/867,869 2001-05-29 2001-05-29 Method of forming a carbon doped oxide layer on a substrate Expired - Fee Related US6482754B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/867,869 US6482754B1 (en) 2001-05-29 2001-05-29 Method of forming a carbon doped oxide layer on a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/867,869 US6482754B1 (en) 2001-05-29 2001-05-29 Method of forming a carbon doped oxide layer on a substrate

Publications (2)

Publication Number Publication Date
US6482754B1 US6482754B1 (en) 2002-11-19
US20020192982A1 true US20020192982A1 (en) 2002-12-19

Family

ID=25350631

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/867,869 Expired - Fee Related US6482754B1 (en) 2001-05-29 2001-05-29 Method of forming a carbon doped oxide layer on a substrate

Country Status (1)

Country Link
US (1) US6482754B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596655B1 (en) 1998-02-11 2003-07-22 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6660663B1 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds
US6730593B2 (en) 1998-02-11 2004-05-04 Applied Materials Inc. Method of depositing a low K dielectric with organo silane
US6806207B2 (en) 1998-02-11 2004-10-19 Applied Materials Inc. Method of depositing low K films
US20080283972A1 (en) * 2004-02-19 2008-11-20 Degussa Ag Silicon Compounds for Producing Sio2-Containing Insulating Layers on Chips
GB2442996B (en) * 2004-07-28 2009-04-01 Intel Corp Planarizing a semiconductor structure to form replacement metal gates

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6548399B1 (en) * 2001-11-20 2003-04-15 Intel Corporation Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
US7125583B2 (en) 2002-05-23 2006-10-24 Intel Corporation Chemical vapor deposition chamber pre-deposition treatment for improved carbon doped oxide thickness uniformity and throughput
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
JP4109531B2 (en) * 2002-10-25 2008-07-02 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6890813B2 (en) * 2003-01-06 2005-05-10 Intel Corporation Polymer film metalization
US6846755B2 (en) * 2003-02-18 2005-01-25 Intel Corporation Bonding a metal component to a low-k dielectric material
US20060105581A1 (en) * 2004-11-18 2006-05-18 Bielefeld Jeffery D Glycol doping agents in carbon doped oxide films
SG11202100058RA (en) * 2018-08-29 2021-03-30 Applied Materials Inc Non-uv high hardness low k film deposition
US11260420B2 (en) 2018-10-17 2022-03-01 Portland State University Nanowires with magnetic coatings and methods for making and using
WO2021050659A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dense organosilica films made therefrom

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872401A (en) 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
US6211096B1 (en) * 1997-03-21 2001-04-03 Lsi Logic Corporation Tunable dielectric constant oxide and method of manufacture
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6331494B1 (en) * 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596655B1 (en) 1998-02-11 2003-07-22 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6660663B1 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds
US6730593B2 (en) 1998-02-11 2004-05-04 Applied Materials Inc. Method of depositing a low K dielectric with organo silane
US6770556B2 (en) 1998-02-11 2004-08-03 Applied Materials Inc. Method of depositing a low dielectric with organo silane
US6806207B2 (en) 1998-02-11 2004-10-19 Applied Materials Inc. Method of depositing low K films
US20080283972A1 (en) * 2004-02-19 2008-11-20 Degussa Ag Silicon Compounds for Producing Sio2-Containing Insulating Layers on Chips
GB2442996B (en) * 2004-07-28 2009-04-01 Intel Corp Planarizing a semiconductor structure to form replacement metal gates

Also Published As

Publication number Publication date
US6482754B1 (en) 2002-11-19

Similar Documents

Publication Publication Date Title
US6482754B1 (en) Method of forming a carbon doped oxide layer on a substrate
US20210066075A1 (en) Structures including dielectric layers and methods of forming same
US6610362B1 (en) Method of forming a carbon doped oxide layer on a substrate
US7431966B2 (en) Atomic layer deposition method of depositing an oxide on a substrate
US6649545B2 (en) Photo-assisted remote plasma apparatus and method
US7211506B2 (en) Methods of forming cobalt layers for semiconductor devices
US6930041B2 (en) Photo-assisted method for semiconductor fabrication
US6551665B1 (en) Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US7211525B1 (en) Hydrogen treatment enhanced gap fill
US5356722A (en) Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
EP0584252B1 (en) A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
US7482245B1 (en) Stress profile modulation in STI gap fill
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US6436822B1 (en) Method for making a carbon doped oxide dielectric material
KR20090063170A (en) Method of depositing silicon nitride film
JP2005079254A (en) Deposition method of silicon nitride film
EP0522799A2 (en) Dielectric deposition
US7638443B2 (en) Method of forming ultra-thin SiN film by plasma CVD
US5382550A (en) Method of depositing SiO2 on a semiconductor substrate
JP4545107B2 (en) Method of forming low dielectric constant film with stable film quality
JPWO2006043432A1 (en) Film manufacturing method and semiconductor device using film manufactured by the method
US6399522B1 (en) PE-silane oxide particle performance improvement
US6429152B1 (en) Method of forming a thin film on a semiconductor wafer

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ANDIDEH, EBRAHIM;PETERSON, KEVIN L.;REEL/FRAME:011879/0080

Effective date: 20010523

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20101119