US20020131055A1 - Method and apparatus for the determination of mask rules using scatterometry - Google Patents

Method and apparatus for the determination of mask rules using scatterometry Download PDF

Info

Publication number
US20020131055A1
US20020131055A1 US09/772,148 US77214801A US2002131055A1 US 20020131055 A1 US20020131055 A1 US 20020131055A1 US 77214801 A US77214801 A US 77214801A US 2002131055 A1 US2002131055 A1 US 2002131055A1
Authority
US
United States
Prior art keywords
grating
profile
scatterometry
mask
diffracted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/772,148
Other versions
US6433878B1 (en
Inventor
Xinhui Niu
Nickhil Jakatdar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron America Inc
Original Assignee
TEL Timbre Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TEL Timbre Technologies Inc filed Critical TEL Timbre Technologies Inc
Assigned to TIMBRE TECHNOLOGY, INC. reassignment TIMBRE TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JAKATDAR, NICKHIL H., NIU, XINHUI
Priority to US09/772,148 priority Critical patent/US6433878B1/en
Priority to TW091101115A priority patent/TW538450B/en
Priority to NL1019851A priority patent/NL1019851C2/en
Priority to JP2002019850A priority patent/JP2002311564A/en
Publication of US6433878B1 publication Critical patent/US6433878B1/en
Application granted granted Critical
Publication of US20020131055A1 publication Critical patent/US20020131055A1/en
Assigned to TOKYO ELECTRON AMERICA, INC. reassignment TOKYO ELECTRON AMERICA, INC. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: TEL TIMBRE TECHNOLOGIES, INC.
Assigned to TEL TIMBRE TECHNOLOGIES, INC. reassignment TEL TIMBRE TECHNOLOGIES, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: TIMBRE TECHNOLOGIES, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Definitions

  • This invention relates to integrated circuit fabrication and in particular to methods for producing precise photolithographic mask patterns.
  • Computer chips or microcircuits are fabricated using a complex sequence of processing steps consisting of many individual pattern processing steps.
  • the pattern processing sequence typically consists of a photolithographic process and a plasma etch process.
  • Photolithography is the process of creating a 3-dimensional image, using a photomask or reticle pattern, onto a suitable recording media such as a photoresist film on top of a semiconductor substrate or silicon wafer. The process is performed using a photolithographic exposure tool such as a stepper or scanner. Today it takes about 25 pattern processing steps or layers to build-up a modern semiconductor microcircuit.
  • OPC is a new but fairly well known method of selectively altering the patterns on a mask in order to more exactly obtain the desired printed patterns in the photoresist by modifying the diffracted light pattern (a good discussion of these techniques and examples can be found in the book by P. Rai-Choudhury “Microlithography, Micromachining and Microfabrication” SPIE press, 1997). As shown in FIG. 4, the pattern on mask 15 has been altered by adding OPC lines 16 . In addition, OPC techniques have been shown to create more robust lithographic processes (in the sense mentioned above) by creating photomask features that are less sensitive to process variations.
  • FIG. 5 shows phase-shifting mask 17 .
  • Phase-shifting mask 17 is a mask that contains a spatial variation not only in intensity transmittance but phase transmittance as well.
  • Phase-shifting mask 17 has clear regions 18 and 20 that produce a half wave phase shift in the light transmitted through the clear portions of mask 17 .
  • an optical engineer may want to print pattern 25 (as shown in FIG. 7) into a layer of photoresist—it consists of one fairly isolated group of features and one dense group of features.
  • the designer realizes that using his existing photolithographic process he cannot print the two lines at the same time (for the same exposure conditions his dense lines print larger than his isolated lines). So, in order to design a photomask that results in the pattern shown in FIG. 7, the photomask should possibly include some optical proximity corrections of the type shown in FIG. 8.
  • OPC technology There are currently several companies or vendors marketing OPC technology such as; ASML MaskTools, Inc., SigmaCad, Inc. and Numerical Technologies, Inc.
  • FIG. 8 shows a typical OPC photomask 73 —something that might be produced by using the methods and techniques mentioned above.
  • OPC mask 73 has lines 72 and OPC lines 74 . If used in accordance with the input parameters, OPC mask 73 will result in the proper reproduction of the isolated and dense patterns shown in FIG. 7—each pattern, and resulting profile will be in specification over a very large range in process conditions (before and after etch) as compared to the photomask without OPC corrections.
  • a database In order for the techniques mentioned above to work properly a database must be compiled representing a wide variety of input conditions and parameters. This database is acquired by utilizing an OPC test mask or other photomask and collecting digital or other information concerning the cross-sectional shape or profiles of the photoresist and etched features under different sets of processing conditions.
  • ASML Masktools Inc. will provide to its customer a test mask called a LINESWEEPER reticle (LINESWEEPER is a registered trademark of ASML MaskTools Inc.) and ask that the customer print a wafer utilizing the test mask.
  • the test mask that is provided to the customer will contain various optical correction features, such as the OPC features and possibly phase-shifting features as described above.
  • FIG. 9 shows a typical OPC test mask 30 .
  • Test pattern area 36 contains an array of various test patterns, such as a serif size and placement test and vertical line spacing test. Each of these test patterns may include OPC rules or phase-shifting mask rules.
  • FIG. 9 also shows a critical dimensional—scanning electron microscope (CD-SEM) cross-section test area 35 .
  • the CD-SEM cross-section test area 35 has five groups 40 - 44 .
  • the groups 40 - 44 are each separated by approximately 20 microns at wafer level (assuming a 4 ⁇ reduction system.)
  • Each group 40 - 44 has 130 measurement structures 38 for a total of 650 (5 ⁇ 130) measurement structures per die.
  • Each measurement structure 38 has five lines 39 that are each approximately 2.5 mm long when projected onto the wafer (FIG. 10). Measurement structures 38 are separated by approximately 20 microns.
  • Groups 40 - 44 have lines 39 that have varying linewidths.
  • lines 39 in group 40 (FIG. 10) have a linewidth of approximately 0.16 microns.
  • Lines 39 in group 41 are slightly wider with linewidths or CDs of approximately 0.18 microns.
  • the linewidths in group 42 are approximately 0.20 microns
  • the linewidths in group 43 are approximately 0.22 microns
  • the linewidths in group 44 are approximately 0.24 microns.
  • line 39 separations i.e., the distance between adjacent lines 39 ) vary from approximately 0.28 microns to 1.50 microns.
  • OPC lines 45 are located adjacent to lines 39 at varying distances.
  • test mask 30 (FIG. 9) is printed under a variety of processing conditions.
  • FIG. 12 shows an example in which a customer utilizing test mask 30 has printed a 10 ⁇ 10 Focus Exposure Matrix 52 on photoresist covered 300 mm wafer 50 . Within matrix 52 there are 100 print areas 54 that are each approximately 25 mm ⁇ 25 mm. Within each print area 54 , there is a printed test pattern area 56 and a printed cross section area 55 . Printed test pattern area 56 corresponds to test pattern area 36 on mask 30 and printed cross section area 55 corresponds to cross-section test area 35 on mask 30 .
  • FIGS. 13 and 14A after wafer 50 has been printed and sent through the final few steps of the photolithographic process resist lines 60 are left on polysilicon layer 62 .
  • FIG. 13 shows a top view of lines 60
  • FIG. 14A shows a cross-section view of lines 60 on polysilicon layer 62 .
  • Resist lines 60 correspond to lines 39 of mask 30 shown in FIGS. 10 and 11.
  • lines 61 etched in polysilicon layer 62 (FIG. 14B) correspond to lines 39 of mask 30 shown in FIGS. 10 and 11 after polysilicon layer 62 has been etched away (except for the portion covered by photoresist lines 60 ).
  • Lines 61 are examined with a SEM and cross-section SEM (or Atomic Force Microscope) and the results are recorded.
  • the results are stored in a computer database so that they are accessible by ASML MaskTools Inc. for final OPC evaluation.
  • the customer is designing a photomask that requires printing both isolated and dense features in groups of 5 lines to be situated adjacent to each other.
  • the lines have a printed linewidth of 0.25 microns and are separated by 0.30 microns and have a profile that contains lines that are nearly vertical.
  • the customer intends to print his wafer using a focus of 0.20 microns and an exposure setting of 20 mj/cm 2 . Based on previous simulation results and the cross-sectional data obtained and shown in FIG. 14A and FIG.
  • ASML MaskTools using MASKRIGGER software and simulation results
  • Proper set refers to the ability of the OPC rule set to produce a photomask that can print lithographic features with the largest overlapping process window.
  • FIG. 13 shows a top view of a portion of a SEM image
  • FIGS. 14A 14 B each show a SEM cross-section view.
  • each wafer has its own unique built-in process variation in that each wafer is processed one at a time.
  • Ellipsometry is based on the polarization transformation that occurs when a beam of polarized light is reflected from a medium.
  • the transformation consists of two parts; a phase change and an amplitude change. These changes are different for incident radiation with its electric vector oscillating in the plane of incidence (p-component) compared to the electric vector oscillating perpendicular to the plane of incidence (s-component).
  • Ellipsometry measures the results of these two changes that are represented by angle ⁇ , which is the change in phase of the reflected beam from the incident beam; and an angle ⁇ , which is defined as the arc tangent of the amplitude ratio of the incident and reflected beam.
  • a microscopic pattern illuminated with polarized light produces unique values of ⁇ and ⁇ that vary with wavelength. Charts of cos ⁇ and tan ⁇ as a function of wavelength can be used to compare unknown patterns with known patterns. Examples of such charts are shown in FIGS. 20 and 21.
  • Scatterometry is well known and refers to a procedure by which a grating profile is reconstructed based on its optical diffraction response.
  • Conventional scatterometry uses single wavelength light—typically, 632 nm He:Ne laser light at one polarization state (TE or TM) to obtain a scatter-gram of the diffraction grating (our semiconductor gratings).
  • TE or TM polarization state
  • conventional scatterometry is described in U.S. Pat. Nos. 5,164,790 and 5,867,276, both which are hereby incorporated by reference.
  • the scatter-gram or diffraction patterns are plots of the intensity of the light vs. scattering angle.
  • RCWA Rigorous Coupled-Wave Analysis
  • Spectroscopic Reflectance Scatterometry is based on the reconstruction of the grating profile from the optical diffraction response (intensity) over many wavelengths (200 nm-900 nm) at one output angle or 0-order.
  • Spectroscopic Reflectance Scatterometry is described in detail in U.S. Pat. No. 5,963,329 issued to Conrad, which patent is hereby incorporated by reference.
  • RCWA some version of RCWA is used to generate the libraries and produce profile results thru optimization routines—however, generally, accurate profile shapes cannot be determined unless account is taken for many diffraction orders in the RCWA calculations.
  • Spectroscopic Reflectance Scatterometry is suited for in-situ applications because it is less complex as compared to conventional scatterometry.
  • ODP Optical Digital Profilometry
  • Specular Spectroscopic Scatterometry is known and is also based on the reconstruction of the grating profile from the optical diffraction response.
  • ODP Optical Digital Profilometry
  • Specular Spectroscopic Scatterometry in DUV Lithography Metrology, Inspection, and Process Control for Microlithography XIII, Vol. 3677, pp.159-168, 1999.
  • a conventional spectroscopic ellipsometer to gather tan ⁇ and cos ⁇ over many wavelengths (see discussion above under “Ellipsometry”).
  • a conventional spectroscopic ellipsometer generates more detailed optical data than either conventional scatterometry (one wavelength at a few angles) or spectroscopic reflectance scatterometry (Intensity vs. wavelength).
  • a typical ellipsometer that is used to collect the output signals usually utilizes a broadband Xenon lamp or other source in the 200 nm-900 nm range.
  • ODP one uses traditional ellipsometers to collect the 0-order diffraction data.
  • the ODP technique uses a power computer program to build very large and complex pattern libraries.
  • the technique also uses a simulated annealing (optimization) routine to quickly find pattern matches.
  • Niu's modified form of RCWA allows one to solve for many orders in very short periods of CPU time. Even though the technique measures the light in one direction (0-order) many orders must be solved for in order to build a high-resolution, high-precision library. The more detailed a library is, the more accurately it can predict the entire 2-D grating profile. Since many of the OPC test designs modify the shape of the final 2-D feature patterns only very slightly it is very desirable to use a sensitive scatterometry technique to quantify the results. Scatterometry techniques that are not sensitive to very small changes in the feature profile are simply not capable of measuring the subtle differences between different OPC test cases. For example, some OPC corrections can change line sizes by 1 nm.
  • the present invention provides a method and apparatus for determining optical mask corrections for photolithography.
  • a plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating.
  • Each grating pattern within the plurality of grating patterns is associated with known photolithographic settings.
  • Each grating pattern is illuminated independently with a light source, so that light is diffracted off each grating pattern.
  • the diffracted light is measured utilizing scatterometry techniques to determine measured diffracted values.
  • the measured diffracted values are compared to values in a library to determine a profile match.
  • a 2-dimensional profile description is assigned to each grating pattern based on the profile match.
  • a database is compiled of the profile descriptions for the plurality of grating patterns.
  • Photomask design rules are then generated by accessing the database containing the 2-dimensional profile descriptions.
  • the design rules are used to create and correct masks containing OPC corrections, phase-shifting mask corrections and binary masks.
  • the at least one grating is a bi-periodic grating.
  • the scatterometry technique is optical digital profilometry utilizing a reflectometer or ellipsometer.
  • FIG. 1 shows UV light illuminating a layer of photoresist.
  • FIG. 2A shows a resist profile
  • FIG. 2B shows the profile of lines after etch.
  • FIG. 3 shows a resist profile
  • FIG. 4 shows a mask with OPC corrections.
  • FIG. 5 shows a mask with phase-shifting mask corrections.
  • FIG. 6 shows a mask with both OPC and phase-shifting mask corrections.
  • FIG. 7 shows a resist pattern
  • FIG. 8 shows a mask pattern
  • FIG. 9 shows a prior art mask.
  • FIG. 10 shows a portion of a prior art mask.
  • FIG. 11 shows another portion of a prior art mask.
  • FIG. 12 shows a printed wafer
  • FIG. 13 shows a top view of resist lines.
  • FIG. 14A shows a side view of resist lines.
  • FIG. 14B shows a side view of lines after etch.
  • FIG. 15 shows a top view of a preferred mask with grating patterns.
  • FIG. 16 shows a detailed view of a grating.
  • FIG. 17 shows a ellipsometer reading diffracted light off of a grating.
  • FIG. 18 shows a bi-periodic grating.
  • FIG. 19 shows a side view bi-periodic of resist lines.
  • FIG. 20 is a graph showing tan ⁇ vs. wavelength for bi-periodic stuctures using ODP.
  • FIG. 21 is a graph showing cos ⁇ vs. wavelength for bi-periodic structures using ODP.
  • FIG. 22 is a graph showing intensity vs. wavelength for reflectance simulation using ODP for bi-periodic features.
  • FIGS. 23 - 25 show top views of gratings having multiple repeating features.
  • a semiconductor wafer coated with photoresist is printed utilizing a photomask containing various grating arrays of periodic and/or bi-periodic structures.
  • the wafer is printed under specific photolithographic exposure settings. Values such as focus, exposure, resist thickness, temperature, numerical aperture and partial coherence are noted and recorded.
  • a simple version of the preferred photomask is shown in FIG. 15. It contains an array of thirty grating patterns 81 - 110 .
  • the lines within each grating pattern 81 - 110 preferably have their own unique optical corrections, such as their own unique OPC corrections or phase shift masking corrections.
  • a small portion of a simple grating pattern 81 is shown in FIG. 16. It has 130 lines 112 that are equidistantly spaced.
  • the grating pattern also has OPC lines 114 .
  • FIG. 17 shows printed wafer 120 with grating 122 underneath a spectroscopic ellipsometer 124 , such as ellipsometer model no. F5, available from KLA-Tencor, Inc.
  • Grating 122 on wafer 120 corresponds to grating pattern 81 shown in FIG. 16.
  • FIG. 16 shows a portion of the photomask shown in FIG. 15.
  • broadband polarized light from light source 125 illuminates grating 122 .
  • Light is then diffracted from grating 122 and is detected and measured by light detector 126 .
  • the spectroscopic ellipsometer records tan ⁇ and cos ⁇ as a function of wavelength for grating pattern 122 .
  • the same measurements are repeated until a matrix of tan ⁇ and cos ⁇ vs. wavelength has been obtained for all patterns 81 - 110 .
  • the size of the grating patterns can be adjusted in such as way as to work with any ellipsometer—where the spot size of the ellipsometer is smaller than the grating size.
  • CPU 130 shown in FIG. 17, is programmed to receive tan ⁇ and cos ⁇ as a function of wavelength from spectroscopic ellipsometer 124 for all patterns 81 - 110 and enter that data into an internal database.
  • a scatterometry comparison library preferably obtained by utilizing a version of RCWA.
  • the library contains many different sets of ellipsometry data each corresponding to predetermined known grating profiles specifically defining the gratings in all dimensions of interest (such as resist thickness, optical constants of thin films, focus, exposure, OPC, etc.).
  • CPU 130 compares the tan ⁇ and cos ⁇ of the diffracted light at each wavelength to the values in the look-up library.
  • tan ⁇ and cos ⁇ please see discussion in background section under “Ellipsometry”.
  • a 2-D profile is then assigned to each grating when there is a match between the measured values of the diffracted light to the values in the library.
  • Well known mathematical convergence techniques are preferably employed for seeking the best match.
  • the 2-D profile consists of enough information to define the grating (i.e., feature profile shape and pitch).
  • a simulated annealing optimization routine such as described in Xinhui Niu, et. al., “Specular Spectroscopic Scatterometry in DUV Lithography”, Metrology, Inspection, and Process Control for Microlithography XIII, Vol. 3677, pp.159-168, 1999 may also be used in making the match.
  • CPU 130 is then programmed to compile a database of profiles.
  • the database has profiles that vary from one another based on the lithographic and etch settings at the time of printing or imaging (i.e., focus, exposure, resist thickness, temperature, numerical aperture and partial coherence, OPC correction).
  • This database can be made very large by creating a large number of gratings (such as grating 122 ) with various combinations of focus, exposure, resist thickness, etc.
  • it can be determined what the grating profile will look like for a given type of OPC and/or wavefront corrections and other lithographic conditions and settings.
  • rules are then compiled using an OPC analysis routine (such as those techniques used by ASML MaskTools, Inc. and implemented in the MASKRIGGER software package). These rules may be similar to the rules described above in the background section under the heading “Compiling the Rules”. However, unlike in the prior art that typically requires a cross-section CD-SEM analysis, by utilization of the present invention, the user saves considerable time and expense in determining the rules. Typically, whereas it might take three or four weeks to get the results using the CD-SEM analysis, this technique could shorten this time to a matter of hours.
  • a second preferred embodiment is shown by reference to FIG. 18.
  • grating patterns 81 through 110 are replaced by bi-periodic grating patterns.
  • Bi-periodic grating pattern 140 with OPC correction lines 142 is shown in FIG. 18.
  • Bi-periodic grating pattern 140 is referred to as being a bi-periodic grating because there are two repeating pitches throughout the grating.
  • the first pitch refers to the pitch distance between adjacent lines within each group 170 , 180 and 190 .
  • the second pitch refers to the pitch distance between adjacent groups.
  • Applicants have shown through simulation that by diffracting light off gratings created by a bi-periodic grating pattern, the user can better ascertain the profile of each line within the group if the scatterometry library is created using the robust RCWA technique implemented in the ODP technique described in the background section.
  • a first line 150 This line is an end line and it has been verified experimentally and in simulation that on average the end lines tend to have a different profile than, for example, a middle line such as lines 152 . This is due to the fact that isolated and dense lines print differently as mentioned earlier. It is useful to the optical scientist or process engineer to know the profile of each line within the repeating group as opposed to obtaining average information using conventional scatterometry.
  • the bi-periodic grating stands in contrast to grating pattern 81 shown in FIG. 16.
  • grating pattern 81 By utilization of grating pattern 81 , the user is only able to ascertain the average profile shape across the entire grating.
  • one uses ODP scatterometry allows one to determine the 2 dimensional profile information of each line in the repeating group.
  • FIGS. 19 - 21 A simulation showing that it is possible to determine very small differences in individual line shape is by reference to FIGS. 19 - 21 .
  • FIG. 19 shows resist profile 212 on a anti-reflective coating 210 .
  • Resist profile 212 is representative of a profile that would created using a mask having the bi-periodic grating shown in FIG. 18.
  • L 1 represents the linewidth of the end resist lines and L 2 represents the distance between each resist line within groups 220 and 230 .
  • the center line of each group is fixed at 150 nm.
  • the distance between group 220 and group 230 is 250 microns.
  • Table 1 shows the parameters for three simulated cases conducted by Applicants. TABLE 1 Case Number L1 (nm) L2 (nm) 1 150 150 2 140 160 3 160 140
  • the distance between group 220 and group 230 was set at 250 microns.
  • the values for L 1 and and L 2 were varied as shown in Table 1.
  • FIG. 20 shows a graph of tan ⁇ vs. wavelength for each case
  • FIG. 21 shows a graph of cos ⁇ vs. wavelength for each case.
  • significant changes were seen in the plots representing tan ⁇ and cos ⁇ as a function of wavelength.
  • the utilization of bi-periodic gratings in conjunction with ODP scatterometry is a very valuable tool for determining 2-D profile of individual lines within grating structures.
  • FIG. 16 A simple grating is shown in FIG. 16 and is discussed above. It was shown in the discussion covering bi-periodic gratings that more detailed information regarding the characteristics of individual lines can be determined by the utilization of a bi-periodic grating.
  • FIG. 18 showed a mask grating with two repeating features (i.e., the first pitch repeats and the second pitch repeats. It is also possible to make grating masks with multiple repeating features other than that shown in FIG. 18.
  • FIG. 23 shows a bi-periodic mask where pitch 1 A (P 1 A) and pitch 2 A (P 2 A) repeat. This mask is similar to the mask shown in FIG. 18 except that there are 5 lines in each group rather than 3.
  • FIG. 1 A pitch 1 A
  • P 2 A pitch 2 A
  • FIG. 24 shows a mask with multiple repeating features pitch 1 B (P 1 B), pitch 2 B (P 2 B) and pitch 3 B (P 3 B).
  • FIG. 25 shows a mask with multiple repeating features pitch 1 C (P 1 C), pitch 2 C (P 2 C), pitch 3 C (P 3 C), and pitch 4 C (P 4 C).
  • FIG. 22 shows a graph of intensity vs. wavelength for a reflectance simulation using ODP for bi-periodic features.
  • L 1 and L 2 values for case 1 , 2 and 3 are the same as shown in FIG. 1.
  • the methods of the preferred embodiment could be used to measure the CDs of the lines on photomasks and other periodic diffraction gratings as well.
  • FIGS. 18, 23, 24 and 25 showed specific gratings with multiple repeating features, one of ordinary skill in the art would recognize that there are many other variations of gratings that are possible.
  • the bi-periodic grating shown in FIG. 23 could be modified so that there are seven or six lines in each group rather than five (FIG. 23) or three (FIG. 18).

Abstract

A method and apparatus for determining optical mask corrections for photolithography. A plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating. Each grating pattern within the plurality of grating patterns is associated with known photolithographic settings. Each grating pattern is illuminated independently with a light source, so that light is diffracted off each grating pattern. The diffracted light is measured utilizing scatterometry techniques to determine measured diffracted values. The measured diffracted values are compared to values in a library to determine a profile match. A 2-dimensional profile description is assigned to each grating pattern based on the profile match. A database is compiled of the profile descriptions for the plurality of grating patterns. Photomask design rules are then generated by accessing the database containing the 2-dimensional profile descriptions. In preferred embodiments, the design rules are used to create and correct masks containing OPC corrections, phase-shifting mask corrections and binary masks. In a preferred embodiment the at least one grating is a bi-periodic grating. In a preferred embodiment, the scatterometry technique is optical digital profilometry utilizing a reflectometer or ellipsometer.

Description

  • This invention relates to integrated circuit fabrication and in particular to methods for producing precise photolithographic mask patterns. [0001]
  • BACKGROUND OF THE INVENTION
  • Computer chips or microcircuits are fabricated using a complex sequence of processing steps consisting of many individual pattern processing steps. As the semiconductor industry continues to shrink the microcircuit designs to create faster microcircuits at lower cost, the semiconductor manufacturing methods have become very complex. The pattern processing sequence typically consists of a photolithographic process and a plasma etch process. Photolithography is the process of creating a 3-dimensional image, using a photomask or reticle pattern, onto a suitable recording media such as a photoresist film on top of a semiconductor substrate or silicon wafer. The process is performed using a photolithographic exposure tool such as a stepper or scanner. Today it takes about 25 pattern processing steps or layers to build-up a modern semiconductor microcircuit. [0002]
  • An example of a typical photolithographic exposure sequence for the polysilicon gate layer of a typical semiconductor microprocessor might include the following sequence of events: As shown in FIG. 1, Deep-ultraviolet light is passed through binary (chrome patterned glass) [0003] photomask 1 via projection (4× or 5× reduction) lens 24 so that it illuminates a layer of photoresist 2 at the proper de-magnification. After exposure the positive photoresist is sent through the final few steps of the photolithographic process and is developed out to form a 3-dimensional resist pattern on top of an antireflective coating 26. The resulting resist pattern 4 is shown in FIG. 2A. (Antireflective coating layer 26 is only shown in FIG. 1). The final etch pattern 27, after etching and removal of the photoresist, is shown in FIG. 2B.
  • Semiconductor manufacturers produce high quality and lower cost microcircuits when the lithographic patterns etched into the semiconductor surface meet the intended physical design rule specifications. To meet this goal, each lithographic feature must have the proper critical dimension (CD), sidewall angle θ, and the proper height as determined by the design rules and pattern processing requirements (see FIG. 3). However, most modern lithographic and plasma etch processes—especially those where the width of the CDs on the photomask approaches the size of the exposure wavelength—are very difficult to control in practice. The lithographic and final etch specifications depend on the microcircuit design and the fabrication process and the methods used to control them. As microcircuit patterns become smaller the specifications have become very difficult to meet—thus process yields suffer driving margins lower. Today, researchers are developing new techniques in an attempt to improve the process. Known techniques include wavefront engineering (such as optical proximity correction (OPC) and the use of phase shift mask (PSM)) and the metrology used to measure the performance of these optical enhancements. [0004]
  • Optimizing the Resist and Final Etch Patterns
  • In very general terms the design engineer, optical scientist, and process engineer are interested in two main characteristics of the lithographic features, the feature size called the critical dimension (CD) and the overall 2-D cross-sectional shape of the resist or etched features. For reasons of yield, device performance and functionality, a process that is capable of producing fine lines at all relevant pitches with the proper 2-D profile is highly desirable. While it may be possible to design a photolithographic and etch process for one particular lithographic feature (for example, 100 nm CD with a 200 nm pitch), in practice one is almost always forced to design photolithographic and etch processes for more complex situations. For example, the photomasks for most polysilicon gate layers usually contain a very complex array of patterned lines of similar CDs with various pitches. It should be noted that isolated features (where the pitch is much greater than the CD) act optically very different as compared to dense features (where the CD is nearly equal to the pitch)—this translates into different lithographic performance. For the same exposure dose, isolated features tend to have smaller CDs as compared to dense features. This asymmetry causes problems. Today, optical scientists are continuously trying to improve the manufacturability of these difficult semiconductor processes by using wavefront engineering techniques, such as OPC and PSM, and complex electromagnetic simulator computer programs to modify the photomask design and improve the quality of the microcircuit. [0005]
  • [0006] Mask 1, as shown in FIG. 1, is known as a binary mask in that the patterned area is either clear or opaque. Light from the photolithographic exposure tool diffracts as it passes through the clear regions 10 just prior to being imaged by the projection lens. The opaque regions 11 block the remaining portions of the light source. The creation of this photomask is not a perfect process and the CDs on the photomask are themselves a source of problems for the optical scientist and process engineer. In fact, as the feature size on the photomasks continues to shrink the process sensitivity to photomask error increases. This effect is known as mask error factor and is another area of concern (see for example, “Understanding Mask Error Factor For Sub-.18 um Lithography” ARCH Microlithography Symposium Proceedings Nov. 5-7, 2000). OPC and PSM techniques have been created to address these issues as well.
  • Finally, as semiconductor manufacturers have continued to decrease the CD, diffraction effects at the mask have made it very difficult to maintain vertical resist patterns (see for example, the book edited by P. Rai-Choudhury [0007] Microlithography, Micromachining and Microfabrication, SPIE press, 1997). The challenge of semiconductor manufactures has been to create robust processes that can print very small lines with dramatically different pitch characteristics. Here robust process means—a patterning process that can produce the desired CDs and side wall angles (typically >80 degrees) for each feature type over a wide range of process conditions. FIG. 3 shows a resist profile with an ideal side wall angle of approximately 90 degrees. For most processes layers (such as polygate layers, metal layers, contact layers etc.,) the process engineer is typically most concerned with the following process variables: exposure, focus, post exposure bake temperature, post exposure bake time, develop time and resist thickness. In practice these variables are not held constant and change constantly due to systematic and random fluctuations of the processing equipment. For example, a slight change in focus of say 0.1 um may change the CD of a given feature by 5 nm. It therefore is desirable to implement control techniques that produce lithographic processes that are less sensitive to changes in the process variables and create features that act similarly in a lithographic sense.
  • OPC is a new but fairly well known method of selectively altering the patterns on a mask in order to more exactly obtain the desired printed patterns in the photoresist by modifying the diffracted light pattern (a good discussion of these techniques and examples can be found in the book by P. Rai-Choudhury “Microlithography, Micromachining and Microfabrication” SPIE press, 1997). As shown in FIG. 4, the pattern on [0008] mask 15 has been altered by adding OPC lines 16. In addition, OPC techniques have been shown to create more robust lithographic processes (in the sense mentioned above) by creating photomask features that are less sensitive to process variations.
  • FIG. 5 shows phase-shifting [0009] mask 17. Phase-shifting mask 17 is a mask that contains a spatial variation not only in intensity transmittance but phase transmittance as well. Phase-shifting mask 17 has clear regions 18 and 20 that produce a half wave phase shift in the light transmitted through the clear portions of mask 17.
  • It is also possible to fabricate a mask that combines the features shown in FIGS. 4 and 5. For example, FIG. 6 shows [0010] mask 22 that includes both OPC lines 21 and phase-shifting features 23. Thus, with the use of a mask that has optical correction features similar to those shown in FIGS. 4-6, improved resist patterns with tight CD and good shape, similar to that shown in FIG. 3 can be obtained. However, a challenge has been to know when and to what degree OPC corrections and phase-shifting corrections should be utilized when designing a mask.
  • For example, an optical engineer may want to print pattern [0011] 25 (as shown in FIG. 7) into a layer of photoresist—it consists of one fairly isolated group of features and one dense group of features. The designer realizes that using his existing photolithographic process he cannot print the two lines at the same time (for the same exposure conditions his dense lines print larger than his isolated lines). So, in order to design a photomask that results in the pattern shown in FIG. 7, the photomask should possibly include some optical proximity corrections of the type shown in FIG. 8. There are currently several companies or vendors marketing OPC technology such as; ASML MaskTools, Inc., SigmaCad, Inc. and Numerical Technologies, Inc. These companies offer software (MASKRIGGER, registered trademark of ASML MaskTools), hardware (LINESWEEPER registered trademark of ASML MaskTools Inc.) and electromagnetic simulation solutions (SOLID-C registered trademark of SigmaCad) that can be used to generate a set software instructions that automatically adds OPC corrections to any given photomask design depending on the features of that design. Some of the corrections (or rules) that need to be considered are; determining the size of the sub-resolution or assist features, the position of the features, the length of the features, the amount of line biasing that might be needed for each relevant photomask feature and determining which lines actually need correction. Typically, most of these OPC rules are determined using complex lithographic simulation software that can reasonably predict the behavior of any given lithographic process once the lithographic process variables are known (most vendors recommend providing them with the following process parameters; critical dimensions, relevant pitches, focus, exposure, resist type and thickness, temperature, numerical aperture and partial coherence to name a few.) For example, based on these inputs, ASML MaskTools Inc. can use a lithographic computer simulator to generate a starting set of OPC corrections. Even so, most OPC vendors still prefer that a test mask, one that incorporates many different optical corrections be printed on several resist coated semiconductor wafers in order to physically verify the performance of the various OCP corrections under unique processing conditions. Some of these wafers are sent to final etch and some are sent for a destructive cross-section CD SEM measurement to determine which rules are the really best. This is the most time consuming and expensive part of the technique. FIG. 8 shows a typical OPC photomask 73—something that might be produced by using the methods and techniques mentioned above. OPC mask 73 has lines 72 and OPC lines 74. If used in accordance with the input parameters, OPC mask 73 will result in the proper reproduction of the isolated and dense patterns shown in FIG. 7—each pattern, and resulting profile will be in specification over a very large range in process conditions (before and after etch) as compared to the photomask without OPC corrections.
  • In order for the techniques mentioned above to work properly a database must be compiled representing a wide variety of input conditions and parameters. This database is acquired by utilizing an OPC test mask or other photomask and collecting digital or other information concerning the cross-sectional shape or profiles of the photoresist and etched features under different sets of processing conditions. [0012]
  • Currently, ASML Masktools Inc. will provide to its customer a test mask called a LINESWEEPER reticle (LINESWEEPER is a registered trademark of ASML MaskTools Inc.) and ask that the customer print a wafer utilizing the test mask. The test mask that is provided to the customer will contain various optical correction features, such as the OPC features and possibly phase-shifting features as described above. [0013]
  • FIG. 9 shows a typical [0014] OPC test mask 30. Test pattern area 36 contains an array of various test patterns, such as a serif size and placement test and vertical line spacing test. Each of these test patterns may include OPC rules or phase-shifting mask rules.
  • FIG. 9 also shows a critical dimensional—scanning electron microscope (CD-SEM) [0015] cross-section test area 35. The CD-SEM cross-section test area 35 has five groups 40-44. The groups 40-44 are each separated by approximately 20 microns at wafer level (assuming a 4× reduction system.) Each group 40-44 has 130 measurement structures 38 for a total of 650 (5×130) measurement structures per die. Each measurement structure 38 has five lines 39 that are each approximately 2.5 mm long when projected onto the wafer (FIG. 10). Measurement structures 38 are separated by approximately 20 microns.
  • Groups [0016] 40-44 have lines 39 that have varying linewidths. For example, lines 39 in group 40 (FIG. 10) have a linewidth of approximately 0.16 microns. Lines 39 in group 41 (FIG. 11) are slightly wider with linewidths or CDs of approximately 0.18 microns. Likewise, the linewidths in group 42 are approximately 0.20 microns, the linewidths in group 43 are approximately 0.22 microns, and the linewidths in group 44 are approximately 0.24 microns.
  • Within each group [0017] 40-44, line 39 separations (i.e., the distance between adjacent lines 39) vary from approximately 0.28 microns to 1.50 microns. As shown in FIGS. 10 and 11, OPC lines 45 are located adjacent to lines 39 at varying distances.
  • In order to build a set of OPC or PSM rules that allow the customer to create a semiconductor process with a large overlapping process window (i.e., where the isolated and dense features printed within specification over a wide variety of process conditions) test mask [0018] 30 (FIG. 9) is printed under a variety of processing conditions. FIG. 12 shows an example in which a customer utilizing test mask 30 has printed a 10×10 Focus Exposure Matrix 52 on photoresist covered 300 mm wafer 50. Within matrix 52 there are 100 print areas 54 that are each approximately 25 mm×25 mm. Within each print area 54, there is a printed test pattern area 56 and a printed cross section area 55. Printed test pattern area 56 corresponds to test pattern area 36 on mask 30 and printed cross section area 55 corresponds to cross-section test area 35 on mask 30.
  • In the example shown in FIG. 12, for each [0019] print area 54 focus increases from left to right and exposure increases from top to bottom. Hence, within matrix 52, there are 100 test cases, each having a unique focus and exposure setting.
  • As shown in FIGS. 13 and 14A, after [0020] wafer 50 has been printed and sent through the final few steps of the photolithographic process resist lines 60 are left on polysilicon layer 62. FIG. 13 shows a top view of lines 60 and FIG. 14A shows a cross-section view of lines 60 on polysilicon layer 62. Resist lines 60 correspond to lines 39 of mask 30 shown in FIGS. 10 and 11. Likewise, lines 61 etched in polysilicon layer 62 (FIG. 14B) correspond to lines 39 of mask 30 shown in FIGS. 10 and 11 after polysilicon layer 62 has been etched away (except for the portion covered by photoresist lines 60). Lines 61 are examined with a SEM and cross-section SEM (or Atomic Force Microscope) and the results are recorded.
  • Compiling the Rules—A Simple Example
  • After obtaining the results shown in FIG. 14A and FIG. 14B, the results are stored in a computer database so that they are accessible by ASML MaskTools Inc. for final OPC evaluation. For the example shown in FIG. 7, the customer is designing a photomask that requires printing both isolated and dense features in groups of 5 lines to be situated adjacent to each other. The lines have a printed linewidth of 0.25 microns and are separated by 0.30 microns and have a profile that contains lines that are nearly vertical. The customer intends to print his wafer using a focus of 0.20 microns and an exposure setting of 20 mj/cm[0021] 2. Based on previous simulation results and the cross-sectional data obtained and shown in FIG. 14A and FIG. 14B and based on the customers inputs just described, ASML MaskTools (using MASKRIGGER software and simulation results) can now design and apply the proper set of optical proximity corrections on a given photomask as shown in FIG. 8 with lines 72 and OPC lines 74. Where the term “proper set” refers to the ability of the OPC rule set to produce a photomask that can print lithographic features with the largest overlapping process window.
  • Problems with the Prior Art
  • A major problem that exists with the prior art lies in the determination of the linewidth of resist lines [0022] 60 (shown in FIG. 13) and the profile of 2-D lines 60 and lines 61 (shown in FIG. 14A and FIG. 14B). Currently, this determination is made by manually observing the profile utilizing a metrology tool such as a Scanning Electron Microscope, Atomic Force Microscope, or Cross-Section CD-SEM. The prior art method of making this determination is extremely expensive, time consuming, and destructive. FIG. 13 shows a top view of a portion of a SEM image and FIGS. 14A 14B each show a SEM cross-section view. As explained above, for each print area 54, there are 5 groups of 130 measurement structures. Each measurement structure has 5 lines. In a typical matrix 52, there are 100 print areas 54. Therefore, there are a total of 325,000 lines in matrix 52 for which SEM analysis needs to be completed (5 groups/print area×130 measurement structures/group×5 lines/measurement structure×100 print areas/matrix). Moreover, to determine a 2-D profile as shown in FIG. 14A and FIG. 14B, it is necessary to fracture the wafer along line 14 (FIG. 13) so that a side view of the cross-section can be obtained. The fracturing of the wafer and the CD-SEM preparation procedure is an extremely delicate process that is also time consuming and expensive.
  • In addition, because it is desirable to know the 2-dimensional profile and pitch for each OPC test case both before and after etch, at least two wafers need to be printed. For example, after the first wafer is printed, it needs to be fractured to determine the 2-D profile as shown in FIGS. 14A and 14B. The fracturing of the wafer effectively destroys it. Therefore, a second un-fractured wafer needs to be available so that it can be etched to determine the profile of the polysilicon or other features after etch. The problem here is that each wafer has its own unique built-in process variation in that each wafer is processed one at a time. During its processing time, due to system imperfections each wafer sees a slightly different set of process conditions, even though the lithographic settings may be the same. Since OPC rules are generated to reduce the effects of process variation the method requires making various assumptions about the effect of small process variations in determining the best set of OPC rules. It would be better to have a non-destructive cross-sectional profiling method to measure the OPC test features. This way the same wafer could be measured both before and after etch. [0023]
  • Ellipsometry
  • Ellipsometry is based on the polarization transformation that occurs when a beam of polarized light is reflected from a medium. The transformation consists of two parts; a phase change and an amplitude change. These changes are different for incident radiation with its electric vector oscillating in the plane of incidence (p-component) compared to the electric vector oscillating perpendicular to the plane of incidence (s-component). Ellipsometry measures the results of these two changes that are represented by angle Δ, which is the change in phase of the reflected beam from the incident beam; and an angle Ψ, which is defined as the arc tangent of the amplitude ratio of the incident and reflected beam. A microscopic pattern illuminated with polarized light produces unique values of Δ and Ψ that vary with wavelength. Charts of cos Δ and tan Ψ as a function of wavelength can be used to compare unknown patterns with known patterns. Examples of such charts are shown in FIGS. 20 and 21. [0024]
  • Scatterometry from Periodic Structures
  • Scatterometry is well known and refers to a procedure by which a grating profile is reconstructed based on its optical diffraction response. [0025]
  • Conventional Scatterometry
  • Conventional scatterometry (also called two-theta scatterometry) uses single wavelength light—typically, 632 nm He:Ne laser light at one polarization state (TE or TM) to obtain a scatter-gram of the diffraction grating (our semiconductor gratings). For a detailed description of conventional scatterometry, please see C. Raymond, et. al. J. Vac. Sci. Tech B, vol.15, no. 2, 361-368, 1997. Also, conventional scatterometry is described in U.S. Pat. Nos. 5,164,790 and 5,867,276, both which are hereby incorporated by reference. The scatter-gram or diffraction patterns are plots of the intensity of the light vs. scattering angle. Light of a specific wavelength is scattered off gratings in various directions known as orders. Zero-order (or 0-order) light refers to the light reflected at an angle equal to the incident angle. The technique uses a Rigorous Coupled-Wave Analysis (RCWA) to generate a library of optical signals—each corresponding to a particular grating pattern. An optimization routine is then used to match the output signal of the grating in question to the signals stored in the library. RCWA is described in more detail below. Conventional Scatterometry is limited in the sense that as the critical dimension shrinks the grating scatters higher orders (>0 order) at larger angles—eventually, the diffracted signal becomes evanescent—not measurable in the far field. Additionally, compared with the techniques listed below, because just a single wavelength is utilized, the output data set is rather limited. Typically one can argue that more output data (more wavelengths and more output angles) would lead to more detailed libraries and better or more accurate pattern matching. The creation of such detailed libraries using standard methods of RCWA would take a very long time. This technique is not suited for in-situ applications because the machinery involved is rather complex with many moving parts. [0026]
  • Spectroscopic Reflectance Scatterometry from Periodic Structures
  • Spectroscopic Reflectance Scatterometry is based on the reconstruction of the grating profile from the optical diffraction response (intensity) over many wavelengths (200 nm-900 nm) at one output angle or 0-order. Spectroscopic Reflectance Scatterometry is described in detail in U.S. Pat. No. 5,963,329 issued to Conrad, which patent is hereby incorporated by reference. Typically some version of RCWA is used to generate the libraries and produce profile results thru optimization routines—however, generally, accurate profile shapes cannot be determined unless account is taken for many diffraction orders in the RCWA calculations. If many orders are not accounted for, it usually means that a library cannot be created that captures the true details of the feature line images. Spectroscopic Reflectance Scatterometry is suited for in-situ applications because it is less complex as compared to conventional scatterometry. [0027]
  • Optical Digital Profilometry for Periodic Structures
  • Optical Digital Profilometry (ODP), also known as Specular Spectroscopic Scatterometry, is known and is also based on the reconstruction of the grating profile from the optical diffraction response. A detailed description of this technique is given in Xinhui Niu, et. al., “Specular Spectroscopic Scatterometry in DUV Lithography”, [0028] Metrology, Inspection, and Process Control for Microlithography XIII, Vol. 3677, pp.159-168, 1999. In ODP, a conventional spectroscopic ellipsometer to gather tan Ψ and cos Δ over many wavelengths (see discussion above under “Ellipsometry”). The utilization of a conventional spectroscopic ellipsometer generates more detailed optical data than either conventional scatterometry (one wavelength at a few angles) or spectroscopic reflectance scatterometry (Intensity vs. wavelength). A typical ellipsometer that is used to collect the output signals usually utilizes a broadband Xenon lamp or other source in the 200 nm-900 nm range. For ODP, one uses traditional ellipsometers to collect the 0-order diffraction data. The ODP technique uses a power computer program to build very large and complex pattern libraries. The technique also uses a simulated annealing (optimization) routine to quickly find pattern matches. While each technique mentioned above typically utilizes some version of RCWA analysis to build a scatterometry library—many other mathematical techniques are available to solve grating problems (for example, Neureuther's integral method). The ODP technique makes use of a special, very efficient numerical code generated by X. Niu in his 1999 thesis at UCB “Specular Spectroscopic Scatterometry in DUV Lithography”. X. Niu's thesis explains how to solve complex diffraction grating problems using a modified form of RCWA. X. Niu's modified form of RCWA is a significant improvement over the standard method of RCWA mentioned under the heading “Conventional Scatterometry”. X. Niu's modified form of RCWA allows one to solve for many orders in very short periods of CPU time. Even though the technique measures the light in one direction (0-order) many orders must be solved for in order to build a high-resolution, high-precision library. The more detailed a library is, the more accurately it can predict the entire 2-D grating profile. Since many of the OPC test designs modify the shape of the final 2-D feature patterns only very slightly it is very desirable to use a sensitive scatterometry technique to quantify the results. Scatterometry techniques that are not sensitive to very small changes in the feature profile are simply not capable of measuring the subtle differences between different OPC test cases. For example, some OPC corrections can change line sizes by 1 nm.
  • Far Field Scatterometry
  • Another scatterometry technqiue is disclosed in U.S. Pat. No. 6,137,570, issued to Chuang; Yung-Ho and assigned to KLA-Tencor, Inc., which patent is hereby incorporated by reference. This technique uses a look-up or library technique to determine the qualitative shape of grating patterns or other patterns using a variety of light sources. The problem here is that the library is not robust enough to detect the very small changes 2-D profile changes that usually occur when applying subtle OPC corrections. [0029]
  • Interpreting the Diffracted Light in Scatterometry From Periodic Structures
  • In scatterometry, as light is diffracted off the grating, it needs to be properly interpreted to determine the shape of the cross-sectional line profiles within a grating. Indeed, the ability to predict the behavior of diffraction gratings with high precision is the key to understanding the diffraction grating. However, it is well known that modeling of diffraction of light by corrugated periodic structures is a complex problem that requires sophisticated techniques. [0030]
  • The general problem of electromagnetic diffraction from gratings has been addressed in various ways. Several rigorous theories of periodic and non-periodic diffraction gratings have been developed in the past decades. For example, a method developed by Neureuther and Zaki (see “Numerical methods for the analysis of scattering from non-planar periodic structures”, Intn'l URSI Symposium of Electromagnetic Waves, Stresa, Italy, 282-285, 1969.) uses a fairly complex integral method to obtain numerical results. Another method of determining the diffraction response from periodic gratings is the differential method first proposed by Neviere and Vincent, et. al. in “Systematic study of the resonances of holographic thin film coupler”, Optics Communications, vol. 9, no. 1, 48-53, Sept 1973. A third method is called rigorous coupled-wave analysis (RCWA). Of the three, RCWA is the currently the most widely used method for the accurate analysis of the diffraction by periodic gratings. RCWA is a non-iterative, deterministic technique that uses a state-variable method for determining the numerical solution. The differential method and coupled-wave method are very close to each other in theoretical point of view, even though their numerical approaches are different. [0031]
  • What is needed is a better way of measuring and compiling a detailed 2-dimensional profile database that can be used to create better wavefront engineering solutions. [0032]
  • SUMMARY OF THE INVENTION
  • The present invention provides a method and apparatus for determining optical mask corrections for photolithography. A plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating. Each grating pattern within the plurality of grating patterns is associated with known photolithographic settings. Each grating pattern is illuminated independently with a light source, so that light is diffracted off each grating pattern. The diffracted light is measured utilizing scatterometry techniques to determine measured diffracted values. The measured diffracted values are compared to values in a library to determine a profile match. A 2-dimensional profile description is assigned to each grating pattern based on the profile match. A database is compiled of the profile descriptions for the plurality of grating patterns. Photomask design rules are then generated by accessing the database containing the 2-dimensional profile descriptions. In preferred embodiments, the design rules are used to create and correct masks containing OPC corrections, phase-shifting mask corrections and binary masks. In a preferred embodiment the at least one grating is a bi-periodic grating. In a preferred embodiment, the scatterometry technique is optical digital profilometry utilizing a reflectometer or ellipsometer.[0033]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows UV light illuminating a layer of photoresist. [0034]
  • FIG. 2A shows a resist profile. [0035]
  • FIG. 2B shows the profile of lines after etch. [0036]
  • FIG. 3 shows a resist profile. [0037]
  • FIG. 4 shows a mask with OPC corrections. [0038]
  • FIG. 5 shows a mask with phase-shifting mask corrections. [0039]
  • FIG. 6 shows a mask with both OPC and phase-shifting mask corrections. [0040]
  • FIG. 7 shows a resist pattern. [0041]
  • FIG. 8 shows a mask pattern. [0042]
  • FIG. 9 shows a prior art mask. [0043]
  • FIG. 10 shows a portion of a prior art mask. [0044]
  • FIG. 11 shows another portion of a prior art mask. [0045]
  • FIG. 12 shows a printed wafer [0046]
  • FIG. 13 shows a top view of resist lines. [0047]
  • FIG. 14A shows a side view of resist lines. [0048]
  • FIG. 14B shows a side view of lines after etch. [0049]
  • FIG. 15 shows a top view of a preferred mask with grating patterns. [0050]
  • FIG. 16 shows a detailed view of a grating. [0051]
  • FIG. 17 shows a ellipsometer reading diffracted light off of a grating. [0052]
  • FIG. 18 shows a bi-periodic grating. [0053]
  • FIG. 19 shows a side view bi-periodic of resist lines. [0054]
  • FIG. 20 is a graph showing tan Ψ vs. wavelength for bi-periodic stuctures using ODP. [0055]
  • FIG. 21 is a graph showing cos Δ vs. wavelength for bi-periodic structures using ODP. [0056]
  • FIG. 22 is a graph showing intensity vs. wavelength for reflectance simulation using ODP for bi-periodic features. [0057]
  • FIGS. [0058] 23-25 show top views of gratings having multiple repeating features.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Preferred embodiments of the present invention are hereinafter described by reference to the drawings. [0059]
  • Printing a Wafer Utilizing a Test Mask
  • In a preferred embodiment of the present invention, a semiconductor wafer coated with photoresist is printed utilizing a photomask containing various grating arrays of periodic and/or bi-periodic structures. The wafer is printed under specific photolithographic exposure settings. Values such as focus, exposure, resist thickness, temperature, numerical aperture and partial coherence are noted and recorded. A simple version of the preferred photomask is shown in FIG. 15. It contains an array of thirty grating patterns [0060] 81-110. The lines within each grating pattern 81-110 preferably have their own unique optical corrections, such as their own unique OPC corrections or phase shift masking corrections. A small portion of a simple grating pattern 81 is shown in FIG. 16. It has 130 lines 112 that are equidistantly spaced. The grating pattern also has OPC lines 114.
  • Measure the Grating Patterns Using an Ellipsometer
  • FIG. 17 shows printed [0061] wafer 120 with grating 122 underneath a spectroscopic ellipsometer 124, such as ellipsometer model no. F5, available from KLA-Tencor, Inc. Grating 122 on wafer 120 corresponds to grating pattern 81 shown in FIG. 16. FIG. 16 shows a portion of the photomask shown in FIG. 15. In a preferred embodiment, broadband polarized light from light source 125 illuminates grating 122. Light is then diffracted from grating 122 and is detected and measured by light detector 126. The spectroscopic ellipsometer records tan Ψ and cos Δ as a function of wavelength for grating pattern 122. The same measurements are repeated until a matrix of tan Ψ and cos Δ vs. wavelength has been obtained for all patterns 81-110. The size of the grating patterns can be adjusted in such as way as to work with any ellipsometer—where the spot size of the ellipsometer is smaller than the grating size.
  • Using ODP to Compare Measured Values of Diffracted Light to Values in Library
  • [0062] CPU 130, shown in FIG. 17, is programmed to receive tan Ψ and cos Δ as a function of wavelength from spectroscopic ellipsometer 124 for all patterns 81-110 and enter that data into an internal database. Within CPU 130 is a scatterometry comparison library, preferably obtained by utilizing a version of RCWA. The library contains many different sets of ellipsometry data each corresponding to predetermined known grating profiles specifically defining the gratings in all dimensions of interest (such as resist thickness, optical constants of thin films, focus, exposure, OPC, etc.). CPU 130 compares the tan Ψ and cos Δ of the diffracted light at each wavelength to the values in the look-up library. For the definition of tan Ψ and cos Δ, please see discussion in background section under “Ellipsometry”.
  • Assign a 2-D Profile to a Grating
  • A 2-D profile is then assigned to each grating when there is a match between the measured values of the diffracted light to the values in the library. Well known mathematical convergence techniques are preferably employed for seeking the best match. The 2-D profile consists of enough information to define the grating (i.e., feature profile shape and pitch). A simulated annealing optimization routine such as described in Xinhui Niu, et. al., “Specular Spectroscopic Scatterometry in DUV Lithography”, [0063] Metrology, Inspection, and Process Control for Microlithography XIII, Vol. 3677, pp.159-168, 1999 may also be used in making the match.
  • Compile a Database of Profiles
  • [0064] CPU 130 is then programmed to compile a database of profiles. The database has profiles that vary from one another based on the lithographic and etch settings at the time of printing or imaging (i.e., focus, exposure, resist thickness, temperature, numerical aperture and partial coherence, OPC correction). This database can be made very large by creating a large number of gratings (such as grating 122) with various combinations of focus, exposure, resist thickness, etc. By utilizing the profile database, it can be determined what the grating profile will look like for a given type of OPC and/or wavefront corrections and other lithographic conditions and settings.
  • Rules are then Compiled
  • In a preferred embodiment, rules are then compiled using an OPC analysis routine (such as those techniques used by ASML MaskTools, Inc. and implemented in the MASKRIGGER software package). These rules may be similar to the rules described above in the background section under the heading “Compiling the Rules”. However, unlike in the prior art that typically requires a cross-section CD-SEM analysis, by utilization of the present invention, the user saves considerable time and expense in determining the rules. Typically, whereas it might take three or four weeks to get the results using the CD-SEM analysis, this technique could shorten this time to a matter of hours. [0065]
  • Second Preferred Embodiment
  • A second preferred embodiment is shown by reference to FIG. 18. In the second preferred [0066] embodiment grating patterns 81 through 110 are replaced by bi-periodic grating patterns. Bi-periodic grating pattern 140 with OPC correction lines 142 is shown in FIG. 18. Bi-periodic grating pattern 140 is referred to as being a bi-periodic grating because there are two repeating pitches throughout the grating. The first pitch refers to the pitch distance between adjacent lines within each group 170, 180 and 190. The second pitch refers to the pitch distance between adjacent groups. Applicants have shown through simulation that by diffracting light off gratings created by a bi-periodic grating pattern, the user can better ascertain the profile of each line within the group if the scatterometry library is created using the robust RCWA technique implemented in the ODP technique described in the background section. For example, in groups 170, 180 and 190 there is a first line 150. This line is an end line and it has been verified experimentally and in simulation that on average the end lines tend to have a different profile than, for example, a middle line such as lines 152. This is due to the fact that isolated and dense lines print differently as mentioned earlier. It is useful to the optical scientist or process engineer to know the profile of each line within the repeating group as opposed to obtaining average information using conventional scatterometry. The bi-periodic grating stands in contrast to grating pattern 81 shown in FIG. 16. By utilization of grating pattern 81, the user is only able to ascertain the average profile shape across the entire grating. In the second preferred embodiment, one uses ODP scatterometry allows one to determine the 2 dimensional profile information of each line in the repeating group.
  • A simulation showing that it is possible to determine very small differences in individual line shape is by reference to FIGS. [0067] 19-21.
  • FIG. 19 shows resist [0068] profile 212 on a anti-reflective coating 210. Resist profile 212 is representative of a profile that would created using a mask having the bi-periodic grating shown in FIG. 18. L1 represents the linewidth of the end resist lines and L2 represents the distance between each resist line within groups 220 and 230. The center line of each group is fixed at 150 nm. The distance between group 220 and group 230 is 250 microns. Table 1 shows the parameters for three simulated cases conducted by Applicants.
    TABLE 1
    Case Number L1 (nm) L2 (nm)
    1 150 150
    2 140 160
    3 160 140
  • For each case, the distance between [0069] group 220 and group 230 was set at 250 microns. The values for L1 and and L2 were varied as shown in Table 1. FIG. 20 shows a graph of tan Ψ vs. wavelength for each case and FIG. 21 shows a graph of cos Δ vs. wavelength for each case. As can be seen by reference to FIGS. 21 and 22, despite just small variations in L1 and L2, significant changes were seen in the plots representing tan Ψ and cos Δ as a function of wavelength. Hence, because of the sensitivity demonstrated by this simulation, it can be seen that the utilization of bi-periodic gratings in conjunction with ODP scatterometry is a very valuable tool for determining 2-D profile of individual lines within grating structures.
  • Other Gratings with Repeating Features
  • A simple grating is shown in FIG. 16 and is discussed above. It was shown in the discussion covering bi-periodic gratings that more detailed information regarding the characteristics of individual lines can be determined by the utilization of a bi-periodic grating. FIG. 18 showed a mask grating with two repeating features (i.e., the first pitch repeats and the second pitch repeats. It is also possible to make grating masks with multiple repeating features other than that shown in FIG. 18. For example, FIG. 23 shows a bi-periodic mask where pitch [0070] 1A (P1A) and pitch 2A (P2A) repeat. This mask is similar to the mask shown in FIG. 18 except that there are 5 lines in each group rather than 3. FIG. 24 shows a mask with multiple repeating features pitch 1B (P1B), pitch 2B (P2B) and pitch 3B (P3B). Likewise, FIG. 25 shows a mask with multiple repeating features pitch 1C (P1C), pitch 2C (P2C), pitch 3C (P3C), and pitch 4C (P4C). By utilizing a mask with multiple repeating features such as those shown in FIGS. 18, 23, 24 or 25, it is possible to obtain more detailed information about individual lines within a grating including isolated line performance as shown in FIG. 24.
  • While the above description contains many specifications, the reader should not construe these as limitations on the scope of the invention, but merely as exemplifications of preferred embodiments thereof. Those skilled in the art will envision many other possible variations are within its scope. For example, although Optical Digital Profilometry was described in the preferred embodiments as a method that uses a spectroscopic ellipsometer, ODP can also work with a spectroscopic reflectometer or other optical technique that produces intensity and/or phase information as a function of wavelength, angle and degree of polarization. For example, FIG. 22 shows a graph of intensity vs. wavelength for a reflectance simulation using ODP for bi-periodic features. The L[0071] 1 and L2 values for case 1, 2 and 3 are the same as shown in FIG. 1. Also, one of ordinary skill in the art will recognize that the methods of the preferred embodiment could be used to measure the CDs of the lines on photomasks and other periodic diffraction gratings as well. Also, although FIGS. 18, 23, 24 and 25 showed specific gratings with multiple repeating features, one of ordinary skill in the art would recognize that there are many other variations of gratings that are possible. For example, the bi-periodic grating shown in FIG. 23 could be modified so that there are seven or six lines in each group rather than five (FIG. 23) or three (FIG. 18). Also, although the preferred embodiments discuss how the ellipsometer records tan Ψ and cos Δ, one of ordinary skill in the art will recognize that other information equivalent to tan Ψ and cos Δ can be recorded, such as generalized Stokes parameters. Accordingly the reader is requested to determine the scope of the invention by the appended claims and their legal equivalents, and not by the examples that have been given.

Claims (12)

I claim:
1. A method of determining optical mask corrections for photolithography, comprising the steps of:
A. printing a plurality of grating patterns onto a wafer utilizing a mask comprising at least one grating, wherein each grating pattern within said plurality of grating patterns is associated with known photolithographic settings,
B. illuminating independently said each grating pattern with a light source, so that light is diffracted off said each grating pattern
C. measuring said diffracted light utilizing scatterometry techniques to determine measured diffracted values,
D. comparing said measured diffracted values to values in a library to determine a profile match,
E. assigning a profile description to said each grating pattern based on said profile match,
F. compiling a database of said 2 dimensional profile descriptions for said plurality of grating patterns,
G. generating a set of rules by accessing said database of said profile description, wherein said rules are for mask design and correction.
2. The method as in claim 1, wherein said at least one grating is at least one bi-periodic grating.
3. The method as in claim 1, wherein said scatterometry technique is optical digital profilometry.
4. The method as in claim 1, wherein said scatterometry technique is spectroscopic reflectance scatterometry.
5. The method as in claim 1, wherein said library is compiled utilizing a modified version of RCWA.
6. The method as in claim 1, wherein said at least one grating is a grating comprising multiple repeating features.
7. An apparatus for determining optical mask corrections for photolithography, comprising:
A. a printing means for printing a plurality of grating patterns onto a wafer utilizing a mask comprising at least one grating, wherein each grating pattern within said plurality of grating patterns is associated with known photolithographic settings,
B. an illuminating means for illuminating independently said each grating pattern with a light source, so that light is diffracted off said each grating pattern
C. a measuring means for measuring said diffracted light utilizing scatterometry techniques to determine measured diffracted values,
D. a comparing means for comparing said measured diffracted values to values in a library to determine a profile match,
E. an assigning means for assigning a profile description to said each grating pattern based on said profile match,
F. a compiling means for compiling a database of said 2 dimensional profile descriptions for said plurality of grating patterns,
G. a generating means for generating a set of rules by accessing said database of said profile description,
wherein said rules are for mask design and correction.
8. The apparatus as in claim 7, wherein said at least one grating is at least one bi-periodic grating.
9. The apparatus as in claim 7, wherein said scatterometry technique is optical digital profilometry.
10. The apparatus as in claim 7, wherein said scatterometry technique is spectroscopic reflectance scatterometry.
11. The apparatus as in claim 7, wherein said library is compiled utilizing a modified version of RCWA.
12. The apparatus as in claim 7, wherein said at least one grating is a grating comprising multiple repeating features.
US09/772,148 2001-01-29 2001-01-29 Method and apparatus for the determination of mask rules using scatterometry Expired - Lifetime US6433878B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US09/772,148 US6433878B1 (en) 2001-01-29 2001-01-29 Method and apparatus for the determination of mask rules using scatterometry
TW091101115A TW538450B (en) 2001-01-29 2002-01-23 Method and apparatus for the determination of mask rules using scatterometry
NL1019851A NL1019851C2 (en) 2001-01-29 2002-01-29 Method and device for determining optical mask rules using scatterometry.
JP2002019850A JP2002311564A (en) 2001-01-29 2002-01-29 Method and apparatus for determining mask rule using scatterometry

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/772,148 US6433878B1 (en) 2001-01-29 2001-01-29 Method and apparatus for the determination of mask rules using scatterometry

Publications (2)

Publication Number Publication Date
US6433878B1 US6433878B1 (en) 2002-08-13
US20020131055A1 true US20020131055A1 (en) 2002-09-19

Family

ID=25094071

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/772,148 Expired - Lifetime US6433878B1 (en) 2001-01-29 2001-01-29 Method and apparatus for the determination of mask rules using scatterometry

Country Status (4)

Country Link
US (1) US6433878B1 (en)
JP (1) JP2002311564A (en)
NL (1) NL1019851C2 (en)
TW (1) TW538450B (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030052084A1 (en) * 2001-09-18 2003-03-20 Tabery Cyrus E. In-situ or ex-situ profile monitoring of phase openings on alternating phase shifting masks by scatterometry
US20030197872A1 (en) * 2002-04-17 2003-10-23 Littau Michael E. Scatterometric measurement of undercut multi-layer diffracting signatures
US20040223137A1 (en) * 2001-10-10 2004-11-11 Littau Michael E. Determination of center of focus by cross-section analysis
US20040233445A1 (en) * 2003-04-10 2004-11-25 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
US20050277035A1 (en) * 2004-06-15 2005-12-15 Fujitsu Limited Exposure measurement method and apparatus, and semiconductor device manufacturing method
JP2006503294A (en) * 2002-10-17 2006-01-26 ティンバー テクノロジーズ,インコーポレイティド Generation of simulated diffraction signals for two-dimensional structures.
US20060222975A1 (en) * 2005-04-02 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated optical metrology and lithographic process track for dynamic critical dimension control
US20070031737A1 (en) * 2005-08-08 2007-02-08 Schroeder Uwe P Lithography masks and methods
US20070181793A1 (en) * 2004-08-11 2007-08-09 Harrison Dale A Method and apparatus for accurate calibration of VUV reflectometer
US20070201043A1 (en) * 2001-03-02 2007-08-30 Accent Optical Technologies, Inc. Line Profile Asymmetry Measurement
US7361941B1 (en) * 2004-12-21 2008-04-22 Kla-Tencor Technologies Corporation Calibration standards and methods
US20080131796A1 (en) * 2006-11-30 2008-06-05 Thomas Werner Method and test structure for estimating focus settings in a lithography process based on cd measurements
US20080206898A1 (en) * 2007-02-28 2008-08-28 Kazuya Fukuhara Pattern Monitor Mark and Monitoring Method Suitable for Micropattern
US20090002711A1 (en) * 2003-01-16 2009-01-01 Metrosol, Inc. Broad band referencing reflectometer
US20090116010A1 (en) * 2007-11-07 2009-05-07 Tokyo Electron Limited Apparatus for Deriving an Iso-Dense Bias
US20090116040A1 (en) * 2007-11-07 2009-05-07 Tokyo Electron Limited Method of Deriving an Iso-Dense Bias Using a Hybrid Grating Layer
CN101855715A (en) * 2007-11-07 2010-10-06 东京毅力科创株式会社 Method and apparatus for deriving an iso-dense bias and controlling a fabrication process
CN104834186A (en) * 2008-12-30 2015-08-12 Asml荷兰有限公司 Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
CN105115417A (en) * 2015-07-09 2015-12-02 中国科学院上海光学精密机械研究所 Wavefront sensor and wavefront measuring method
WO2016146355A1 (en) * 2015-03-16 2016-09-22 Asml Netherlands B.V. Methods for determining resist deformation
US20180157784A1 (en) * 2016-04-04 2018-06-07 Kla-Tencor Corporation Process Compatibility Improvement by Fill Factor Modulation
CN108761604A (en) * 2018-05-29 2018-11-06 北京理工大学 A kind of position phase diffraction grating based on global random coded rule

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6643557B1 (en) 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6703170B1 (en) * 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
US6989900B1 (en) 2001-04-02 2006-01-24 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques
US6660542B1 (en) * 2001-04-06 2003-12-09 Advanced Micro Devices, Inc. Method of controlling stepper process parameters based upon optical properties of incoming process layers, and system for accomplishing same
US6980300B1 (en) 2001-04-11 2005-12-27 Advanced Micro Devices, Inc. Method and apparatus for generating a polishing process endpoint signal using scatterometry
US6898537B1 (en) 2001-04-27 2005-05-24 Nanometrics Incorporated Measurement of diffracting structures using one-half of the non-zero diffracted orders
US6639663B1 (en) 2001-05-23 2003-10-28 Advanced Micro Devices, Inc. Method and apparatus for detecting processing faults using scatterometry measurements
US6677170B1 (en) 2001-05-23 2004-01-13 Advanced Micro Devices, Inc. Method for determining process layer thickness using scatterometry measurements
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6617087B1 (en) * 2001-06-27 2003-09-09 Advanced Micro Devices, Inc. Use of scatterometry to measure pattern accuracy
US6614540B1 (en) 2001-06-28 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for determining feature characteristics using scatterometry
US6804014B1 (en) 2001-07-02 2004-10-12 Advanced Micro Devices, Inc. Method and apparatus for determining contact opening dimensions using scatterometry
US6707562B1 (en) 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process
US7262864B1 (en) * 2001-07-02 2007-08-28 Advanced Micro Devices, Inc. Method and apparatus for determining grid dimensions using scatterometry
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
DE10142317B4 (en) * 2001-08-30 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Device for determining an overlay error and critical dimensions in a semiconductor structure by means of scatter measurement
DE10142316A1 (en) * 2001-08-30 2003-04-17 Advanced Micro Devices Inc Semiconductor structure and method for determining critical dimensions and overlay errors
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6790570B1 (en) 2001-11-08 2004-09-14 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control stepper process parameters
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US6809824B1 (en) * 2001-11-30 2004-10-26 Lsi Logic Corporation Alignment process for integrated circuit structures on semiconductor substrate using scatterometry measurements of latent images in spaced apart test fields on substrate
JP3957504B2 (en) * 2001-12-21 2007-08-15 Necエレクトロニクス株式会社 Photomask and semiconductor device manufacturing method
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6774044B2 (en) * 2002-01-14 2004-08-10 Taiwan Semiconductor Manufacturing Co., Ltd Reducing photoresist shrinkage via plasma treatment
US6451621B1 (en) * 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
US6697153B1 (en) * 2002-02-20 2004-02-24 Advanced Micro Devices, Inc. Method and apparatus for analyzing line structures
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
US6643008B1 (en) * 2002-02-26 2003-11-04 Advanced Micro Devices, Inc. Method of detecting degradation in photolithography processes based upon scatterometric measurements of grating structures, and a device comprising such structures
US6785009B1 (en) 2002-02-28 2004-08-31 Advanced Micro Devices, Inc. Method of using high yielding spectra scatterometry measurements to control semiconductor manufacturing processes, and systems for accomplishing same
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6742168B1 (en) * 2002-03-19 2004-05-25 Advanced Micro Devices, Inc. Method and structure for calibrating scatterometry-based metrology tool used to measure dimensions of features on a semiconductor device
US6791697B1 (en) 2002-03-21 2004-09-14 Advanced Micro Devices, Inc. Scatterometry structure with embedded ring oscillator, and methods of using same
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
DE10224164B4 (en) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale A two-dimensional structure for determining a superposition accuracy by means of scattering measurement
DE10224162A1 (en) * 2002-05-31 2003-12-18 Advanced Micro Devices Inc Scatter meter with an internal calibration system
US6794299B1 (en) * 2002-06-03 2004-09-21 Advanced Micro Devices Inc. Various methods of controlling conformal film deposition processes, and a system for accomplishing same
US6972853B1 (en) * 2002-09-27 2005-12-06 Advanced Micro Devices, Inc. Methods of calibrating and controlling stepper exposure processes and tools, and system for accomplishing same
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US20040133362A1 (en) * 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
US6881594B1 (en) 2002-10-28 2005-04-19 Advanced Micro Devices, Inc. Method of using scatterometry for analysis of electromigration, and structures for performing same
US6660543B1 (en) 2002-10-31 2003-12-09 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques wherein dispersion coefficients are varied based upon depth
US6933158B1 (en) 2002-10-31 2005-08-23 Advanced Micro Devices, Inc. Method of monitoring anneal processes using scatterometry, and system for performing same
JP4302965B2 (en) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ Semiconductor device manufacturing method and manufacturing system thereof
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US6875622B1 (en) 2002-11-01 2005-04-05 Advanced Micro Devices, Inc. Method and apparatus for determining electromagnetic properties of a process layer using scatterometry measurements
US20040090629A1 (en) * 2002-11-08 2004-05-13 Emmanuel Drege Diffraction order selection for optical metrology simulation
AU2003302049A1 (en) * 2002-11-20 2004-06-15 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
US6746882B1 (en) * 2002-11-21 2004-06-08 Advanced Micro Devices, Inc. Method of correcting non-linearity of metrology tools, and system for performing same
JP4144352B2 (en) * 2002-12-26 2008-09-03 株式会社ニコン Line width measuring device, line width measuring method, line width variation detecting device, and line width variation displaying method
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US8564780B2 (en) * 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
TWI234195B (en) * 2003-04-16 2005-06-11 Nikon Corp Pattern determining method and system, method of manufacturing mask, adjusting method of imaging performance, exposure method and apparatus, information recording medium
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US6836691B1 (en) 2003-05-01 2004-12-28 Advanced Micro Devices, Inc. Method and apparatus for filtering metrology data based on collection purpose
US7135259B2 (en) * 2003-05-28 2006-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
ATE476687T1 (en) 2003-12-19 2010-08-15 Ibm DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND SUPERPOSITION
US6972201B1 (en) * 2004-01-12 2005-12-06 Advanced Micro Devices, Inc. Using scatterometry to detect and control undercut for ARC with developable BARCs
US7046342B2 (en) * 2004-01-29 2006-05-16 International Business Machines Corporation Apparatus for characterization of photoresist resolution, and method of use
US7269804B2 (en) * 2004-04-02 2007-09-11 Advanced Micro Devices, Inc. System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
US7212293B1 (en) * 2004-06-01 2007-05-01 N&K Technology, Inc. Optical determination of pattern feature parameters using a scalar model having effective optical properties
JP4264827B2 (en) * 2004-08-18 2009-05-20 ソニー株式会社 Information processing apparatus and method, and program
US7052921B1 (en) 2004-09-03 2006-05-30 Advanced Micro Devices, Inc. System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
US7427457B1 (en) 2004-09-03 2008-09-23 Advanced Micro Devices, Inc. Methods for designing grating structures for use in situ scatterometry to detect photoresist defects
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US20060164649A1 (en) * 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
US7258953B2 (en) * 2005-01-28 2007-08-21 Lsi Corporation Multi-layer registration and dimensional test mark for scatterometrical measurement
US7519940B2 (en) * 2005-05-02 2009-04-14 Cadence Design Systems, Inc. Apparatus and method for compensating a lithography projection tool
US7751047B2 (en) * 2005-08-02 2010-07-06 Asml Netherlands B.V. Alignment and alignment marks
US7749662B2 (en) * 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7474420B2 (en) 2006-03-30 2009-01-06 Timbre Technologies, Inc. In-die optical metrology
WO2007123696A2 (en) * 2006-03-30 2007-11-01 Tokyo Electron Limited In-die optical metrology
US7515283B2 (en) * 2006-07-11 2009-04-07 Tokyo Electron, Ltd. Parallel profile determination in optical metrology
US7469192B2 (en) * 2006-07-11 2008-12-23 Tokyo Electron Ltd. Parallel profile determination for an optical metrology system
US20080129986A1 (en) 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
CN101206406B (en) * 2006-12-18 2010-08-11 中芯国际集成电路制造(上海)有限公司 Photolithography detection pattern and photolithography edition territory
CN101295130B (en) * 2007-04-24 2010-11-10 中芯国际集成电路制造(上海)有限公司 Light shield detecting method
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2009041295A1 (en) * 2007-09-26 2009-04-02 Nikon Corporation Surface inspection method and surface inspection device
US20090219537A1 (en) * 2008-02-28 2009-09-03 Phillip Walsh Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
US8054473B2 (en) * 2008-04-30 2011-11-08 Qimonda Ag Measurement method for determining dimensions of features resulting from enhanced patterning methods
US7940391B2 (en) * 2008-07-08 2011-05-10 Tokyo Electron Limited Pre-aligned metrology system and modules
US7990534B2 (en) * 2008-07-08 2011-08-02 Tokyo Electron Limited System and method for azimuth angle calibration
US7742163B2 (en) * 2008-07-08 2010-06-22 Tokyo Electron Limited Field replaceable units (FRUs) optimized for integrated metrology (IM)
JP4834706B2 (en) * 2008-09-22 2011-12-14 株式会社東芝 Structural inspection method
CN102265220B (en) * 2008-12-30 2014-03-12 Asml荷兰有限公司 Method of determining characteristic
US8502955B2 (en) * 2008-12-30 2013-08-06 Asml Netherlands B.V. Method of determining a characteristic
US8153987B2 (en) 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
JP5391055B2 (en) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing system
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
DE102011006468B4 (en) * 2011-03-31 2014-08-28 Carl Zeiss Smt Gmbh Measurement of an imaging optical system by overlaying patterns
CN102360168B (en) * 2011-10-13 2014-08-27 上海华力微电子有限公司 Integrated measured pattern and measurement method for lithography process
CN103085452A (en) * 2013-02-04 2013-05-08 无锡帝科电子材料科技有限公司 Screen printing plate for silicon solar cell silver paste printing experiment
US8782569B1 (en) 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
FR3003962B1 (en) * 2013-03-29 2016-07-22 St Microelectronics Rousset METHOD FOR PRODUCING A PHOTOLITOGRAPHY MASK FOR THE FORMATION OF CORRESPONDING CONTACTS, MASK AND INTEGRATED CIRCUIT
US10551165B2 (en) * 2015-05-01 2020-02-04 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
JP6723269B2 (en) * 2015-05-15 2020-07-15 ケーエルエー コーポレイション System and method for focus determination using a focus sensitive overlay target
WO2017099843A1 (en) * 2015-12-08 2017-06-15 Kla-Tencor Corporation Control of amplitude and phase of diffraction orders using polarizing targets and polarized illumination
EP3422102A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3853246T2 (en) * 1987-09-25 1995-06-29 Matsushita Electric Ind Co Ltd Device for checking the positional relationship between a photomask and a plate.
US5576829A (en) * 1990-10-08 1996-11-19 Nikon Corporation Method and apparatus for inspecting a phase-shifted mask
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5413884A (en) * 1992-12-14 1995-05-09 American Telephone And Telegraph Company Grating fabrication using electron beam lithography
US5739909A (en) * 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
JP3934719B2 (en) * 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
US5962173A (en) * 1997-03-27 1999-10-05 Vlsi Technology, Inc. Method for measuring the effectiveness of optical proximity corrections
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6187483B1 (en) * 1999-05-28 2001-02-13 Advanced Micro Devices, Inc. Mask quality measurements by fourier space analysis

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US7639371B2 (en) 2001-03-02 2009-12-29 Nanometrics Incorporated Line profile asymmetry measurement
US20070201043A1 (en) * 2001-03-02 2007-08-30 Accent Optical Technologies, Inc. Line Profile Asymmetry Measurement
US20030052084A1 (en) * 2001-09-18 2003-03-20 Tabery Cyrus E. In-situ or ex-situ profile monitoring of phase openings on alternating phase shifting masks by scatterometry
US20040223137A1 (en) * 2001-10-10 2004-11-11 Littau Michael E. Determination of center of focus by cross-section analysis
US7110099B2 (en) 2001-10-10 2006-09-19 Accent Optical Technologies, Inc. Determination of center of focus by cross-section analysis
US20030197872A1 (en) * 2002-04-17 2003-10-23 Littau Michael E. Scatterometric measurement of undercut multi-layer diffracting signatures
JP2006503294A (en) * 2002-10-17 2006-01-26 ティンバー テクノロジーズ,インコーポレイティド Generation of simulated diffraction signals for two-dimensional structures.
JP4805579B2 (en) * 2002-10-17 2011-11-02 ティンバー テクノロジーズ,インコーポレイティド Generation of simulated diffraction signals for two-dimensional structures.
US8014000B2 (en) * 2003-01-16 2011-09-06 Jordan Valley Semiconductors Ltd. Broad band referencing reflectometer
US20090002711A1 (en) * 2003-01-16 2009-01-01 Metrosol, Inc. Broad band referencing reflectometer
US7119893B2 (en) 2003-04-10 2006-10-10 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
US20040233445A1 (en) * 2003-04-10 2004-11-25 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
US7952696B2 (en) * 2004-06-15 2011-05-31 Fujitsu Semiconductor Limited Exposure measurement method and apparatus, and semiconductor device manufacturing method
US20050277035A1 (en) * 2004-06-15 2005-12-15 Fujitsu Limited Exposure measurement method and apparatus, and semiconductor device manufacturing method
US20070181793A1 (en) * 2004-08-11 2007-08-09 Harrison Dale A Method and apparatus for accurate calibration of VUV reflectometer
US7804059B2 (en) 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US7361941B1 (en) * 2004-12-21 2008-04-22 Kla-Tencor Technologies Corporation Calibration standards and methods
US20060222975A1 (en) * 2005-04-02 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated optical metrology and lithographic process track for dynamic critical dimension control
US20070031737A1 (en) * 2005-08-08 2007-02-08 Schroeder Uwe P Lithography masks and methods
US7846616B2 (en) * 2005-08-08 2010-12-07 Infineon Technologies Ag Lithography masks and methods
US8040497B2 (en) * 2006-11-30 2011-10-18 Globalfoundries Inc. Method and test structure for estimating focus settings in a lithography process based on CD measurements
US20080131796A1 (en) * 2006-11-30 2008-06-05 Thomas Werner Method and test structure for estimating focus settings in a lithography process based on cd measurements
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
US20080206898A1 (en) * 2007-02-28 2008-08-28 Kazuya Fukuhara Pattern Monitor Mark and Monitoring Method Suitable for Micropattern
CN101855715A (en) * 2007-11-07 2010-10-06 东京毅力科创株式会社 Method and apparatus for deriving an iso-dense bias and controlling a fabrication process
US7639370B2 (en) * 2007-11-07 2009-12-29 Tokyo Electron Limited Apparatus for deriving an iso-dense bias
US20090116040A1 (en) * 2007-11-07 2009-05-07 Tokyo Electron Limited Method of Deriving an Iso-Dense Bias Using a Hybrid Grating Layer
US20090116010A1 (en) * 2007-11-07 2009-05-07 Tokyo Electron Limited Apparatus for Deriving an Iso-Dense Bias
KR101483325B1 (en) 2007-11-07 2015-01-15 도쿄엘렉트론가부시키가이샤 Method and apparatus for deriving an iso-dense bias and controlling a fabrication process
CN104834186A (en) * 2008-12-30 2015-08-12 Asml荷兰有限公司 Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2016146355A1 (en) * 2015-03-16 2016-09-22 Asml Netherlands B.V. Methods for determining resist deformation
CN107430351A (en) * 2015-03-16 2017-12-01 Asml荷兰有限公司 method for determining resist deformation
TWI620033B (en) * 2015-03-16 2018-04-01 Asml荷蘭公司 Methods for determining resist deformation
US10423076B2 (en) 2015-03-16 2019-09-24 Asml Netherlands B.V. Methods for determining resist deformation
CN105115417A (en) * 2015-07-09 2015-12-02 中国科学院上海光学精密机械研究所 Wavefront sensor and wavefront measuring method
US20180157784A1 (en) * 2016-04-04 2018-06-07 Kla-Tencor Corporation Process Compatibility Improvement by Fill Factor Modulation
US10579768B2 (en) * 2016-04-04 2020-03-03 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation
TWI710860B (en) * 2016-04-04 2020-11-21 美商克萊譚克公司 Composite periodic target structure
CN108761604A (en) * 2018-05-29 2018-11-06 北京理工大学 A kind of position phase diffraction grating based on global random coded rule

Also Published As

Publication number Publication date
NL1019851C2 (en) 2007-01-16
JP2002311564A (en) 2002-10-23
NL1019851A1 (en) 2002-07-30
TW538450B (en) 2003-06-21
US6433878B1 (en) 2002-08-13

Similar Documents

Publication Publication Date Title
US6433878B1 (en) Method and apparatus for the determination of mask rules using scatterometry
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
US9436099B2 (en) Lithographic focus and dose measurement using a 2-D target
JP5739988B2 (en) Measurement of structure on substrate
JP4896092B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell, and device manufacturing method
JP5016579B2 (en) Method for supporting selection of model freedom and fixed parameters, method for measuring characteristics, device manufacturing method, spectrometer and lithographic apparatus
KR100919000B1 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4778021B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell, and device manufacturing method
JP4648930B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4802167B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR20160124850A (en) Measuring a process parameter for a manufacturing process involving lithography
TWI539247B (en) Inspection apparatus and methods, lithographic system and device manufacturing method
KR20050008687A (en) Scatterometric measurement of undercut multi-layer diffracting structures
JP4828499B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2003292A (en) A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
Holden et al. Normal-incidence spectroscopic ellipsometry and polarized reflectometry for measurement and control of photoresist critical dimension
KR20210075189A (en) Apparatuses and patterning devices and methods for measuring focus performance of a lithographic apparatus, device manufacturing method
US8982328B2 (en) Method and apparatus for overlay measurement
US20120033223A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method for Determining a Property of a Substrate
WO2003089888A2 (en) Scatterometric measurement of undercut multi-layer diffracting structures

Legal Events

Date Code Title Description
AS Assignment

Owner name: TIMBRE TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NIU, XINHUI;JAKATDAR, NICKHIL H.;REEL/FRAME:011488/0813

Effective date: 20010129

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REFU Refund

Free format text: REFUND - SURCHARGE FOR LATE PAYMENT, SMALL ENTITY (ORIGINAL EVENT CODE: R2554); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: REFUND - SURCHARGE, PETITION TO ACCEPT PYMT AFTER EXP, UNINTENTIONAL (ORIGINAL EVENT CODE: R2551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: TOKYO ELECTRON AMERICA, INC., TEXAS

Free format text: MERGER;ASSIGNOR:TEL TIMBRE TECHNOLOGIES, INC.;REEL/FRAME:031874/0939

Effective date: 20130401

Owner name: TEL TIMBRE TECHNOLOGIES, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:TIMBRE TECHNOLOGIES, INC.;REEL/FRAME:031911/0254

Effective date: 20130319

FPAY Fee payment

Year of fee payment: 12