US20020127350A1 - High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers - Google Patents

High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers Download PDF

Info

Publication number
US20020127350A1
US20020127350A1 US09/800,798 US80079801A US2002127350A1 US 20020127350 A1 US20020127350 A1 US 20020127350A1 US 80079801 A US80079801 A US 80079801A US 2002127350 A1 US2002127350 A1 US 2002127350A1
Authority
US
United States
Prior art keywords
chamber
plasma
substrate processing
process chamber
magnetic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/800,798
Other versions
US6447651B1 (en
Inventor
Tetsuya Ishikawa
Kaveh Niazi
Tsutomu Tanaka
Canfeng Lai
Robert Duncan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/800,798 priority Critical patent/US6447651B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DUNCAN, ROBERT, LAI, CANFENG, TANAKA, TSUTOMU, ISHIKAWA, TETSUYA, NIAZI, KAVEH
Application granted granted Critical
Publication of US6447651B1 publication Critical patent/US6447651B1/en
Publication of US20020127350A1 publication Critical patent/US20020127350A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Definitions

  • the present invention relates to the manufacture of integrated circuits on a substrate. More particularly, the invention relates to a method and apparatus for improving the process uniformity of plasma processing techniques used in such manufacture.
  • CVD chemical vapor deposition
  • PECVD Plasma-enhanced CVD
  • RF radio-frequency
  • HDP high-density-plasma
  • any of these CVD techniques may be used to deposit conductive or insulative films as necessary during the fabrication of integrated circuits. It is generally desirable that the process for depositing such a film be uniform in all respects.
  • sputter nonuniformity in plasma deposition processes is affected by magnetic fields on the order of the geomagnetic field of 0.5 gauss or less. This field can be caused by permanent magnets in the vicinity of a deposition chamber or by the earth itself.
  • One factor in the sputter nonuniformity is believed to result from impacts from electrons in the plasma. As wafer sizes increase so that they diameters exceed the order of the mean cyclotron radius of such electrons, the effect from this factor is enhanced.
  • a method for forming a layer on a substrate during a plasma deposition process by forming a plasma in a process chamber, flowing suitable deposition precursor gases into the process chamber, and limiting sputter nonuniformity by attenuating a magnetic field having a strength less than about 0.5 gauss within the process chamber.
  • the attenuation of such a magnetic field is achieved with a magnetic shield positioned to enclose at least a portion of the process chamber.
  • the permeability of the magnetic shield is greater than 10 4 times the permeability of free space.
  • an appropriate material for the magnetic shield that achieves the desired permeability comprises greater than 75 at. % nickel and greater than 12 at. % iron; it preferably also comprises greater than 4 at. % molybdenum.
  • the methods of the present invention may be used with a substrate processing system.
  • a substrate processing system includes a nonmagnetized substrate processing chamber and a plasma-generating system operatively coupled to the processing chamber to generate a plasma within the substrate processing chamber.
  • a magnetic shield is configured to enclose at least a portion of the process chamber for limiting sputter nonuniformity by attenuating a magnetic field having a strength less than about 0.5 gauss within the process chamber.
  • FIG. 1A is a simplified diagram of one embodiment of a high-density plasma chemical vapor deposition system according to the present invention.
  • FIG. 1B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of FIG. 1A.
  • FIG. 1C is a simplified diagram of a monitor and light pen that may be used in conjunction with the exemplary CVD processing chamber of FIG. 1A.
  • FIG. 1D is a flow chart of an exemplary process control computer program product used to control the exemplary CVD processing chamber of FIG. 1A;
  • FIG. 2 shows a cross-sectional view of one embodiment of the invention in which the magnetic flux leakage of a high-permeability magnetic shield is minimized.
  • Embodiments of the present invention are directed to a method and apparatus for improving the process uniformity during plasma CVD deposition processes.
  • a shield constructed from a high-magnetic-permeability material By enclosing the plasma chamber with a shield constructed from a high-magnetic-permeability material, a substantial improvement in process uniformity, particularly in sputter uniformity, is achieved.
  • attenuation of magnetic fields on the order of 0.5 gauss or less within the process chamber reduces the sputter nonuniformity, leading to a general improvement in deposition characteristics.
  • FIG. 1A illustrates one embodiment of a high density plasma chemical vapor deposition (HDP-CVD) system 10 in which a dielectric layer according to the present invention can be deposited.
  • System 10 includes a chamber 13 , a vacuum system 70 , a source plasma system 80 A, a bias plasma system 80 B, a gas delivery system 33 , and a remote plasma cleaning system 50 .
  • the upper portion of chamber 13 includes a dome 14 , which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 14 defines an upper boundary of a plasma processing region 16 . Plasma processing region 16 is bounded on the bottom by the upper surface of a substrate 17 and a substrate support member 18 .
  • a heater plate 23 and a cold plate 24 surmount, and are thermally coupled to, dome 14 .
  • Heater plate 23 and cold plate 24 allow control of the dome temperature to within about ⁇ 10° C. over a range of about 100° C. to 200° C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • the lower portion of chamber 13 includes a body member 22 , which joins the chamber to the vacuum system.
  • a base portion 21 of substrate support member 18 is mounted on, and forms a continuous inner surface with, body member 22 .
  • Substrates are transferred into and out of chamber 13 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 13 .
  • Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 57 to a lower processing position 56 in which the substrate is placed on a substrate receiving portion 19 of substrate support member 18 .
  • Substrate receiving portion 19 includes an electrostatic chuck 20 that secures the substrate to substrate support member 18 during substrate processing.
  • substrate support member 18 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 70 includes throttle body 25 , which houses twin-blade throttle valve 26 and is attached to gate valve 27 and turbo-molecular pump 28 .
  • throttle body 25 offers minimum obstruction to gas flow, and allows symmetric pumping.
  • Gate valve 27 can isolate pump 28 from throttle body 25 , and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 26 is fully open.
  • the arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures from between about 1 millitorr to about 2 torr.
  • the source plasma system 80 A includes a top coil 29 and side coil 30 , mounted on dome 14 .
  • a symmetrical ground shield (not shown) reduces electrical coupling between the coils.
  • Top coil 29 is powered by top source RF (SRF) generator 31 A
  • side coil 30 is powered by side SRF generator 31 B, allowing independent power levels and frequencies of operation for each coil.
  • SRF source RF
  • This dual coil system a lows control of the radial ion density in chamber 13 , thereby improving plasma uniformity.
  • Side coil 30 and top coil 29 are typically inductively driven, which does not require a complimentary electrode.
  • the top source RF generator 31 A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 31 B provides up to 5,000 watts of RF power at nominally 2 MHz.
  • the operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • a bias plasma system 80 B includes a bias RF (“BRF”) generator 31 C and a bias matching network 32 C.
  • the bias plasma system 80 B capacitively couples substrate portion 17 to body member 22 , which act as complimentary electrodes.
  • the bias plasma system 80 B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 80 A to the surface of the substrate.
  • bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
  • RF generators 31 A and 31 B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art. RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator.
  • the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 32 A and 32 B match the output impedance of generators 31 A and 31 B with their respective coils 29 and 30 .
  • the RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes.
  • the RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit.
  • One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
  • a gas delivery system 33 provides gases from several sources, 34 A- 34 F chamber for processing the substrate via gas delivery lines 38 (only some of which are shown).
  • gas delivery lines 38 only some of which are shown.
  • the actual sources used for sources 34 A- 34 F and the actual connection of delivery lines 38 to chamber 13 varies depending on the deposition and cleaning processes executed within chamber 13 .
  • Gases are introduced into chamber 13 through a gas ring 37 and/or a top nozzle 45 .
  • FIG. 1B is a simplified, partial cross-sectional view of chamber 13 showing additional details of gas ring 37 .
  • first and second gas sources, 34 A and 34 B, and first and second gas flow controllers, 35 A′ and 35 B′ provide gas to ring plenum 36 in gas ring 37 via gas delivery lines 38 (only some of which are shown).
  • Gas ring 37 has a plurality of source gas nozzles 39 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber.
  • gas ring 37 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 37 also has a plurality of oxidizer gas nozzles 40 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 39 , and in one embodiment receive gas from body plenum 41 . In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 13 . In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 13 by providing apertures (not shown) between body plenum 41 and gas ring plenum 36 . In one embodiment, third and fourth gas sources, 34 C and 34 D, and third and fourth gas flow controllers, 35 C and 35 D′, provide gas to body plenum via gas delivery lines 38 . Additional valves, such as 43 B (other valves not shown), may shut off gas from the flow controllers to the chamber.
  • valve 43 B to isolate chamber 13 from delivery line 38 A and to vent delivery line 38 A to vacuum foreline 44 , for example.
  • valve 43 A and 43 C may be incorporated on other gas delivery lines.
  • Such 3-way valves may be placed as close to chamber 13 as practical, to minimize the volume of the unvented gas delivery line (between the 3-way valve and the chamber).
  • two-way (on-off) valves may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • MFC mass flow controller
  • chamber 13 also has top nozzle 45 and top vent 46 .
  • Top nozzle 45 and top vent 46 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters.
  • Top vent 46 is an annular opening around top nozzle 45 .
  • first gas source 34 A supplies source gas nozzles 39 and top nozzle 45 .
  • Source nozzle MFC 35 A′ controls the amount of gas delivered to source gas nozzles 39 and top nozzle MFC 35 A controls the amount of gas delivered to top gas nozzle 45 .
  • two MFCs 35 B and 35 B′ may be used to control the flow of oxygen to both top vent 46 and oxidizer gas nozzles 40 from a single source of oxygen, such as source 34 B.
  • the gases supplied to top nozzle 45 and top vent 46 may be kept separate prior to flowing the gases into chamber 13 , or the gases may be mixed in top plenum 48 before they flow into chamber 13 . Separate sources of the same gas may be used to supply various portions of the chamber.
  • a remote microwave-generated plasma cleaning system 50 is provided to periodically clean deposition residues from chamber components.
  • the cleaning system includes a remote microwave generator 51 that creates a plasma from a cleaning gas source 34 E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 53 .
  • a cleaning gas source 34 E e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents
  • the reactive species resulting from this plasma are conveyed to chamber 13 through cleaning gas feed port 54 via applicator tube 55 .
  • the materials used to contain the cleaning plasma e.g., cavity 53 and applicator tube 55 ) must be resistant to attack by the plasma.
  • the distance between reactor cavity 53 and feed port 54 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 53 .
  • this cleaning system is used to dissociate atoms of the etchant gas remotely, which are then supplied to the process chamber 13 .
  • the etchant gas is provided directly to the process chamber 13 .
  • multiple process chambers are used, with deposition and etching steps being performed in separate chambers.
  • System controller 60 controls the operation of system 10 .
  • controller 60 includes a memory 62 , such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown) coupled to a processor 61 .
  • the card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown).
  • SBC single-board computer
  • the system controller conforms to the Versa Modular European (“VME”) standard, which defines board, card cage, and connector dimensions and types.
  • the VME standard also defines the bus structure as having a 16-bit data bus and 24-bit address bus.
  • System controller 31 operates under the control of a computer program stored on the hard disk drive or through other computer programs, such as programs stored on a removable disk.
  • the computer program dictates, for example, the timing, mixture of gases, RF power levels and other parameters of a particular process.
  • the interface between a user and the system controller is via a monitor, such as a cathode ray tube (“CRT”) 65 , and a light pen 66 , as depicted in FIG. 1C.
  • CTR cathode ray tube
  • FIG. 1C is an illustration of a portion of an exemplary system user interface used in conjunction with the exemplary CVD processing chamber of FIG. 1A.
  • System controller 60 includes a processor 61 coupled to a computer-readable memory 62 .
  • memory 62 may be a hard disk drive, but memory 62 may be other kinds of memory, such as ROM, PROM, and others.
  • System controller 60 operates under the control of a computer program 63 stored in a computer-readable format within memory 62 .
  • the computer program dictates the timing, temperatures, gas flows, RF power levels and other parameters of a particular process.
  • the interface between a user and the system controller is via a CRT monitor 65 and a light pen 66 , as depicted in FIG. 1C.
  • two monitors, 65 and 65 A, and two light pens, 66 and 66 A are used, one mounted in the clean room wall ( 65 ) for the operators and the other behind the wall ( 65 A) for the service technicians. Both monitors simultaneously display the same information, but only one light pen (e.g. 66 ) is enabled.
  • the operator touches an area of the display screen and pushes a button (not shown) on the pen. The touched area confirms being selected by the light pen by changing its color or displaying a new menu, for example.
  • the computer program code can be written in any conventional computer-readable programming language such as 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and is stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code causing the computer system to load the code in memory. The CPU reads the code from memory and executes the code to perform the tasks identified in the program.
  • a computer-usable medium such as a memory system of the computer.
  • FIG. 1D shows an illustrative block diagram of the hierarchical control structure of computer program 100 .
  • a user enters a process set number and process chamber number into a process selector subroutine 110 in response to menus or screens displayed on the CRT monitor by using the light pen interface.
  • the process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers.
  • Process selector subroutine 110 identifies (i) the desired process chamber in a multichamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process.
  • the process parameters for performing a specific process relate to conditions such as process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe.
  • the parameters specified by the recipe are entered utilizing the light pen/CRT monitor interface.
  • the signals for monitoring the process are provided by the analog and digital input boards of system controller 60 , and the signals for controlling the process are output on the analog and digital output boards of system controller 60 .
  • a process sequencer subroutine 120 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 110 and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a single user can enter multiple process set numbers and process chamber numbers; sequencer subroutine 120 schedules the selected processes in the desired sequence.
  • sequencer subroutine 120 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out.
  • sequencer subroutine 120 can be designed to take into consideration the “age” of each particular user-entered request, or the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • sequencer subroutine 120 determines which process chamber and process set combination is going to be executed next, sequencer subroutine 120 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 130 A-C, which controls multiple processing tasks in chamber 13 and possibly other chambers (not shown) according to the process set sent by sequencer subroutine 120 .
  • chamber component subroutines are substrate positioning subroutine 140 , process gas control subroutine 150 , pressure control subroutine 160 , and plasma control subroutine 170 .
  • chamber manager subroutine 130 A selectively schedules or calls the process component subroutines in accordance with the particular process set being executed.
  • Chamber manager subroutine 130 A schedules process component subroutines in the same manner that sequencer subroutine 120 schedules the process chamber and process set to execute.
  • chamber manager subroutine 130 A includes steps of monitoring the various chamber components. determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Substrate positioning subroutine 140 comprises program code for controlling chamber components that are used to load a substrate onto substrate support number 18 .
  • Substrate positioning subroutine 140 may also control transfer of a substrate into chamber 13 from, e.g., a plasma-enhanced CVD (“PECVD”) reactor or other reactor in the multi-chamber system, after other processing has been completed.
  • PECVD plasma-enhanced CVD
  • Process gas control subroutine 150 has program code for controlling process gas composition and flow rates. Subroutine 150 controls the open/close position of the safety shut-off valves and also ramps up/ramps down the mass flow controllers to obtain the desired gas flow rates. All chamber component subroutines, including process gas control subroutine 150 , are invoked by chamber manager subroutine 130 A. Subroutine 150 receives process parameters from chamber manager subroutine 130 A related to the desired gas flow rates.
  • process gas control subroutine 150 opens the gas supply lines, and repeatedly (i) reads the necessary mass flow controllers, (ii) compares the readings to the desired flow rates received from chamber manager subroutine 130 A, and (iii) adjusts the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 150 may include steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
  • an inert gas such as argon
  • the process gas control subroutine 150 is programmed to include steps for flowing the inert gas into chamber 13 for an amount of time necessary to stabilize the pressure in the chamber. The steps described above may then be carried out.
  • the process gas control subroutine 150 may include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly or for introducing the helium to a liquid injection valve.
  • a delivery gas such as helium
  • the process gas control subroutine 150 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature to obtain the desired process gas flow rates.
  • the desired process gas flow rates are transferred to process gas control subroutine 150 as process parameters.
  • the process gas control subroutine 150 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.
  • the process gas control subroutine 150 may also control the flow of heat-transfer gas, such as helium (He), through the inner and outer passages in the wafer chuck with an independent helium control (IHC) subroutine (not shown).
  • the gas flow thermally couples the substrate to the chuck.
  • the wafer is heated by the plasma and the chemical reactions that form the layer, and the He cools the substrate through the chuck, which may be water-cooled. This keeps the substrate below a temperature that may damage preexisting features on the substrate.
  • Pressure control subroutine 160 includes program code for controlling the pressure in chamber 13 by regulating the size of the opening of throttle valve 26 in the exhaust portion of the chamber.
  • the first method relies on characterizing the chamber pressure as it relates to, among other things, the total process gas flow, the size of the process chamber, and the pumping capacity.
  • the first method sets throttle valve 26 to a fixed position. Setting throttle valve 26 to a fixed position may eventually result in a steady-state pressure.
  • the chamber pressure may be measured, with a manometer for example, and the position of throttle valve 26 may be adjusted according to pressure control subroutine 360 , assuming the control point is within the boundaries set by gas flows and exhaust capacity.
  • the former method may result in quicker chamber pressure changes, as the measurements, comparisons, and calculations associated with the latter method are not invoked.
  • the former method may be desirable where precise control of the chamber pressure is not required, whereas the latter method may be desirable where an accurate, repeatable, and stable pressure is desired, such as during the deposition of a layer.
  • pressure control subroutine 160 When pressure control subroutine 160 is invoked, the desired, or target, pressure level is received as a parameter from chamber manager subroutine 130 A. Pressure control subroutine 160 measures the pressure in chamber 13 by reading one or more conventional pressure manometers connected to the chamber; compares the measured value(s) to the target pressure; obtains proportional, integral, and differential (PID) values from a stored pressure table corresponding to the target pressure, and adjusts throttle valve 26 according to the PID values obtained from the pressure table. Alternatively, pressure control subroutine 160 may open or close throttle valve 26 to a particular opening size to regulate the pressure in chamber 13 to a desired pressure or pressure range.
  • PID proportional, integral, and differential
  • Plasma control subroutine 170 comprises program code for controlling the frequency and power output setting of RF generators 31 A and 31 B and for tuning matching networks 32 A and 32 B.
  • Plasma control subroutine 370 like the previously described chamber component subroutines, is invoked by chamber manager subroutine 330 A.
  • the inventors therefore theorized that the anomaly might arise from a magnetic source having a field strength less than about 0.5 gauss. For the reasons expressed below on the basis of processing smaller wafers, such field strengths were believed to be sufficiently small not to have a significant effect on process characteristics. It was further hypothesized that the anomaly might result from an ambient magnetic field that could not be excluded by removing specific field sources from the area of the 300-mm process chamber. In order to test these theories, magnetic shielding was use to isolate the process chamber 13 even from an ambient magnetic field and produced a substantial improvement, sufficient to account for the anomalous nonuniformity.
  • sputter nonuniformity during the deposition of a layer on a substrate arises from impacts by electrons in the plasma.
  • the plasma species includes a mixture of electrons and ionic particles, each of which has an energy distribution. Electrons with a mean expected kinetic energy on the order of 5 eV thus have a cyclotron radius on the order of 100 mm when the field strength is on the order of 0.5 gauss.
  • Attenuation of fields less than 0.5 gauss within the process chamber 13 is achieved by shielding the nonmagnetic process chamber 13 with high-magnetic-permeability ⁇ sheet metal.
  • the magnetic permeability of a metal is understood to refer to the ratio of magnetic flux induced in the metal to the strength of the magnetic field that induces that flux. Accordingly, a shield's high permeability ensures that magnetic flux will be concentrated in the shield rather than within the nonmagnetic process chamber 13 , thereby achieving the desired attenuation.
  • the shielding enclosure is preferably constructed to surround as much of the process chamber 13 as possible, but outside of any RF and/or ground shields that may be used as part of the substrate processing system 10 , so as not to affect the RF fields within the chamber.
  • the most effective shielding is one that encloses as much of the process chamber 13 as possible, but partial shields have also been observed to have a favorable effect on the process uniformity.
  • Each of these four commercially available materials is a soft alloy that has a permeability relative to the permeability of free space on the order of 10 4 -10 6 ; they comprise approximately 80 at. % Ni and 15 at. % Fe, and are balanced primarily with transition elements such as copper, molybdenum, or chromium, depending on the specific recipe used.
  • Mumetal® consists of 77 at. % Ni, 14 at. % Fe, 5 at. % Cu, and 4 at. % Mo. It has a magnetic permeability between approximately 6.0 ⁇ 10 4 and 2.4 ⁇ 10 5 , depending on the frequency of the magnetic field in which it is placed.
  • the Carpenter Hymu-80® alloy consists of 80 at. % Ni, 15 at.
  • Permalloy® consists of 78 at. % Ni, 16.6 at. % Fe, 4.8 at. % Mo, and 0.9 at. % Mn.
  • shielding materials are substantially different from ground or RF shields that may also be used within the substrate processing system 10 .
  • RF shielding is used to block high-frequency ( ⁇ 100 kHz) interference fields.
  • Such shields are typically constructed of copper, aluminum, galvanized steel, or conductive rubber, plastic, or paints.
  • the high electrical conductivity of such materials, with small ( ⁇ 1) permeabilities, makes them suitable for blocking electromagnetic signals at high frequency. Accordingly, by positioning the high-permeability shield outside of RF and/or ground shields, the operation of the substrate processing system 10 is not impeded in any way as a result of field attenuation from external or ambient fields having a strength ⁇ 0.5 gauss.
  • the shape and configuration of the substrate processing system 10 may impose limitations on the extent to which the process chamber 13 can be enclosed by the magnetic shield, but in order to attenuate the fields less than about 0.5 gauss as much as possible, it is preferable to enclose as much of the process chamber 13 as practicable. Less attenuation of such fields permits more plasma electrons at the low end of their energy distribution to have a sufficiently small cyclotron radius to affect sputter uniformity. Even if the configuration of the substrate processing system 10 prevents complete enclosure of the process chamber 13 , however, partial shielding is still observed to have a favorable effect on process uniformity because it limits the portion of the plasma electron energy distribution that can have an effect.
  • An effective shield that limits the escape of flux can be formed by joining plates of the high-permeability material tightly, minimizing gaps between the plates.
  • One useful configuration is illustrated in FIG. 2.
  • a small angled piece 210 of high-permeability material is positioned to ensure that joined plates 220 and 230 have some overlap. Such positioning helps ensure that the magnetic field lines will not leak to the space enclosed by the shield. The possibility of such undesirable leakage is further decreased by welding the plates 220 and 230 to the angled piece 210 .

Abstract

A method and apparatus for forming a layer on a substrate in a process chamber during a plasma deposition process are provided. A plasma is formed in a process chamber, a process gas with precursor gases suitable for depositing the layer are flowed into the process chamber, and a magnetic field having a strength less than about 0.5 gauss is attenuated within the process chamber. Attenuation of such a magnetic field results in an improvement in the degree of process uniformity achieved during the deposition.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to the manufacture of integrated circuits on a substrate. More particularly, the invention relates to a method and apparatus for improving the process uniformity of plasma processing techniques used in such manufacture. [0001]
  • One of the primary steps in the fabrication of modern semiconductor devices is the formation of a thin film on a semiconductor substrate by chemical reaction of gases. Such a deposition process is referred to generally as chemical vapor deposition (“CVD”). Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film. Plasma-enhanced CVD (“PECVD”) techniques, on the other hand, promote excitation and/or dissociation of the reactant gases by the application of radio-frequency (“RF”) energy to a reaction zone near the substrate surface, thereby creating a plasma. The high reactivity of the species in the plasma reduces the energy required for a chemical reaction to take place, and thus lowers the temperature required for such CVD processes as compared to conventional thermal CVD processes. These advantages are further exploited by high-density-plasma (“HDP”) CVD techniques, in which a dense plasma is formed at low vacuum pressures so that the plasma species are even more reactive. [0002]
  • Any of these CVD techniques may be used to deposit conductive or insulative films as necessary during the fabrication of integrated circuits. It is generally desirable that the process for depositing such a film be uniform in all respects. Recently, there has been an economically motivated trend to increase the size of circular semiconductor wafers used in such CVD applications. Currently, wafers with diameters up to 300 mm are being used, up from about 200 mm in the recent past. While the increase in wafer diameter is economically advantageous, it also tends to increase the degree of nonuniformity introduced during deposition procedures. The effects of such nonuniformity are especially noticeable when larger wafers are used because the total wafer area varies as the square of its diameter. In particular, it has been observed that the sputter nonuniformity in an HDP-CVD process is significantly greater when the process is performed on a 300-mm wafer when compared with the process performed on a 200-mm wafer. Indications suggest that if economic considerations push towards the use of even larger wafers, the effects of sputter nonuniformity will be even greater. [0003]
  • Accordingly, it is desirable to have a method and apparatus that will generally improve process uniformity, particularly when larger-sized wafers are to be used. [0004]
  • SUMMARY OF THE INVENTION
  • The inventors have discovered that sputter nonuniformity in plasma deposition processes is affected by magnetic fields on the order of the geomagnetic field of 0.5 gauss or less. This field can be caused by permanent magnets in the vicinity of a deposition chamber or by the earth itself. One factor in the sputter nonuniformity is believed to result from impacts from electrons in the plasma. As wafer sizes increase so that they diameters exceed the order of the mean cyclotron radius of such electrons, the effect from this factor is enhanced. Since the electron cyclotron radius is inversely proportional to the strength of the ambient magnetic field, attenuation of a magnetic field having a strength less than about 0.5 gauss within the process chamber results in an increase in the cyclotron radius of the electrons, with a concomitant decrease in the degree of sputter nonuniformity. Accordingly, in a first embodiment of the invention, a method is provided for forming a layer on a substrate during a plasma deposition process by forming a plasma in a process chamber, flowing suitable deposition precursor gases into the process chamber, and limiting sputter nonuniformity by attenuating a magnetic field having a strength less than about 0.5 gauss within the process chamber. [0005]
  • In specific embodiments of the invention, the attenuation of such a magnetic field is achieved with a magnetic shield positioned to enclose at least a portion of the process chamber. In some of these embodiments, the permeability of the magnetic shield is greater than 10[0006] 4 times the permeability of free space. In one specific embodiment, an appropriate material for the magnetic shield that achieves the desired permeability comprises greater than 75 at. % nickel and greater than 12 at. % iron; it preferably also comprises greater than 4 at. % molybdenum.
  • The methods of the present invention may be used with a substrate processing system. Such a substrate processing system includes a nonmagnetized substrate processing chamber and a plasma-generating system operatively coupled to the processing chamber to generate a plasma within the substrate processing chamber. A magnetic shield is configured to enclose at least a portion of the process chamber for limiting sputter nonuniformity by attenuating a magnetic field having a strength less than about 0.5 gauss within the process chamber. [0007]
  • A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings.[0008]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a simplified diagram of one embodiment of a high-density plasma chemical vapor deposition system according to the present invention. [0009]
  • FIG. 1B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of FIG. 1A. [0010]
  • FIG. 1C is a simplified diagram of a monitor and light pen that may be used in conjunction with the exemplary CVD processing chamber of FIG. 1A. [0011]
  • FIG. 1D is a flow chart of an exemplary process control computer program product used to control the exemplary CVD processing chamber of FIG. 1A; [0012]
  • FIG. 2 shows a cross-sectional view of one embodiment of the invention in which the magnetic flux leakage of a high-permeability magnetic shield is minimized.[0013]
  • DESCRIPTION OF THE SPECIFIC EMBODIMENTS I. INTRODUCTION
  • Embodiments of the present invention are directed to a method and apparatus for improving the process uniformity during plasma CVD deposition processes. By enclosing the plasma chamber with a shield constructed from a high-magnetic-permeability material, a substantial improvement in process uniformity, particularly in sputter uniformity, is achieved. As explained in detail below, attenuation of magnetic fields on the order of 0.5 gauss or less within the process chamber reduces the sputter nonuniformity, leading to a general improvement in deposition characteristics. [0014]
  • II. EXEMPLARY SUBSTRATE PROCESSING SYSTEM
  • FIG. 1A illustrates one embodiment of a high density plasma chemical vapor deposition (HDP-CVD) [0015] system 10 in which a dielectric layer according to the present invention can be deposited. System 10 includes a chamber 13, a vacuum system 70, a source plasma system 80A, a bias plasma system 80B, a gas delivery system 33, and a remote plasma cleaning system 50.
  • The upper portion of [0016] chamber 13 includes a dome 14, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 14 defines an upper boundary of a plasma processing region 16. Plasma processing region 16 is bounded on the bottom by the upper surface of a substrate 17 and a substrate support member 18.
  • A [0017] heater plate 23 and a cold plate 24 surmount, and are thermally coupled to, dome 14. Heater plate 23 and cold plate 24 allow control of the dome temperature to within about ±10° C. over a range of about 100° C. to 200° C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • The lower portion of [0018] chamber 13 includes a body member 22, which joins the chamber to the vacuum system. A base portion 21 of substrate support member 18 is mounted on, and forms a continuous inner surface with, body member 22. Substrates are transferred into and out of chamber 13 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 13. Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 57 to a lower processing position 56 in which the substrate is placed on a substrate receiving portion 19 of substrate support member 18. Substrate receiving portion 19 includes an electrostatic chuck 20 that secures the substrate to substrate support member 18 during substrate processing. In a preferred embodiment, substrate support member 18 is made from an aluminum oxide or aluminum ceramic material.
  • [0019] Vacuum system 70 includes throttle body 25, which houses twin-blade throttle valve 26 and is attached to gate valve 27 and turbo-molecular pump 28. It should be noted that throttle body 25 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 27 can isolate pump 28 from throttle body 25, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 26 is fully open. The arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures from between about 1 millitorr to about 2 torr.
  • The [0020] source plasma system 80A includes a top coil 29 and side coil 30, mounted on dome 14. A symmetrical ground shield (not shown) reduces electrical coupling between the coils. Top coil 29 is powered by top source RF (SRF) generator 31A, whereas side coil 30 is powered by side SRF generator 31B, allowing independent power levels and frequencies of operation for each coil. This dual coil system a lows control of the radial ion density in chamber 13, thereby improving plasma uniformity. Side coil 30 and top coil 29 are typically inductively driven, which does not require a complimentary electrode. In a specific embodiment, the top source RF generator 31A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 31B provides up to 5,000 watts of RF power at nominally 2 MHz. The operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • A [0021] bias plasma system 80B includes a bias RF (“BRF”) generator 31C and a bias matching network 32C. The bias plasma system 80B capacitively couples substrate portion 17 to body member 22, which act as complimentary electrodes. The bias plasma system 80B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 80A to the surface of the substrate. In a specific embodiment, bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
  • [0022] RF generators 31A and 31B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art. RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator. Because the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • [0023] Matching networks 32A and 32B match the output impedance of generators 31A and 31B with their respective coils 29 and 30. The RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes. The RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit. One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network, is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • Other measures may also help stabilize a plasma. For example, the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer. [0024]
  • A [0025] gas delivery system 33 provides gases from several sources, 34A-34F chamber for processing the substrate via gas delivery lines 38 (only some of which are shown). As would be understood by a person of skill in the art, the actual sources used for sources 34A-34F and the actual connection of delivery lines 38 to chamber 13 varies depending on the deposition and cleaning processes executed within chamber 13. Gases are introduced into chamber 13 through a gas ring 37 and/or a top nozzle 45. FIG. 1B is a simplified, partial cross-sectional view of chamber 13 showing additional details of gas ring 37.
  • In one embodiment, first and second gas sources, [0026] 34A and 34B, and first and second gas flow controllers, 35A′ and 35B′, provide gas to ring plenum 36 in gas ring 37 via gas delivery lines 38 (only some of which are shown). Gas ring 37 has a plurality of source gas nozzles 39 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber. In a preferred embodiment, gas ring 37 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • [0027] Gas ring 37 also has a plurality of oxidizer gas nozzles 40 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 39, and in one embodiment receive gas from body plenum 41. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 13. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 13 by providing apertures (not shown) between body plenum 41 and gas ring plenum 36. In one embodiment, third and fourth gas sources, 34C and 34D, and third and fourth gas flow controllers, 35C and 35D′, provide gas to body plenum via gas delivery lines 38. Additional valves, such as 43B (other valves not shown), may shut off gas from the flow controllers to the chamber.
  • In embodiments where flammable, toxic, or corrosive gases are used, it may be desirable to eliminate gas remaining in the gas delivery lines after a deposition. This may be accomplished using a 3-way valve, such as valve [0028] 43B, to isolate chamber 13 from delivery line 38A and to vent delivery line 38A to vacuum foreline 44, for example. As shown in FIG. 1A, other similar valves, such as 43A and 43C, may be incorporated on other gas delivery lines. Such 3-way valves may be placed as close to chamber 13 as practical, to minimize the volume of the unvented gas delivery line (between the 3-way valve and the chamber). Additionally, two-way (on-off) valves (not shown) may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • Referring again to FIG. 1A, [0029] chamber 13 also has top nozzle 45 and top vent 46. Top nozzle 45 and top vent 46 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters. Top vent 46 is an annular opening around top nozzle 45. In one embodiment, first gas source 34A supplies source gas nozzles 39 and top nozzle 45. Source nozzle MFC 35A′ controls the amount of gas delivered to source gas nozzles 39 and top nozzle MFC 35A controls the amount of gas delivered to top gas nozzle 45. Similarly, two MFCs 35B and 35B′ may be used to control the flow of oxygen to both top vent 46 and oxidizer gas nozzles 40 from a single source of oxygen, such as source 34B. The gases supplied to top nozzle 45 and top vent 46 may be kept separate prior to flowing the gases into chamber 13, or the gases may be mixed in top plenum 48 before they flow into chamber 13. Separate sources of the same gas may be used to supply various portions of the chamber.
  • A remote microwave-generated [0030] plasma cleaning system 50 is provided to periodically clean deposition residues from chamber components. The cleaning system includes a remote microwave generator 51 that creates a plasma from a cleaning gas source 34E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 53. The reactive species resulting from this plasma are conveyed to chamber 13 through cleaning gas feed port 54 via applicator tube 55. The materials used to contain the cleaning plasma (e.g., cavity 53 and applicator tube 55) must be resistant to attack by the plasma. The distance between reactor cavity 53 and feed port 54 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 53. Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 20, do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process. In one embodiment, this cleaning system is used to dissociate atoms of the etchant gas remotely, which are then supplied to the process chamber 13. In another embodiment, the etchant gas is provided directly to the process chamber 13. In still a further embodiment, multiple process chambers are used, with deposition and etching steps being performed in separate chambers.
  • [0031] System controller 60 controls the operation of system 10. In a preferred embodiment, controller 60 includes a memory 62, such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown) coupled to a processor 61. The card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown). The system controller conforms to the Versa Modular European (“VME”) standard, which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and 24-bit address bus. System controller 31 operates under the control of a computer program stored on the hard disk drive or through other computer programs, such as programs stored on a removable disk. The computer program dictates, for example, the timing, mixture of gases, RF power levels and other parameters of a particular process. The interface between a user and the system controller is via a monitor, such as a cathode ray tube (“CRT”) 65, and a light pen 66, as depicted in FIG. 1C.
  • FIG. 1C is an illustration of a portion of an exemplary system user interface used in conjunction with the exemplary CVD processing chamber of FIG. 1A. [0032] System controller 60 includes a processor 61 coupled to a computer-readable memory 62. Preferably, memory 62 may be a hard disk drive, but memory 62 may be other kinds of memory, such as ROM, PROM, and others.
  • [0033] System controller 60 operates under the control of a computer program 63 stored in a computer-readable format within memory 62. The computer program dictates the timing, temperatures, gas flows, RF power levels and other parameters of a particular process. The interface between a user and the system controller is via a CRT monitor 65 and a light pen 66, as depicted in FIG. 1C. In a preferred embodiment, two monitors, 65 and 65A, and two light pens, 66 and 66A, are used, one mounted in the clean room wall (65) for the operators and the other behind the wall (65A) for the service technicians. Both monitors simultaneously display the same information, but only one light pen (e.g. 66) is enabled. To select a particular screen or function, the operator touches an area of the display screen and pushes a button (not shown) on the pen. The touched area confirms being selected by the light pen by changing its color or displaying a new menu, for example.
  • The computer program code can be written in any conventional computer-readable programming language such as 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and is stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code causing the computer system to load the code in memory. The CPU reads the code from memory and executes the code to perform the tasks identified in the program. [0034]
  • FIG. 1D shows an illustrative block diagram of the hierarchical control structure of [0035] computer program 100. A user enters a process set number and process chamber number into a process selector subroutine 110 in response to menus or screens displayed on the CRT monitor by using the light pen interface. The process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. Process selector subroutine 110 identifies (i) the desired process chamber in a multichamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to conditions such as process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered utilizing the light pen/CRT monitor interface.
  • The signals for monitoring the process are provided by the analog and digital input boards of [0036] system controller 60, and the signals for controlling the process are output on the analog and digital output boards of system controller 60.
  • A [0037] process sequencer subroutine 120 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 110 and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a single user can enter multiple process set numbers and process chamber numbers; sequencer subroutine 120 schedules the selected processes in the desired sequence. Preferably, sequencer subroutine 120 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, sequencer subroutine 120 can be designed to take into consideration the “age” of each particular user-entered request, or the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • After [0038] sequencer subroutine 120 determines which process chamber and process set combination is going to be executed next, sequencer subroutine 120 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 130A-C, which controls multiple processing tasks in chamber 13 and possibly other chambers (not shown) according to the process set sent by sequencer subroutine 120.
  • Examples of chamber component subroutines are [0039] substrate positioning subroutine 140, process gas control subroutine 150, pressure control subroutine 160, and plasma control subroutine 170. Those having ordinary skill in the art will recognize that other chamber control subroutines can be included depending on what processes are selected to be performed in chamber 13. In operation, chamber manager subroutine 130A selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. Chamber manager subroutine 130A schedules process component subroutines in the same manner that sequencer subroutine 120 schedules the process chamber and process set to execute. Typically, chamber manager subroutine 130A includes steps of monitoring the various chamber components. determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Operation of particular chamber component subroutines will now be described with reference to FIGS. 1A and 1D. [0040] Substrate positioning subroutine 140 comprises program code for controlling chamber components that are used to load a substrate onto substrate support number 18. Substrate positioning subroutine 140 may also control transfer of a substrate into chamber 13 from, e.g., a plasma-enhanced CVD (“PECVD”) reactor or other reactor in the multi-chamber system, after other processing has been completed.
  • Process [0041] gas control subroutine 150 has program code for controlling process gas composition and flow rates. Subroutine 150 controls the open/close position of the safety shut-off valves and also ramps up/ramps down the mass flow controllers to obtain the desired gas flow rates. All chamber component subroutines, including process gas control subroutine 150, are invoked by chamber manager subroutine 130A. Subroutine 150 receives process parameters from chamber manager subroutine 130A related to the desired gas flow rates.
  • Typically, process [0042] gas control subroutine 150 opens the gas supply lines, and repeatedly (i) reads the necessary mass flow controllers, (ii) compares the readings to the desired flow rates received from chamber manager subroutine 130A, and (iii) adjusts the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 150 may include steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
  • In some processes, an inert gas, such as argon, is flowed into [0043] chamber 13 to stabilize the pressure in the chamber before reactive process gases are introduced. For these processes, the process gas control subroutine 150 is programmed to include steps for flowing the inert gas into chamber 13 for an amount of time necessary to stabilize the pressure in the chamber. The steps described above may then be carried out.
  • Additionally, when a process gas is to be vaporized from a liquid precursor, for example, tetraethylorthosilane (TEOS), the process [0044] gas control subroutine 150 may include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly or for introducing the helium to a liquid injection valve. For this type of process, the process gas control subroutine 150 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature to obtain the desired process gas flow rates. As discussed above, the desired process gas flow rates are transferred to process gas control subroutine 150 as process parameters.
  • Furthermore, the process [0045] gas control subroutine 150 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.
  • The process [0046] gas control subroutine 150 may also control the flow of heat-transfer gas, such as helium (He), through the inner and outer passages in the wafer chuck with an independent helium control (IHC) subroutine (not shown). The gas flow thermally couples the substrate to the chuck. In a typical process, the wafer is heated by the plasma and the chemical reactions that form the layer, and the He cools the substrate through the chuck, which may be water-cooled. This keeps the substrate below a temperature that may damage preexisting features on the substrate.
  • [0047] Pressure control subroutine 160 includes program code for controlling the pressure in chamber 13 by regulating the size of the opening of throttle valve 26 in the exhaust portion of the chamber. There are at least two basic methods of controlling the chamber with the throttle valve. The first method relies on characterizing the chamber pressure as it relates to, among other things, the total process gas flow, the size of the process chamber, and the pumping capacity. The first method sets throttle valve 26 to a fixed position. Setting throttle valve 26 to a fixed position may eventually result in a steady-state pressure.
  • Alternatively, the chamber pressure may be measured, with a manometer for example, and the position of [0048] throttle valve 26 may be adjusted according to pressure control subroutine 360, assuming the control point is within the boundaries set by gas flows and exhaust capacity. The former method may result in quicker chamber pressure changes, as the measurements, comparisons, and calculations associated with the latter method are not invoked. The former method may be desirable where precise control of the chamber pressure is not required, whereas the latter method may be desirable where an accurate, repeatable, and stable pressure is desired, such as during the deposition of a layer.
  • When [0049] pressure control subroutine 160 is invoked, the desired, or target, pressure level is received as a parameter from chamber manager subroutine 130A. Pressure control subroutine 160 measures the pressure in chamber 13 by reading one or more conventional pressure manometers connected to the chamber; compares the measured value(s) to the target pressure; obtains proportional, integral, and differential (PID) values from a stored pressure table corresponding to the target pressure, and adjusts throttle valve 26 according to the PID values obtained from the pressure table. Alternatively, pressure control subroutine 160 may open or close throttle valve 26 to a particular opening size to regulate the pressure in chamber 13 to a desired pressure or pressure range.
  • [0050] Plasma control subroutine 170 comprises program code for controlling the frequency and power output setting of RF generators 31A and 31B and for tuning matching networks 32A and 32B. Plasma control subroutine 370, like the previously described chamber component subroutines, is invoked by chamber manager subroutine 330A.
  • An example of a system that may incorporate some or all of the subsystems and routines described above would be the ULTIMA™ system, manufactured by APPLIED MATERIALS, INC., of Santa Clara, Calif., configured to practice the present invention. Further details of such a system are disclosed in the copending, commonly assigned U.S. patent application No. 08/679,927, filed Jul. 15, 1996, entitled “Symmetric Tunable Inductively-Coupled HDP-CVD Reactor,” having Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha listed as co-inventors, the disclosure of which is incorporated herein by reference. The described system is for exemplary purpose only. It would be a matter of routine skill for a person of skill in the art to select an appropriate conventional substrate processing system and computer control system to implement the present invention. [0051]
  • III. MAGNETIC SHIELDING
  • In response to recent trends towards the use of larger semiconductor wafers, the inventors were tasked with developing deposition processes for chambers to accommodate 300-mm wafers. During this development for plasma-based processes, the inventors were faced with unexpectedly large film uniformity problems. Such problems were encountered independent of the material being deposited and were observed, for example, when depositing undoped silicate glass (USG) or fluorinate silicate glass (FSG). [0052]
  • Over a period of time, various approaches that had been successful in improving the process uniformity for 200-mm wafers were attempted, including adjusting the deposition parameters and bias characteristics of the process. While various of these approaches had some effect, they generally affected the process uniformity only to the degree expected from previous experience with 200-mm wafers and were unable to correct the anomalous nonuniformity seen with 300-mm wafers. After excluding these various approaches, the inventors hypothesized that the presence of a spurious magnetic field might be adversely affecting the process characteristics. They therefore sought to confirm this hypothesis by removing magnetic sources from the vicinity of the process 300-mm process chamber, such magnetic sources generally having a field strength greater than about 0.5 gauss, which is of the order of the geomagnetic field. Even after carefully excluding magnetic sources potentially having producing fields greater than about 0.5 gauss, however, the anomalous nonuniformity nevertheless persisted. [0053]
  • After further effort, the inventors therefore theorized that the anomaly might arise from a magnetic source having a field strength less than about 0.5 gauss. For the reasons expressed below on the basis of processing smaller wafers, such field strengths were believed to be sufficiently small not to have a significant effect on process characteristics. It was further hypothesized that the anomaly might result from an ambient magnetic field that could not be excluded by removing specific field sources from the area of the 300-mm process chamber. In order to test these theories, magnetic shielding was use to isolate the [0054] process chamber 13 even from an ambient magnetic field and produced a substantial improvement, sufficient to account for the anomalous nonuniformity. The use of magnetic shielding on plasma deposition chambers had previously been restricted to reducing the effect of purely local magnetic fields produced by known permanent magnets having field strengths greater than about 0.5 gauss in the vicinity of the process chamber 13. The inventors' discovery is that, even in the absence of locally induced magnetic fields with such strengths, process uniformity is improved by configuring a high-magnetic-permeability shield around a nonmagnetic process chamber. This suggests that the spurious nonuniformity is manifested with 300-mm wafers for smaller fields than was the case for smaller-diameter wafers. These field strengths may be caused by permanent magnets in the vicinity of the chamber or by the earth itself.
  • There are several subsequently developed experimental and theoretical considerations that support this hypothesis. During a plasma deposition process, sputter nonuniformity during the deposition of a layer on a substrate arises from impacts by electrons in the plasma. The cyclotron radius for an electron moving in a magnetic field with strength B is given by r[0055] cyc=mv/eB, where m and e are the electron mass and charge, and v is the electron's velocity. Under normal deposition conditions for the plasma chamber 13 described above, the plasma species includes a mixture of electrons and ionic particles, each of which has an energy distribution. Electrons with a mean expected kinetic energy on the order of 5 eV thus have a cyclotron radius on the order of 100 mm when the field strength is on the order of 0.5 gauss.
  • It is thus evident that while the effect on process uniformity of fields having a strength on the order of 0.5 gauss or less should be small for wafers with a diameter less than this cyclotron radius (i.e. for d[0056] wafer≲100 mm), the effect is increased for wafers with larger diameters. It is believed this is why the process exhibited significant nonuniformity for 300-mm wafers, but was not previously recognized for the smaller 100-mm and 200-mm wafers. The ionic particles in the plasma have a much larger mass than the electrons, and their cyclotron radius is therefore expected to be thousands of times larger. As a result, they have little effect on the sputter uniformity. While the above description of the sputter nonuniformity mechanism has focussed on high-density plasma deposition, for which “high density” is understood to refer to a plasma with an ion density exceeding 1011 ions/cm3, the result that the sputter nonuniformity mechanism is dominated by electron activity is generally applicable to any plasma deposition process.
  • This mechanism has been confirmed qualitatively with experiments in which a small magnetization was deliberately introduced to the plasma. The introduction of a magnetization that corresponds to what would result from field strengths on the order of 0.5 gauss was observed to produce noticeable effects on sputtering uniformity when depositing layers on 300-mm wafers in an HDP-CVD process chamber. With careful observation, the effect could also be seen when depositing layers on 200-mm wafers, but was significantly smaller. It is thus apparent that the influence of magnetic fields less than about 0.5 gauss should be addressed in order to maintain desired process uniformity characteristics as wafer sizes are increased above 200 mm. In terms of the sputter mechanism described above, attenuation of such small fields results in an increase in the cyclotron radius of the plasma electrons. When the mean electron cyclotron radius approximately exceeds the wafer diameter, the sputter nonuniformity decreases. [0057]
  • In one embodiment of the invention, attenuation of fields less than 0.5 gauss within the [0058] process chamber 13 is achieved by shielding the nonmagnetic process chamber 13 with high-magnetic-permeability μ sheet metal. The magnetic permeability of a metal is understood to refer to the ratio of magnetic flux induced in the metal to the strength of the magnetic field that induces that flux. Accordingly, a shield's high permeability ensures that magnetic flux will be concentrated in the shield rather than within the nonmagnetic process chamber 13, thereby achieving the desired attenuation. The shielding enclosure is preferably constructed to surround as much of the process chamber 13 as possible, but outside of any RF and/or ground shields that may be used as part of the substrate processing system 10, so as not to affect the RF fields within the chamber. As discussed below, the most effective shielding is one that encloses as much of the process chamber 13 as possible, but partial shields have also been observed to have a favorable effect on the process uniformity.
  • When a high-μ material is placed in a magnetic field, the local magnetic flux is diverted to the material, causing the desired reduction in field strength. Because the extent to which flux is diverted is proportional to the permeability of the material, continually greater improvement in shielding results with an increase in the permeability of the shielding material. Materials that have suitably high permeabilities to shield the [0059] process chamber 13 from the magnetic fields on the order of 0.5 gauss or less include Mumetal®, Hipernom®, HyMu-80®, and Permalloy®, although any material with an appropriately high permeability may be used. Each of these four commercially available materials is a soft alloy that has a permeability relative to the permeability of free space on the order of 104-106; they comprise approximately 80 at. % Ni and 15 at. % Fe, and are balanced primarily with transition elements such as copper, molybdenum, or chromium, depending on the specific recipe used. For example, Mumetal® consists of 77 at. % Ni, 14 at. % Fe, 5 at. % Cu, and 4 at. % Mo. It has a magnetic permeability between approximately 6.0×104 and 2.4×105, depending on the frequency of the magnetic field in which it is placed. The Carpenter Hymu-80® alloy consists of 80 at. % Ni, 15 at. % Fe, 4.2 at. % Mo, 0.5 at. % Mn, 0.35 at. % Si, and 0.02 at. % C. Permalloy® consists of 78 at. % Ni, 16.6 at. % Fe, 4.8 at. % Mo, and 0.9 at. % Mn.
  • As will be appreciated by those of skill in the art, such shielding materials are substantially different from ground or RF shields that may also be used within the [0060] substrate processing system 10. RF shielding is used to block high-frequency (≳100 kHz) interference fields. Such shields are typically constructed of copper, aluminum, galvanized steel, or conductive rubber, plastic, or paints. The high electrical conductivity of such materials, with small (˜1) permeabilities, makes them suitable for blocking electromagnetic signals at high frequency. Accordingly, by positioning the high-permeability shield outside of RF and/or ground shields, the operation of the substrate processing system 10 is not impeded in any way as a result of field attenuation from external or ambient fields having a strength≲0.5 gauss.
  • Experimental observations of 300-mm wafers deposited with layers while high-permeability magnetic shielding is in place confirm directly that the sputter nonuniformity is decreased. Careful observations of deposited 200-mm wafers also reveal a beneficial effect from the shielding, although, as expected, the effect is less significant than for the larger wafers. In constructing the high-permeability shield, it is preferable to use a shielding configuration that affords a complete path for the field lines; otherwise there is the possibility that the field lines will exit the material in a place where they will cause unintended and undesirable interference with the operation of the [0061] substrate processing system 10. The shape and configuration of the substrate processing system 10 may impose limitations on the extent to which the process chamber 13 can be enclosed by the magnetic shield, but in order to attenuate the fields less than about 0.5 gauss as much as possible, it is preferable to enclose as much of the process chamber 13 as practicable. Less attenuation of such fields permits more plasma electrons at the low end of their energy distribution to have a sufficiently small cyclotron radius to affect sputter uniformity. Even if the configuration of the substrate processing system 10 prevents complete enclosure of the process chamber 13, however, partial shielding is still observed to have a favorable effect on process uniformity because it limits the portion of the plasma electron energy distribution that can have an effect.
  • An effective shield that limits the escape of flux can be formed by joining plates of the high-permeability material tightly, minimizing gaps between the plates. One useful configuration is illustrated in FIG. 2. A small [0062] angled piece 210 of high-permeability material is positioned to ensure that joined plates 220 and 230 have some overlap. Such positioning helps ensure that the magnetic field lines will not leak to the space enclosed by the shield. The possibility of such undesirable leakage is further decreased by welding the plates 220 and 230 to the angled piece 210.
  • Those of ordinary skill in the art will realize that the material used to shield the process chamber may have different compositions and may be configured differently without departing from the spirit of the invention. Other variations will also be apparent to persons of skill in the art. These equivalents and alternatives are intended to be included within the scope of the present invention. Therefore, the scope of this invention should not be limited to the embodiments described, but should instead be defined by the following claims. [0063]

Claims (25)

What is claimed is:
1. A method for forming a layer on a substrate in a nonmagnetized process chamber during a plasma deposition process, the method comprising:
(a) forming a plasma in the process chamber;
(b) flowing a process gas suitable for depositing the layer on the substrate into the process chamber; and
(c) limiting sputter nonuniformity by attenuating a magnetic field having a strength less than about 0.5 gauss within the process chamber.
2. The method according to claim 1 wherein the plasma is a high-density plasma.
3. The method according to claim 1 wherein the substrate is a circular wafer with a diameter greater than 200 mm.
4. The method according to claim 3 wherein the diameter of the circular wafer is substantially equal to 300 mm.
5. The method according to claim 1 wherein the step of limiting sputter nonuniformity by attenuating the magnetic field is performed with a magnetic shield that at least partially encloses the process chamber.
6. The method according to claim 5 wherein the magnetic shield has a magnetic permeability greater than 104 times the magnetic permeability of free space.
7. The method according to claim 5 wherein the magnetic shield comprises greater than 75 at. % Ni and greater than 12 at. % Fe.
8. The method according to claim 7 wherein the magnetic shield further comprises greater than 4 at. % Mo.
9. The method according to claim 5 wherein the magnetic shield encloses substantially all of the process chamber.
10. The method according to claim 1 wherein the magnetic field is the geomagnetic field.
11. A method for forming a layer on a circular wafer having a diameter greater than 200 mm in a nonmagnetized process chamber during a high-density-plasma deposition process, the method comprising:
(a) forming a plasma in the process chamber;
(b) flowing a process gas suitable for depositing the layer on the substrate into the process chamber; and
(c) limiting sputter nonuniformity by attenuating a magnetic field having a strength less than about 0.5 gauss within the process chamber with a magnetic shield having a magnetic permeability greater than 104 times the magnetic permeability of free space.
12. The method according to claim 11 wherein the magnetic shield comprises greater than 75 at. % Ni, greater than 12 at. % Fe, and greater than 4 at % Mo.
13. The method according to claim 11 wherein the diameter of the circular wafer is approximately 300 mm or greater.
14. The method according to claim 10 wherein the magnetic field is the geomagnetic field.
15. A substrate processing system comprising:
(a) a nonmagnetized substrate processing chamber;
(b) a plasma-generating system operatively coupled to the processing chamber to generate a plasma within the substrate processing chamber; and
(c) a magnetic shield configured to enclose at least a portion of the process chamber for limiting sputter nonuniformity by attenuating a magnetic field having a field strength less than about 0.5 gauss within the process chamber.
16. The substrate processing system according to claim 15 wherein the substrate processing chamber is sized configured to hold a circular wafer with a diameter greater than 200 mm.
17. The substrate processing system according to claim 16 wherein the substrate processing chamber is sized and configured to hold a circular wafer with a diameter substantially equal to 300 mm.
18. The substrate processing system according to claim 15 wherein the plasma is a high-density plasma.
19. The substrate processing system according to claim 15 wherein the magnetic shield has a magnetic permeability greater than 104 times the magnetic permeability of free space.
20. The substrate processing system according to claim 15 wherein the magnetic shield comprises greater than 75 at. % Ni and greater than 12 at. % Fe.
21. The substrate processing system according to claim 20 wherein the magnetic shield further comprises greater than 4 at. % Mo.
22. The substrate processing system according to claim 15 wherein the magnetic shield encloses substantially all of the process chamber.
23. A substrate processing system comprising:
(a) a nonmagnetized substrate processing chamber sized and configured to hold a circular wafer having a diameter greater than 200 mm;
(b) a high-density plasma generating system operatively coupled to the processing chamber to generate a plasma within the substrate processing chamber; and
(c) a magnetic shield having a magnetic permeability greater than 104 times the magnetic permeability of free space and configured to enclose at least a portion of the process chamber for limiting sputter nonuniformity by attenuating a magnetic field having a field strength less than about 0.5 gauss within the process chamber.
24. The substrate processing system according to claim 23 wherein the magnetic shield comprises greater than 75 at. % Ni, greater than 12 at. % Fe, and greater than 4 at. % Mo.
25. The substrate processing system according to claim 23 wherein the diameter of the circular wafer is approximately 300 mm or greater.
US09/800,798 2001-03-07 2001-03-07 High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers Expired - Lifetime US6447651B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/800,798 US6447651B1 (en) 2001-03-07 2001-03-07 High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/800,798 US6447651B1 (en) 2001-03-07 2001-03-07 High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers

Publications (2)

Publication Number Publication Date
US6447651B1 US6447651B1 (en) 2002-09-10
US20020127350A1 true US20020127350A1 (en) 2002-09-12

Family

ID=25179388

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/800,798 Expired - Lifetime US6447651B1 (en) 2001-03-07 2001-03-07 High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers

Country Status (1)

Country Link
US (1) US6447651B1 (en)

Cited By (330)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281496A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281495A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
US20080026597A1 (en) * 2006-05-30 2008-01-31 Applied Materials, Inc. Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140425A3 (en) * 2006-05-30 2008-02-14 Applied Materials Inc Process chamber for dielectric gapfill
US20090061647A1 (en) * 2007-08-27 2009-03-05 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp ii process
US20090104789A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090104755A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US20090104791A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. A Delaware Corporation Methods for Forming a Silicon Oxide Layer Over a Substrate
US20090120464A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090208880A1 (en) * 2008-02-20 2009-08-20 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20110034035A1 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Stress management for tensile films
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
WO2018067463A1 (en) * 2016-10-03 2018-04-12 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US20030101353A1 (en) * 2001-10-31 2003-05-29 Tarquini Richard Paul Method, computer-readable medium, and node for detecting exploits based on an inbound signature of the exploit and an outbound signature in response thereto
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20070246354A1 (en) * 2006-04-19 2007-10-25 Maxim Integrated Products, Inc. Plasma systems with magnetic filter devices to alter film deposition/etching characteristics
US7746282B2 (en) * 2008-05-20 2010-06-29 Sensor Systems, Inc. Compact top-loaded, tunable fractal antenna systems for efficient ultrabroadband aircraft operation
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2195663B (en) 1986-08-15 1990-08-22 Nippon Telegraph & Telephone Chemical vapour deposition method and apparatus therefor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (en) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Plasma cvd method for using pulsed waveform
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
KR100255703B1 (en) 1991-06-27 2000-05-01 조셉 제이. 스위니 Device of plasma using electromagnetic rf
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5897712A (en) * 1996-07-16 1999-04-27 Applied Materials, Inc. Plasma uniformity control for an inductive plasma source
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing

Cited By (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20070281495A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
US20070281496A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20080026597A1 (en) * 2006-05-30 2008-01-31 Applied Materials, Inc. Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140425A3 (en) * 2006-05-30 2008-02-14 Applied Materials Inc Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20090061647A1 (en) * 2007-08-27 2009-03-05 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp ii process
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8242031B2 (en) 2007-10-22 2012-08-14 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090104791A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. A Delaware Corporation Methods for Forming a Silicon Oxide Layer Over a Substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090104755A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US20090104789A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120464A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US20090208880A1 (en) * 2008-02-20 2009-08-20 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20110034035A1 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Stress management for tensile films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109844171A (en) * 2016-10-03 2019-06-04 应用材料公司 Method and apparatus for preventing the interference between processing chamber housing
WO2018067463A1 (en) * 2016-10-03 2018-04-12 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US11335577B2 (en) 2016-10-03 2022-05-17 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10438828B2 (en) 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US20230183856A1 (en) * 2016-12-15 2023-06-15 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2020-11-24 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
US6447651B1 (en) 2002-09-10

Similar Documents

Publication Publication Date Title
US6447651B1 (en) High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US20070062449A1 (en) Enhanced magnetic shielding for plasma-based semiconductor processing tool
US6869880B2 (en) In situ application of etch back for improved deposition into high-aspect-ratio features
US7036453B2 (en) Apparatus for reducing plasma charge damage for plasma processes
US7052552B2 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7049211B2 (en) In-situ-etch-assisted HDP deposition using SiF4
US7132134B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US6958112B2 (en) Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6929700B2 (en) Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7205240B2 (en) HDP-CVD multistep gapfill process
KR100611610B1 (en) Control of oxygen to silane ratio in a seasoning process to improve the particle performance in an hdp-cvd sytem
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US20030029837A1 (en) Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US6458722B1 (en) Controlled method of silicon-rich oxide deposition using HDP-CVD
US6890597B2 (en) HDP-CVD uniformity control

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ISHIKAWA, TETSUYA;NIAZI, KAVEH;TANAKA, TSUTOMU;AND OTHERS;REEL/FRAME:011616/0872;SIGNING DATES FROM 20010220 TO 20010301

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12