US20020127091A1 - Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers - Google Patents

Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers Download PDF

Info

Publication number
US20020127091A1
US20020127091A1 US10/047,340 US4734001A US2002127091A1 US 20020127091 A1 US20020127091 A1 US 20020127091A1 US 4734001 A US4734001 A US 4734001A US 2002127091 A1 US2002127091 A1 US 2002127091A1
Authority
US
United States
Prior art keywords
drive
assembly
arm
transfer
robot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/047,340
Inventor
Robert Lowrance
Howard Grunes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/047,340 priority Critical patent/US20020127091A1/en
Publication of US20020127091A1 publication Critical patent/US20020127091A1/en
Priority to US10/378,864 priority patent/US6799939B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Definitions

  • the present invention relates to an apparatus for transferring objects, and more particularly to multiple independent robot assemblies for the simultaneous and independent manipulation of multiple objects, such as semiconductor wafers.
  • robot arms are used to handle wafers during various process steps. Such process steps include those which occur in a reaction chamber, e.g. etching, deposition, passivation, etc., where a sealed environment must be maintained to limit the likelihood of contamination and to ensure that various specific processing conditions are provided.
  • a reaction chamber e.g. etching, deposition, passivation, etc.
  • a typical wafer handling sequence to switch wafers in a process chamber is to remove a wafer from a process chamber, store the wafer in a selected location, pick a new wafer from a storage location, and then place the new wafer in the process chamber.
  • a robot arm having the ability to handle two wafers at the same time may be provided.
  • some equipment manufacturers have provided a robot in which two carrier arms are located at opposed ends of a support, and the support is rotated about a pivot. In this way, one wafer may be stored on one arm while the other arm is used to retrieve and place a second wafer. The arms are then rotated and the stored wafer may be placed as desired.
  • Such a mechanism does not allow the two arms to be present in the same process chamber at the same time, nor does it allow for the immediate replacement of a fresh wafer in a process chamber after a processed wafer is removed, because the support must be rotated 180° to place the wafer on the second arm in a position for loading into the location from which the first wafer was removed. Likewise, simultaneous use of the two arms for placement or removal of wafers from process or storage positions is not possible with this configuration.
  • Another robot configuration includes a central hub having two opposed arms, each arm arranged for rotation relative to the hub while arcuately fixed In relation to one another.
  • a blade is linked to the free ends of the arms, and a drive is provided for rotating the arms in opposite directions from each other to extend the blade radially from the central hub, and in the same direction to effect a circular movement of the blade about the central hub.
  • a second pair of arms extend opposed from the first pair, on the ends of which is connected a second blade. Opposed rotation of the arms in one direction extends the first arm while retracting the second arm. Opposed rotation of the arms in the opposite direction results in retraction of the first arm and extension of the second arm.
  • the present Invention is a multiple robot assembly including at least co-axial upper and lower robot assemblies.
  • the upper robot operates independently of the lower robot to obtain improved throughput and increased wafer handling capacity of the robot assembly as compared to the prior art opposed dual blade robots.
  • the upper robot may be stacked above the lower robot and the two robots may be mounted concentrically to allow fast wafer transfer.
  • Each robot can be either a single blade robot or a dual blade robot.
  • each of the upper and lower robot assemblies is a dual blade robot including a pair of extendable arm assemblies located within a transfer chamber.
  • Each pair of extendable arm assemblies includes a corresponding pair of carrier blades for handling various objects, such as semiconductor wafers.
  • the upper robot may be provided with a drive mechanism for rotating the pair of extendable arm assemblies or for extending one of the arm assemblies into an adjacent chamber.
  • the lower robot may also be provided with a drive mechanism that is co-axial with the upper robot drive mechanism.
  • the lower robot drive mechanism may also function to either rotate the pair of extendable arm assemblies or to extend one of the arm assemblies into an adjacent chamber.
  • each of the upper and lower robot assemblies is a single blade robot including an extendable arm assembly located within a transfer chamber.
  • Each extendable arm assembly includes a corresponding carrier blade for handling various objects, such as semiconductor wafers.
  • the upper and lower robot assemblies may be provided with a drive mechanism for rotating the extendable arm assembly or for extending their arm assemblies into an adjacent chamber.
  • a central transfer chamber is linked to multiple object rest positions, and each of the rest positions may be independently and, if desired, simultaneously accessed by at least two robot assemblies.
  • a still further aspect of the present invention is an apparatus for holding a plurality of articles within a chamber, such as a pre-process chamber, process chamber or post-process chamber, with a pedestal centrally located and vertically movable therein.
  • the apparatus exhibits a wafer lifting and storing apparatus including a plurality of vertically movable lift pins surrounding the pedestal.
  • the lift pins are configured to receive and hold a plurality of stacked wafers, preferably two, therein.
  • Each one of the plurality of lift pins preferably comprises a lower lift pin segment exhibiting a lower wafer support surface proximal to the upper end thereof, and an upper lift pin segment hingedly connected to the lower lift pin segment and exhibiting an upper wafer support surface proximal to an upper end thereof.
  • Means for moving the upper lift pin segment between a position in which the lower lift pin segment is exposed and a position wherein the lower lift pin segment is covered by the upper lift pin segment may also be provided.
  • FIG. 1 is a side sectional view of a dual robot assembly according to a first embodiment of the present invention.
  • FIG. 2 is top sectional view of the dual robot assembly of FIG. 1, shown with all arm assemblies in the retracted position.
  • FIG. 3 is a side sectional view of the dual robot assembly of FIG. 2 at 3 - 3 .
  • FIG. 4 is a schematic plan view of a dual blade robot arm assembly of the dual robot assembly shown in FIGS. 1 - 3 .
  • FIG. 5 is a top sectional view of a dual robot assembly according to a second embodiment of the present invention.
  • FIG. 6 is side sectional view of the dual robot assembly of FIG. 5 at 6 - 6 .
  • FIG. 7 is a top sectional view of the dual robot assembly of FIGS. 5 - 6 , shown with the lower robot arm assembly In the extended position.
  • FIG. 8 is a top sectional view of a dual robot assembly according to a third embodiment of the present invention.
  • FIG. 9 is side sectional view of the dual robot assembly of FIG. 8 at 9 - 9 .
  • FIG. 10 is a schematic plan view of a single blade robot arm assembly of the dual robot assemblies shown in FIGS. 5 - 9 .
  • FIG. 11 is a top sectional view of a dual robot assembly according to a fourth embodiment of the present invention.
  • FIG. 12 is a partial side sectional view of the dual robot assembly of FIG. 11.
  • FIG. 13 is a top plan view of a semiconductor wafer processing system using a pair of dual robot assemblies as shown in FIG. 12.
  • FIG. 14 is a side sectional view of a wafer lift and support apparatus in a chamber of the semiconductor wafer processing system shown in FIG. 13.
  • FIG. 15A is a detailed side view of a portion of the lift pin assembly of the wafer lift and support apparatus of FIG. 14, shown in the closed position.
  • FIG. 15B is a detailed side view of a portion of the lift pin assembly of the wafer lift and support apparatus of FIG. 14, shown in the open position.
  • the present invention is a multiple robot assembly including at least independent co-axial upper and lower robot assemblies adapted to simultaneously handle multiple objects.
  • the upper robot is stacked above the lower robot and the two robots are mounted concentrically to a drive hub to allow simultaneous transfer of two wafers between a transfer chamber and one or more process chambers.
  • Concentric drive mechanisms may also be provided for imparting rotary motion to the connection of the robot assembly to the hub to either move the robot assembly in an orbital path about the hub, ie, in a sweeping motion, or to extend an extendable arm assembly of the robot assembly.
  • Each robot can be either a single blade robot or a dual blade robot.
  • the invention may preferably be used in a semiconductor wafer processing system.
  • the present invention allows multiple objects; such as semiconductor wafers, to be handled simultaneously for either extension or retraction, with respect to a process chamber position, or rotation about a hub, such that a wafer exchange in a process chamber can rapidly be made. For instance, a wafer which has just completed processing in a processing module can be withdrawn from the module while a fresh wafer is simultaneously being inserted into the same processing module, without the need to swing the robot arm to locate an opposed robot arm in position to insert a new wafer.
  • one robot can be inserting or withdrawing a wafer from one processing module while the other robot is independently inserting or withdrawing a wafer from another process module, even where the access to the two chambers are not co-linearly opposed (FIG. 7).
  • a wafer may be removed from a process module, and a new wafer inserted therein, without the need to rotate the robot assembly 180° to affect insertion of the new wafer into the module. It is also possible to use the dual robot assembly to simultaneously insert a pair of wafers into, or withdraw a pair of wafers from the same process module at the same time, provided adequate clearance is maintained between the stacked robot assemblies.
  • FIG. 1 one embodiment of a dual robot assembly 10 is shown in the context of a semiconductor wafer processing system, when one arm of each robot is shown extended into an adjacent chamber 18 a, while an opposed arm of each robot is fully retracted into the transfer chamber 12 from an additional chamber 18 a.
  • the exemplary processing system includes a transfer chamber 12 , within which the robot assembly 10 is mounted, which is connected to at least two additional chambers 18 a, 18 b.
  • the chambers 18 a, 18 b may be process chambers, wafer holding chambers, loadlock chambers, etc., into which wafers may be located for wafer processing and then removed.
  • the dual robot assembly 10 is centrally arranged within the transfer chamber 12 of the wafer processing system, wherein the upper robot 14 is preferably connected to an upper superstructure (or wall) 12 a of the transfer chamber 12 and a lower robot 16 is preferably connected to the bottom wall 12 b of the transfer chamber 12 .
  • the upper and lower robots 14 , 16 are arranged Within the transfer chamber 12 such that semiconductor wafers W (best shown in FIG.
  • valves 20 c, 20 b may be Vat® valves or vat type valves as shown in FIG. 1, alternatively, pocket valves, gate type valves, flap type valves, slit valves such as those shown and described in U.S. Pat.
  • FIG. 1 shows a dual robot assembly centrally located within the central transfer chamber of a multi chamber wafer processing system, with the system including two shown process or reaction chambers (other's being blocked by the robot or in the portion removed for sectional viewing).
  • the present invention is intended for many different applications, particularly those having multiple process and wafer handling chambers ported to a transfer chamber.
  • transfer chambers may be ganged together, with or without load-locked passages therebetween, and the robot(s) of the present invention may be located in one or all of the transfer chambers.
  • the exemplary embodiment should not be considered as limiting the scope of the invention.
  • the present invention is readily adapted for use with any wafer handling application, including process systems having any number of process chambers and any sort of orientation for the dual robot assembly.
  • a specific configuration of an upper robot 14 includes a first drive arm 21 and a second drive arm 22 arranged such that one end of each arm is independently coupled to a central hub 23 .
  • the end of each arm 21 , 22 coupled to the hub 23 may be moved independently of the other arm in either a clockwise or a counter-clockwise fashion about the hub 23 , enabling the arms 21 , 22 to be moved in either the same or opposed directions. Movement may be accomplished by any type of drive mechanism, such as an electrical, magnetic or electromagnetic motor or motors.
  • the drive mechanism is preferably configured to move drive arm 21 and drive arm 22 in either opposing directions or in the same direction.
  • the robot By moving the hub end of the arms 21 , 22 in the same direction about the hub axis A, the robot moves in a circular or orbital path about the hub. By moving the hub ends of the arms in opposite directions about the hub axis A, extension and retraction of the robot is affected.
  • arm 21 is moved clockwise (from a perspective above cover 12 a ) and arm 23 is rotated counterclockwise, the robot blade attached to the arms 21 , 23 extends from the hub. When the rotation is reversed, that blade retracts toward the hub.
  • each of the upper robot and lower robot assemblies are driven by separate magnetic coupling assemblies 1000 , 1002 (shown in FIG. 1).
  • the details of construction of each magnetic coupling assembly 1000 , 1002 are identical, except as noted herein. Therefore, only the construction of upper magnetic coupling assembly will be discussed.
  • Magnetic coupling assembly 1000 is configured to provide arcuate motion of arms 21 , 22 , about axis A, thereby enabling extension and retraction of the two robot blades 33 , 40 from the hub, and passage of the blades in a orbital path about the hub. Additionally, the magnetic coupling assembly 1000 provides this motion with minimal contacting moving parts within the vacuum to minimize particle generation in the vacuum.
  • these robot features are provided by fixing first and second syncro motors 1004 , 1006 in a housing 1008 located atop the transfer chamber, and coupling the output of the motors 1004 , 1006 to magnet ring assemblies 1010 , 1012 located inwardly and adjacent a thin walled section 1014 of housing 1008 .
  • the thin walled section 1014 is connected to the upper wall or cover 12 of the transfer chamber 10 at a sealed connection to seal the interior of the transfer chamber 10 from the environment outside of the chambers.
  • Driven magnet rings 1016 , 1018 are located on the vacuum side of housing 1008 , adjacent to and surrounding thin walled section 1014 of housing 1008 .
  • the first magnetic ring assembly 1010 magnetically couples to first driven magnetic ring assembly 1016 and the second magnetic ring 1012 couples to the second drives magnetic ring assembly 1018 .
  • Arms 20 , 21 are coupled to receptive ones of the driven magnet rings 1016 , 1018 .
  • rotary motion of the motors 1002 , 1004 is magnetically transferred from the atmospheric to vacuum side of the housing 1008 , to cause arcuate motion of arms 20 , 21 to affect movement of the robot blades 33 , 40 (FIG. 1).
  • the preferred motor 1004 , 1006 construction is a servo motor with a synchronous device, wherein a stator is coupled to a rotor and the arcuate position of the rotor may be closely controlled.
  • each motor 1004 , 1006 is attached to the housing 1008 , at support 1009 such that the rotors thereof are directly coupled to the first magnetic ring assembly 1010 and second magnetic ring assembly 1012 .
  • the output of motor 1004 is directly coupled to magnetic ring assembly 1010
  • motor 1006 is coupled to magnetic ring assembly 1012 by extending a shaft 1030 from the rotor of motor 1006 and through the center of motors 1004 , 1006 where it connects to second magnetic ring assembly 1012 .
  • Shaft 1030 is preferably pivotal and supported on bearing 1032 and internal bearings (not shown) in each motor 1004 , 1006 between each stator-rotor set ensure centering of the shaft 1030 .
  • Rotation of the motor output thereby causes rotation of the magnet ring assemblies 1010 , 1012 , which magnetically couple to driven magnetic ring assemblies 1016 , 1018 , thereby rotating the base of each arm around the perimeter of thin walled section 1014 to affect movement of the blades.
  • the support 1009 extends from between motors 1004 , 1006 to a flange 1011 which is connected to cover 12 a.
  • the support 1009 , flange 1011 and flange to support distance are sized, with respect to the size and tolerance of the robot assemblies, transfer chamber 12 and valves 20 , 20 a, to ensure that a blade 33 , 64 with a wafer W thereon will not contact the structure of the chambers or valves.
  • the first driven magnetic ring assembly 1018 includes an annular arm support 1040 , which is received over, and hangs on, race of a first bearing 1042 .
  • the first bearing 1042 is clamped, over its inner race, to the thin walled section 1014 .
  • the outer race of a second bearing 1044 is clamped to the ring 1040 , and ledge portion 1046 of the second magnetic ring assembly 1016 is clamped to race of the second bearing, securing the second driven magnetic ring assembly 1018 to the housing 1008 .
  • Each bearing is a “cross” type bearing, which provides radial and longitudinal, in this case elevational, support to ensure alignment and positioning of the driven magnetic ring assemblies 1016 , 1018 .
  • each magnet ring assembly 1010 , 1012 and driven magnetic ring assembly 1016 , 1018 preferably include an equal plurality of magnets, each magnet on the magnet ring assemblies 1010 , 1012 coupled to one magnet on the driven magnetic ring assembly 1016 , 1018 .
  • the magnets may be positioned with their poles aligned vertically, with pole pieces extending therefrom and toward the adjacent magnet to which it is coupled. The magnets which are coupled are flipped, magnetically, so that north pole to south pole coupling occurs at each pair of pole pieces located on either side of the thin walled section.
  • Lower robot assembly 16 is substantially identical in construction to upper robot assembly, except the housing 1008 ′ thereof is suspended from the transfer chamber 12 , and the driven magnetic ring assemblies 1016 ′, 1018 ′, are supported on bearings which rest upon the base of the transfer chamber 10 .
  • a pair of extendable arm assemblies are connected to the ends of the drive arms 21 , 22 to form a pair of compound articulated mechanisms which are sometimes referred to in the mechanical arts as frog-leg mechanisms.
  • the first extendable arm assembly includes a pair of strut arms 29 , 30 pivotally coupled to the ends of drive arms 21 , 22 , respectively, at respective pivot points 31 , 32 .
  • the strut arms 29 , 30 are coupled by pivots 34 , 35 to a first wafer carrier or robot blade 33 which forms the object support.
  • the second extendable arm assembly similarly includes a pair of strut arms 36 , 37 pivotally coupled to the ends of drive arms 21 , 22 respectively, at respective pivot points 38 , 39 .
  • the strut arms 36 , 37 in turn, are coupled by pivots 41 , 42 to a second wafer carrier or robot blade 40 .
  • Each strut arm 29 , 30 , 36 , 37 may include a meshing gear 49 , shown in FIG. 4, at an end within the carrier 40 (or 33 ) to maintain the carrier in rigid radial alignment with the hub 23 as the struts are pivoted during operation of the robot.
  • the first and second wafer carriers 33 , 40 are maintained 180° apart from each other about the axis of the hub. In FIG. 1, the wafer carrier 33 is shown in a fully extended position for delivering or retrieving a wafer from reaction chamber 18 a.
  • the lower robot 16 includes a first drive arm 51 and a second drive arm 52 arranged such that one end of each arm is coupled to a central hub 53 .
  • the manner of moving the components of the lower robot are identical to that described above in connection with the upper robot.
  • the drive mechanism of the lower robot is identical to that of the upper robot.
  • a pair of extendable arms assemblies are connected to the ends of the drive arms 51 , 52 to form a pair of frog-leg mechanisms.
  • the first extendable arm assembly includes a pair of strut arms 59 , 60 pivotally coupled to the ends of drive arms 51 , 52 , respectively, at respective pivot points 61 , 62 .
  • the strut arms 59 , 60 are coupled by pivots 64 , 65 to a first wafer carrier 63 .
  • the second extendable arm assembly similarly includes a pair of strut arms 66 , 67 pivotally coupled to the ends of drive arms 51 , 52 , respectively, at respective pivot points 68 , 69 .
  • the strut arms 66 , 67 are coupled by pivots 71 , 72 to a second wafer carrier 70 .
  • Each strut arm 59 , 60 , 66 , 67 may include a meshing gear 49 , shown in FIG. 4, at an end within the carrier 70 to maintain the carrier in rigid radial alignment with the hub 53 as the strut arms are pivoted during operation of the robot.
  • the first and second robot blades or carriers, 63 , 70 of each robot assembly are maintained 180° apart from each other about the axis of the hub. In FIG.
  • the wafer carrier 63 is shown in a fully extended position for delivering or retrieving a wafer from chamber 18 a and blade 70 is fully retracted from chamber 18 b, as is necessitated where a double frog-leg arrangement is used for each robot assembly.
  • both wafer carriers 63 , 70 are shown retracted from adjacent process chambers.
  • FIGS. 2 and 3 there is shown a detailed side sectional view of the dual robot assembly of FIG. 2 wherein, all four wafer carriers are in the retracted position and in a transfer chamber 12 .
  • FIG. 4 shows a simplified plan view of the dual robot assembly 10 .
  • the upper robot arm assemblies and lower arm assemblies are positioned, with respect to a slit valve 20 a aperture, such that a robot blade 33 or 63 (or 40 or 70 ) from the upper and lower robot assemblies may be passed through the slit valve 20 a aperture without the need to change the elevation of the robot arms with respect to the aperture.
  • an upper and lower robot carriers 33 and/or 38 may be passed through the aperture to affect wafer transport to or from the process chamber 18 without the need to swing a robot arm through a significant arc to position the second of the two carriers into a slit valve chamber access position.
  • the upper robot assembly 14 can operate completely independently of the lower robot assembly 16 , allowing the robot assemblies to transfer wafers to or from any chamber, including the same chamber, unimpeded by the presence of the other robot in the transfer chamber.
  • High speed wafer transfer may be accomplished by one robot removing a wafer from a process chamber while the other robot simultaneously inserts a fresh wafer into the same process chamber, or by enabling simultaneous loading or unloading of different chambers, or simultaneous transfer of a wafer in or out of a chamber while a second wafer is moving in a path about the hub and between chambers. Additionally, each robot may rotate entirely past the other, enabling any combination or movement of the upper carriers 33 , 40 with respect to the lower carriers 63 , 70 .
  • FIGS. 1 - 4 show each of the upper and lower robot assemblies 14 , 16 being configured as dual blade robots, i.e. two frog-leg mechanisms each connected to a separate wafer carrier, either the upper robot, the lower robot, or both can be a single blade robot.
  • FIGS. 5 - 10 a dual robot assembly is provided wherein both the upper and lower robots are single blade robots.
  • FIGS. 5 - 7 An alternative embodiment of the invention is shown in FIGS. 5 - 7 .
  • the dual robot assembly 110 is centrally arranged within the transfer chamber 112 of the reaction system as described with respect to FIGS. 1 to 4 , but a central column 120 spans the gap between the base and cover of the transfer chamber 20 .
  • This column 120 ensures that the spacing between the chamber cover and base will remain constant.
  • the column includes an annular clearance recess 121 , which provides space for the manipulation of the various arms and carriers of the two robot assemblies 14 , 16 .
  • the column is provided by extending the thin walled sections 114 , 114 ′ of the FIG. 1 embodiment through a necked down region across the gap between the robot assemblies 14 , 16 in the transfer chamber 10 .
  • the thin walled section is formed of nonmagnetic stainless or other material capable of having a magnetic field passed therethrough.
  • two robots 14 , 16 are provided in the transfer chamber, each robot with a single blade assembly. Each robot is unrestrained in motion by the other robot, and both robots have independent access to all process chambers surrounding the transfer chamber. According to one preferred use, the robots are capable of simultaneously removing two separate wafers from one or more loadlocks. High speed wafer transfer may be accomplished by one robot removing a processed wafer from a process chamber while the other robot simultaneously delivers a fresh wafer to the same process chamber, by simultaneously moving wafer in and out of adjacent or non-adjacent wafer cassettes, and by other simultaneous and independent movement of wafers through a multi-chamber process device. Additionally, each robot assembly 14 , 16 could be a dual blade assembly as shown and described with respect to FIGS. 1 - 4 .
  • FIGS. 8 to 10 a still further embodiment of the invention is shown, wherein the motors for the two robot assemblies 12 , 14 are suspended from the transfer chamber.
  • the motor outputs to the driving magnetic ring assemblies, 1010 , 1012 , 1010 ′, 1012 ′ for each robot assembly the outputs of the drive motors are coupled to staggered concentric shafts, each of which is coupled to a driving magnetic ring assembly.
  • the drive system includes four motors 1004 , 1005 , 1004 ′, 1006 ′, having an identical construction as the motor assemblies 1004 , 1006 previously described, coupled to a hub 1100 having the same general construction as the central column described with respect to FIGS. 5 to 7 .
  • motors 1004 , 1006 For driving the upper robot assembly are suspended below the motors 1004 ′, 1006 ′ for driving the lower robot assembly, and an additional pair of concentric shafts extend from the upper robot assembly motors 1004 , 1006 through the center of the lower robot assembly motors 1004 ′, 1006 ′ and the hub 1100 , wherein they are connected to magnet ring assemblies 1010 , 1012 as previously described.
  • FIG. 10 A simplified view of the single blade, dual independent embodiment of the invention is shown in FIG. 10, wherein the central column 120 is shrunken in size to better illustrate the linkages of the apparatus.
  • the drive motors 1004 , 1006 , 1004 ′, 1006 ′ are housed in an extended housing 1070 , connected to the underside of the transfer chamber 10 .
  • the housing 1070 includes a pair of motor support flanges 1072 , 1074 therein, to each of which one of upper robot assembly motors 1004 , 1006 or lower robot assemblies 1004 ′, 1006 ′ are connected for support and alignment.
  • the rotor, or output of motor 1004 ′ includes a drive flange 1080 extending therefrom and connected to the during ring assembly.
  • the flange 1080 provides support and rotation to the driven magnetic ring 1004 ′.
  • lower magnetic ring assembly motor 1006 ′ is coupled to a hollow shaft 1082 which extends through motors 1006 ′, 1004 ′ and flange 1080 where it attaches to lower magnetic ring assembly 1010 ′ which is supported on lower magnetic ring assembly 1012 ′ by a bearing 1084 .
  • Bearing 1084 is preferably piloted Into the ends of both shaft 1082 and flange 1080 .
  • a second shaft 1086 extends from motor 1006 , through shaft 1082 and the necked down portion of the hub 120 to upper magnetic ring assembly 1010 which is supported on a bearing 1088 connected to the upper surface of the magnetic ring assembly 1010 ′.
  • the output for motor 1004 is coupled to shaft 1090 which extends through shaft 1086 and connects to magnetic ring assembly 1012 supported on a bearing 1092 connecting magnetic ring assemblies 1010 , 1012 .
  • each robot assembly includes only a single robot blade, carrier or end effector.
  • Each embodiment of the drive system may be used with either a single or dual blade robot, and, if desired, a single and a dual blade robot may be used in a single transfer chamber.
  • each single blade robot assembly is provided with the same structure as the robot assemblies, including the drive arms 21 , 22 , ( 51 , 52 in the lower robot assembly 16 ) coupled to the motors 1004 , 1006 and magnetic coupling assemblies 1000 , 1002 but, only one pair of strut arms 29 , 30 (or, in the lower robot assembly, strut arms 29 ′, 30 ′) coupled to a carrier (blade or effector).
  • each robot assembly 14 , 16 can manipulate only a single robot blade, and thus only a single wafer, at any time.
  • FIG. 5 shows the retracted position for the robot assemblies
  • FIG. 7 shows the upper robot assembly 14 extended over the lower robot assembly 16 and into an adjacent process chamber.
  • FIGS. 11 & 12 A fourth embodiment of the dual robot assembly of the present invention is shown in FIGS. 11 & 12.
  • the dual robot assembly 200 includes a central hub 210 about which a pair of single blade robots 212 a, 212 b are rotatably mounted.
  • Each robot 212 a, 212 b includes a drive arm 214 a, 214 b and a secondary arm 216 a, 216 b which are connected to one another at a pivot joint 218 a, 218 b.
  • a robot blade 220 a, 220 b is provided with each robot 212 a, 212 b for cradling a wafer during transfer.
  • the robot blades 220 a, 220 b are connected to one end of the robot drive arm 214 a, 214 b at a pivot joint 222 a, 222 b and to one end of the secondary arm 216 a, 216 b at a second pivot joint 224 a, 224 b.
  • the end effectors 220 a, 220 b are preferably coplanar in the plane of a slit valve. Therefore, each robot's range of rotation about the central hub 210 is limited by the relative position of the other robot.
  • each of the drive arms 214 a, 214 b are pivotally connected to a drive block 226 a, 226 b which are supported on the outer races of bearings 228 a, 228 b located on the central hub 210 .
  • the second end of the secondary arms 216 a, 216 b are pivotally connected to secondary blocks 230 a, 230 b which are supported on secondary bearings 232 a, 232 b in the central hub 210 .
  • the bearings are preferably situated in a vacuum environment.
  • each bearing is a “cross” type bearing exhibiting both radial and vertical support which is press fit over a central hollow shaft to provide position and support for each robot's arms and blades.
  • a central drive assembly 1200 is provided to provide motion to drive arms 214 a, 214 b of each robot 212 a, 212 b.
  • This central drive assembly 1200 extends inwardly of the chamber 12 to provide positioning and support for each robot 212 a, 212 b, and to provide a coupling mechanism whereby driving members providing energy to move the drive arms 214 a, 214 b located within the central drive assembly 1200 and maintained In atmosphere, and driven members located on the exterior of the coupling mechanism and physically linked to the robots 212 a, 212 b and non-physically coupled to the driving members.
  • the motor and magnet ring assembly of FIG. 1 is used to control the movement, or non-movement, of each drive arm 214 a, 214 b.
  • the drive system of FIG. 1 is modified, such that the driven magnetic rings 1016 , 1018 are attached, such as by bolts, to the outer races of the bearings 232 a, 232 b.
  • the drive block is moved in the direction of the secondary block by actuating motor 1004 magnetically coupled to bearing 232 a, which is held stationary by preventing rotation of motor 1006 magnetically coupled to the outer race of the bearing 232 b.
  • the drive block is moved away from the stationary secondary block. Movement of the blocks toward one another causes extension of the robot arm. Movement of the blocks away from one another causes the robot arm to be retracted.
  • the robot 212 a, 212 b is rotated about the hub by rotating the drive block with motor 1004 while simultaneously rotating the secondary block with motor 1006 in synchronism with the movement of the drive block.
  • FIG. 13 shows a first dual robot assembly 200 positioned within a first transfer chamber 234 , and a second dual robot assembly 200 positioned within a second transfer chamber 236 .
  • First and second loadlock chambers 238 , 240 for loadlocked transfer of wafers between atmosphere and first transfer chamber 234 are coupled to first transfer chamber 234 .
  • First and second pass through chambers 248 , 250 connect first and second transfer chambers 234 , 236 to enable the passage of wafers W therebetween.
  • FIG. 13 shows dual robot assemblies according to the fourth embodiment of the present invention, any dual blade robot capable of simultaneous independent transfer of two wafers such as are disclosed in the other embodiments of the present invention, may effectively be used.
  • An entry loadlock 238 and an exit loadlock 240 are positioned about the periphery of the pre/post process transfer chamber 234 for transferring wafers into and out of the system.
  • a plurality of process chambers, such as de-gas chamber 242 and pre-clean chamber 244 , and post-processing chambers 246 are also positioned about the first transfer chamber 234 for carrying out a variety of operations.
  • a plurality of process chambers 252 , 254 , 256 , 258 may be positioned around the periphery of the process transfer chamber 236 for performing various process operations, such as etching, deposition, etc. on semiconductor wafers.
  • each of the chambers 242 , 244 , 246 , 252 , 254 , 256 , 258 are configured to simultaneously hold two wafers within the chamber during wafer transfers. This enables the system to “feed forward” wafers without the need for a second robot end effector, such as is shown in FIG. 4, to store a wafer while an opposing end effector and robot arm assembly initiates a transfer.
  • a second robot end effector such as is shown in FIG. 4
  • the ability to store two wafers within a chamber during wafer transfer can be optimally used in association with multiple independent single blade transfer robots, such as those shown generally in FIGS. 10 & 11.
  • a typical process chamber 260 configured to hold two wafers during transfer operations is shown generally in FIG. 14.
  • a wafer W is positioned on a pedestal 262 located within the process chamber 260 for processing.
  • a shield 263 is located above, and surrounding, the pedestal 262 .
  • the pedestal 262 can be raised or lowered to desired positions within the chamber by a drive mechanism (not shown) such as a stepper motor coupled to a lead screw connected to the drive shaft 264 .
  • a lift hoop 266 surrounds the perimeter of the pedestal 232 and can be raised or lowered by a lift hoop drive member 268 , which may also be a lead screw coupled to a stepper motor.
  • a plurality of lift pins 270 extend upwardly from the upper surface of the lift hoop 266 to effect wafer placement on the pedestal 262 .
  • the lift hoop is shown as extending outwardly from the perimeter of the pedestal 262 , in actuality, the hoop and pedestal are configured such that the pedestal 262 includes a plurality of slots extending inwardly of the perimeter thereof, into each of which a lift pin extends.
  • the lift pins according to the present invention exhibit a hinge 272 connecting a lower pin segment 270 a to an upper pin segment 270 b.
  • the lower pin segment 270 a exhibits a substantially parallel wafer support surface 274 to the wafer support surface 275 of the pedestal 262 for holding a lower of two wafers to be held during wafer transfer.
  • a wafer support surface 276 is oriented in a substantial upper parallel position to surface 274 for receiving a second of the two wafers to be held within the chamber.
  • a first wafer is inserted into the chamber 260 by the transfer chamber robot in the conventional manner placed above the two pin segments 270 a, 270 b, and picked up by the lift pins 270 on the pin segment 270 b by moving the lift pins 270 upwardly to lift the wafer from the robot blade. Then, after the robot blade has been retracted, the pedestal 262 is raised to pick the wafer up from the lift pins 270 , positioning the wafer W on the upper surface of the pedestal 262 .
  • the pedestal, 262 shield 263 and lift pin, 270 cooperate to affect the use of the upper and lower pin segments 270 a, 270 b.
  • a plurality of pedestal pins (or ledges) 278 extend outwardly from the pedestal 262 at the location of each lift pin 270 , to act as a toggle lever to lift the upper pin segment and thereby rotate it into a non-wafer support position.
  • a plurality of pins 280 extend inwardly of shield 263 to engage the underside of upper lift pin 270 b and flip it back into a wafer supporting position. After the pedestal 262 lifts the wafer W from the upper pin segment the plurality of pedestal pins 278 , engage the underside of the upper pin segment, to flip the upper lift pin segment 270 b to the open position shown in FIG. 15B.
  • each of the upper pin segments 270 b include an extension pin which extends inwardly at the pin segment and forms an engagement surface for pin segment 270 b pin 278 contact.
  • the wafer is then processed. After processing, the pedestal 262 lowers the first wafer. Because the upper pin segment has been flipped outwardly, the wafer passes upper pin segment 270 b and comes to rest on the wafer support surface 274 of the lower pin segment 270 a. The lift hoop 266 carrying the plurality of lift pins 270 is then lowered In anticipation of receipt of the next wafer.
  • the transfer robot As the lift pins are lowered, the outer surface of the upper pin segment 270 b strikes against pin 280 , extending from shield 263 which causes the upper pin segment 270 b to be rotated back to the upright or closed position shown in FIG. 15A for receipt of an additional wafer thereon.
  • the transfer robot then inserts another wafer into the chamber, and the lift pins are raised to position the second wafer on the wafer support surface 276 of the upper lift pin segment 270 b.
  • the transfer robot then removes the first wafer from the lower pin segment 270 a and the process cycle continues.
  • the double pin segments 270 a the double pin segments 270 a.
  • 270 b enable storage of a processed wafer on the lower segment, placement of a new wafer on the upper segment by the robot blade, and then removal of the stored wafer with the same blade without the need to move the blade in an orbit about the hub, thereby decreasing wafer handling time.
  • a first transfer robot arm assembly 212 a picks a wafer from the loadlock 238 and moves it into the pre/post processing chamber 234 and then into de-gas chamber 240 .
  • the robot arm assembly 212 a picks another wafer from the entry loadlock and carries it into the transfer chamber to await de-gas.
  • the pedestal 262 within the chamber lowers the wafer to the lower horizontal wafer support surface 274 .
  • the lift pins are then lowered and the upper pin segment is rotated back to the upright position.
  • the second wafer may now be inserted into the de-gas chamber and placed on the upper wafer support surface 276 .
  • the robot arm assembly 212 a Prior to de-gassing the second wafer, the robot arm assembly 212 a removes the first wafer for transfer to the pre-clean chamber 242 . During de-gas of the second wafer and preclean of the first wafer, the robot arm assembly 212 a picks a third wafer from the entry loadlock and carries it into the transfer chamber to await de-gas.
  • the pedestal 262 within the chamber lowers the second wafer to the lower horizontal wafer support surface 274 . The lift pins are then lowered and the upper pin segment is rotated back to the upright position.
  • the third wafer may now be inserted Into the de-gas chamber and placed on the upper wafer support surface 276 .
  • the second wafer is now removed from the de-gas chamber for transfer to the pre-clean chamber.
  • the pedestal 262 within the chamber lowers the first wafer to the lower horizontal wafer support surface 274 .
  • the lift pins 270 are then lowered and the upper pin segment 270 b is rotated back to the upright position.
  • the second wafer may now be inserted into the pre-clean chamber and placed on the upper wafer support surface 276 .
  • the first wafer is removed for transfer to the cooling chamber 248 , where it is stored to await further processing.
  • the pass through chamber cassette is filled, and the wafers are cooled down one at a time.
  • the upper robot and lower robot operate independently from one another.
  • the individual operation of each robot is as described in our prior U.S. patent application Ser. No. 07/873,422, and is expressly incorporated herein by reference.
  • the invention is described herein with reference to the preferred embodiments of the dual robot assembly, it is anticipated that modifications will readily suggest themselves to those skilled in the art. For example, it is possible to provide a stacked configuration of more than two robots without departing from the spirit and scope of the invention.
  • the invention has been described herein in terms of robots having frog-leg mechanisms, it is equally applicable to other robot types, where the operation of at least two of the robots is independent of any other in the chamber.

Abstract

A robot assembly including multiple independently operable robot assemblies are provided for use in semiconductor wafer processing. The robot assembly includes independent co-axial upper and lower robot assemblies adapted to handle multiple objects. The upper robot is stacked above the lower robot and the two robots are mounted concentrically to allow fast wafer transfer. Concentric drive mechanisms may also be provided for imparting rotary motion to either rotate the robot assembly or extend an extendable arm assembly into an adjacent chamber. Each robot can be either a single blade robot or a dual blade robot. Also provided is an apparatus for processing semiconductor wafers comprising a pre/post process transfer chamber housing multiple independent robot assemblies and surrounded by a plurality of pre-process chambers and post process chambers. A process transfer chamber is connected to the pre/post process transfer chamber by cooling chambers, and is otherwise surrounded by a plurality of process chambers. Multiple independent robot assemblies are also provided within the process transfer chamber. Within each process, pre-process and post-process chamber is an apparatus for holding a plurality of stacked wafers. The apparatus includes a wafer lifting and storing apparatus exhibiting a plurality of vertically movable lift pins surrounding the chamber pedestal. The lift pins are configured to receive and hold a plurality of stacked wafers, preferably two, therein. Each one of the plurality of lift pins preferably comprises a lower lift pin segment exhibiting a lower wafer support surface proximal to the upper end thereof, and an upper lift pin segment hingedly connected to the lower lift pin segment and exhibiting an upper wafer support surface proximal to an upper end thereof.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to an apparatus for transferring objects, and more particularly to multiple independent robot assemblies for the simultaneous and independent manipulation of multiple objects, such as semiconductor wafers. [0001]
  • The use of robot arms is a well established manufacturing expedient in applications where human handling is inefficient and/or undesired. For example, in the semiconductor arts robot arms are used to handle wafers during various process steps. Such process steps include those which occur in a reaction chamber, e.g. etching, deposition, passivation, etc., where a sealed environment must be maintained to limit the likelihood of contamination and to ensure that various specific processing conditions are provided. [0002]
  • Current practice Includes the use of robot arms to load semiconductor wafers from a loading port into various processing ports within a multiple process chamber system. The robot arms are then employed to retrieve the wafer from a particular port after processing within an associated process chamber. The wafer is then shuttled by the robot arms to a next port for additional processing. When all processing of the wafer within the system is complete, the robot arm returns the semiconductor wafer to the loading port and a next wafer is placed into the system by the robot arm for processing. Typically, a stack of several semiconductor wafers is handled in this manner during each process run, and several wafers are passing through the system simultaneously. [0003]
  • In multiple chamber process systems, it is desirable to have more than one semiconductor wafer in process at a time. In this way, the process system is used to obtain maximum throughput. A typical wafer handling sequence to switch wafers in a process chamber is to remove a wafer from a process chamber, store the wafer in a selected location, pick a new wafer from a storage location, and then place the new wafer in the process chamber. Although this improves use of the system and provides improved throughput, the robot arm itself must go through significant repetitive motion to simply exchange wafers. [0004]
  • To increase the efficiency of robot handling of wafers, a robot arm having the ability to handle two wafers at the same time may be provided. Thus, some equipment manufacturers have provided a robot in which two carrier arms are located at opposed ends of a support, and the support is rotated about a pivot. In this way, one wafer may be stored on one arm while the other arm is used to retrieve and place a second wafer. The arms are then rotated and the stored wafer may be placed as desired. Such a mechanism does not allow the two arms to be present in the same process chamber at the same time, nor does it allow for the immediate replacement of a fresh wafer in a process chamber after a processed wafer is removed, because the support must be rotated 180° to place the wafer on the second arm in a position for loading into the location from which the first wafer was removed. Likewise, simultaneous use of the two arms for placement or removal of wafers from process or storage positions is not possible with this configuration. [0005]
  • Another robot configuration includes a central hub having two opposed arms, each arm arranged for rotation relative to the hub while arcuately fixed In relation to one another. A blade is linked to the free ends of the arms, and a drive is provided for rotating the arms in opposite directions from each other to extend the blade radially from the central hub, and in the same direction to effect a circular movement of the blade about the central hub. Preferably, a second pair of arms extend opposed from the first pair, on the ends of which is connected a second blade. Opposed rotation of the arms in one direction extends the first arm while retracting the second arm. Opposed rotation of the arms in the opposite direction results in retraction of the first arm and extension of the second arm. Simultaneous motion of the arms in the same direction swings the blades in a circular or orbital path around the hub. The use of two blades increases throughput. However, this device still does not permit simultaneous insertion of a fresh wafer into a process chamber as a processed wafer is being withdrawn from the same chamber, or independent use of the blades to simultaneous load into wafers, unload from, wafers or move a wafer between one or more chambers while a second wafer Is being loaded or unloaded. [0006]
  • SUMMARY OF THE INVENTION
  • The present Invention is a multiple robot assembly including at least co-axial upper and lower robot assemblies. The upper robot operates independently of the lower robot to obtain improved throughput and increased wafer handling capacity of the robot assembly as compared to the prior art opposed dual blade robots. The upper robot may be stacked above the lower robot and the two robots may be mounted concentrically to allow fast wafer transfer. Each robot can be either a single blade robot or a dual blade robot. [0007]
  • According to one aspect of the invention, each of the upper and lower robot assemblies is a dual blade robot including a pair of extendable arm assemblies located within a transfer chamber. Each pair of extendable arm assemblies includes a corresponding pair of carrier blades for handling various objects, such as semiconductor wafers. The upper robot may be provided with a drive mechanism for rotating the pair of extendable arm assemblies or for extending one of the arm assemblies into an adjacent chamber. The lower robot may also be provided with a drive mechanism that is co-axial with the upper robot drive mechanism. The lower robot drive mechanism may also function to either rotate the pair of extendable arm assemblies or to extend one of the arm assemblies into an adjacent chamber. [0008]
  • According to another aspect of the invention, each of the upper and lower robot assemblies is a single blade robot including an extendable arm assembly located within a transfer chamber. Each extendable arm assembly includes a corresponding carrier blade for handling various objects, such as semiconductor wafers. The upper and lower robot assemblies may be provided with a drive mechanism for rotating the extendable arm assembly or for extending their arm assemblies into an adjacent chamber. [0009]
  • In a further aspect of the invention, a central transfer chamber is linked to multiple object rest positions, and each of the rest positions may be independently and, if desired, simultaneously accessed by at least two robot assemblies. [0010]
  • A still further aspect of the present invention is an apparatus for holding a plurality of articles within a chamber, such as a pre-process chamber, process chamber or post-process chamber, with a pedestal centrally located and vertically movable therein. The apparatus exhibits a wafer lifting and storing apparatus including a plurality of vertically movable lift pins surrounding the pedestal. The lift pins are configured to receive and hold a plurality of stacked wafers, preferably two, therein. Each one of the plurality of lift pins preferably comprises a lower lift pin segment exhibiting a lower wafer support surface proximal to the upper end thereof, and an upper lift pin segment hingedly connected to the lower lift pin segment and exhibiting an upper wafer support surface proximal to an upper end thereof. Means for moving the upper lift pin segment between a position in which the lower lift pin segment is exposed and a position wherein the lower lift pin segment is covered by the upper lift pin segment may also be provided.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a side sectional view of a dual robot assembly according to a first embodiment of the present invention. [0012]
  • FIG. 2 is top sectional view of the dual robot assembly of FIG. 1, shown with all arm assemblies in the retracted position. [0013]
  • FIG. 3 is a side sectional view of the dual robot assembly of FIG. 2 at [0014] 3-3.
  • FIG. 4 is a schematic plan view of a dual blade robot arm assembly of the dual robot assembly shown in FIGS. [0015] 1-3.
  • FIG. 5 is a top sectional view of a dual robot assembly according to a second embodiment of the present invention. [0016]
  • FIG. 6 is side sectional view of the dual robot assembly of FIG. 5 at [0017] 6-6.
  • FIG. 7 is a top sectional view of the dual robot assembly of FIGS. [0018] 5-6, shown with the lower robot arm assembly In the extended position.
  • FIG. 8 is a top sectional view of a dual robot assembly according to a third embodiment of the present invention. [0019]
  • FIG. 9 is side sectional view of the dual robot assembly of FIG. 8 at [0020] 9-9.
  • FIG. 10 is a schematic plan view of a single blade robot arm assembly of the dual robot assemblies shown in FIGS. [0021] 5-9.
  • FIG. 11 is a top sectional view of a dual robot assembly according to a fourth embodiment of the present invention. [0022]
  • FIG. 12 is a partial side sectional view of the dual robot assembly of FIG. 11. [0023]
  • FIG. 13 is a top plan view of a semiconductor wafer processing system using a pair of dual robot assemblies as shown in FIG. 12. [0024]
  • FIG. 14 is a side sectional view of a wafer lift and support apparatus in a chamber of the semiconductor wafer processing system shown in FIG. 13. [0025]
  • FIG. 15A is a detailed side view of a portion of the lift pin assembly of the wafer lift and support apparatus of FIG. 14, shown in the closed position. [0026]
  • FIG. 15B is a detailed side view of a portion of the lift pin assembly of the wafer lift and support apparatus of FIG. 14, shown in the open position.[0027]
  • DETAILED DESCRIPTION
  • The present invention is best understood by referring to the Drawings in connection with review of this Description. The present invention is a multiple robot assembly including at least independent co-axial upper and lower robot assemblies adapted to simultaneously handle multiple objects. In a preferred embodiment, the upper robot is stacked above the lower robot and the two robots are mounted concentrically to a drive hub to allow simultaneous transfer of two wafers between a transfer chamber and one or more process chambers. Concentric drive mechanisms may also be provided for imparting rotary motion to the connection of the robot assembly to the hub to either move the robot assembly in an orbital path about the hub, ie, in a sweeping motion, or to extend an extendable arm assembly of the robot assembly. Each robot can be either a single blade robot or a dual blade robot. The invention may preferably be used in a semiconductor wafer processing system. [0028]
  • The present invention allows multiple objects; such as semiconductor wafers, to be handled simultaneously for either extension or retraction, with respect to a process chamber position, or rotation about a hub, such that a wafer exchange in a process chamber can rapidly be made. For instance, a wafer which has just completed processing in a processing module can be withdrawn from the module while a fresh wafer is simultaneously being inserted into the same processing module, without the need to swing the robot arm to locate an opposed robot arm in position to insert a new wafer. Alternatively, one robot can be inserting or withdrawing a wafer from one processing module while the other robot is independently inserting or withdrawing a wafer from another process module, even where the access to the two chambers are not co-linearly opposed (FIG. 7). Likewise, a wafer may be removed from a process module, and a new wafer inserted therein, without the need to rotate the robot assembly 180° to affect insertion of the new wafer into the module. It is also possible to use the dual robot assembly to simultaneously insert a pair of wafers into, or withdraw a pair of wafers from the same process module at the same time, provided adequate clearance is maintained between the stacked robot assemblies. These unique features enable increased throughput of wafers during wafer processing when contrasted to prior art robot assemblies, as there is no “dead-time” of one of the blades while a processed wafer is replaced within the process module by a fresh wafer, or, as the robot has to either rotate 180° where dual, opposed, blades are used, or where the removed wafer must be placed in a rest location, and a new wafer positioned on the robot and then transferred to the process chamber. [0029]
  • In FIG. 1, one embodiment of a [0030] dual robot assembly 10 is shown in the context of a semiconductor wafer processing system, when one arm of each robot is shown extended into an adjacent chamber 18 a, while an opposed arm of each robot is fully retracted into the transfer chamber 12 from an additional chamber 18 a. In this Figure, as well as FIGS. 3, 6, and 9, the size of the assembly within which the robot arm drive components are located has been exaggerated to enhance detail. The exemplary processing system includes a transfer chamber 12, within which the robot assembly 10 is mounted, which is connected to at least two additional chambers 18 a, 18 b. The chambers 18 a, 18 b may be process chambers, wafer holding chambers, loadlock chambers, etc., into which wafers may be located for wafer processing and then removed. The dual robot assembly 10 is centrally arranged within the transfer chamber 12 of the wafer processing system, wherein the upper robot 14 is preferably connected to an upper superstructure (or wall) 12 a of the transfer chamber 12 and a lower robot 16 is preferably connected to the bottom wall 12 b of the transfer chamber 12. The upper and lower robots 14, 16 are arranged Within the transfer chamber 12 such that semiconductor wafers W (best shown in FIG. 2) can be transferred to and between wafer rest positions adjacent process or reaction chambers 18 a, 18 b, through apertures between the transfer chamber 12 and process chambers 18 a, 18 b. Preferably, the aperture between the transfer chamber and process chamber is valved, such as with valves 20 a, 20 b, respectively, so that a process or conditioning environment may be maintained in process chambers 18 a, 18 b without affecting the environment in the transfer chamber. However, the invention has equal utility where the aperture is not valved. The valves 20 c, 20 b, where used, may be Vat® valves or vat type valves as shown in FIG. 1, alternatively, pocket valves, gate type valves, flap type valves, slit valves such as those shown and described in U.S. Pat. No. 5,226,632, incorporated herein by reference, or other valves known in the art for separating adjacent chambers and controlling the passage or port therebetween may be used. It should be noted that although the exemplary embodiment of the invention shown in FIG. 1 shows a dual robot assembly centrally located within the central transfer chamber of a multi chamber wafer processing system, with the system including two shown process or reaction chambers (other's being blocked by the robot or in the portion removed for sectional viewing). The present invention is intended for many different applications, particularly those having multiple process and wafer handling chambers ported to a transfer chamber. Additionally, it is specifically contemplated that several transfer chambers may be ganged together, with or without load-locked passages therebetween, and the robot(s) of the present invention may be located in one or all of the transfer chambers. Thus, the exemplary embodiment should not be considered as limiting the scope of the invention. The present invention is readily adapted for use with any wafer handling application, including process systems having any number of process chambers and any sort of orientation for the dual robot assembly.
  • Referring still to FIG. 1, a specific configuration of an [0031] upper robot 14 includes a first drive arm 21 and a second drive arm 22 arranged such that one end of each arm is independently coupled to a central hub 23. The end of each arm 21, 22 coupled to the hub 23 may be moved independently of the other arm in either a clockwise or a counter-clockwise fashion about the hub 23, enabling the arms 21, 22 to be moved in either the same or opposed directions. Movement may be accomplished by any type of drive mechanism, such as an electrical, magnetic or electromagnetic motor or motors. The drive mechanism is preferably configured to move drive arm 21 and drive arm 22 in either opposing directions or in the same direction. By moving the hub end of the arms 21, 22 in the same direction about the hub axis A, the robot moves in a circular or orbital path about the hub. By moving the hub ends of the arms in opposite directions about the hub axis A, extension and retraction of the robot is affected. When arm 21 is moved clockwise (from a perspective above cover 12 a) and arm 23 is rotated counterclockwise, the robot blade attached to the arms 21, 23 extends from the hub. When the rotation is reversed, that blade retracts toward the hub.
  • Referring still to FIG. 1, there is shown an enlarged view of the preferred robot drive system. This drive system is the preferred drive system for each embodiment of the robot described herein. In the embodiment shown, each of the upper robot and lower robot assemblies are driven by separate [0032] magnetic coupling assemblies 1000, 1002 (shown in FIG. 1). The details of construction of each magnetic coupling assembly 1000, 1002 are identical, except as noted herein. Therefore, only the construction of upper magnetic coupling assembly will be discussed.
  • [0033] Magnetic coupling assembly 1000 is configured to provide arcuate motion of arms 21, 22, about axis A, thereby enabling extension and retraction of the two robot blades 33, 40 from the hub, and passage of the blades in a orbital path about the hub. Additionally, the magnetic coupling assembly 1000 provides this motion with minimal contacting moving parts within the vacuum to minimize particle generation in the vacuum. In this embodiment these robot features are provided by fixing first and second syncro motors 1004, 1006 in a housing 1008 located atop the transfer chamber, and coupling the output of the motors 1004, 1006 to magnet ring assemblies 1010, 1012 located inwardly and adjacent a thin walled section 1014 of housing 1008. The thin walled section 1014 is connected to the upper wall or cover 12 of the transfer chamber 10 at a sealed connection to seal the interior of the transfer chamber 10 from the environment outside of the chambers. Driven magnet rings 1016, 1018 are located on the vacuum side of housing 1008, adjacent to and surrounding thin walled section 1014 of housing 1008. The first magnetic ring assembly 1010 magnetically couples to first driven magnetic ring assembly 1016 and the second magnetic ring 1012 couples to the second drives magnetic ring assembly 1018. Arms 20, 21 are coupled to receptive ones of the driven magnet rings 1016, 1018. Thus, rotary motion of the motors 1002, 1004 is magnetically transferred from the atmospheric to vacuum side of the housing 1008, to cause arcuate motion of arms 20, 21 to affect movement of the robot blades 33, 40 (FIG. 1).
  • The preferred [0034] motor 1004, 1006 construction is a servo motor with a synchronous device, wherein a stator is coupled to a rotor and the arcuate position of the rotor may be closely controlled. As shown in FIG. 1, each motor 1004, 1006 is attached to the housing 1008, at support 1009 such that the rotors thereof are directly coupled to the first magnetic ring assembly 1010 and second magnetic ring assembly 1012. The output of motor 1004 is directly coupled to magnetic ring assembly 1010, and motor 1006 is coupled to magnetic ring assembly 1012 by extending a shaft 1030 from the rotor of motor 1006 and through the center of motors 1004, 1006 where it connects to second magnetic ring assembly 1012. Shaft 1030 is preferably pivotal and supported on bearing 1032 and internal bearings (not shown) in each motor 1004, 1006 between each stator-rotor set ensure centering of the shaft 1030.
  • Rotation of the motor output thereby causes rotation of the [0035] magnet ring assemblies 1010, 1012, which magnetically couple to driven magnetic ring assemblies 1016, 1018, thereby rotating the base of each arm around the perimeter of thin walled section 1014 to affect movement of the blades.
  • Operation of the robot blades in and out of valves requires close vertical (where the transfer of wafers is horizontal) tolerance on the position of the robot blade, to ensure that it, or the wafer attached thereto, does not hit the structure of the chamber as it passes through the valve. To provide this vertical positioning, the [0036] support 1009 extends from between motors 1004, 1006 to a flange 1011 which is connected to cover 12 a. The support 1009, flange 1011 and flange to support distance are sized, with respect to the size and tolerance of the robot assemblies, transfer chamber 12 and valves 20, 20 a, to ensure that a blade 33, 64 with a wafer W thereon will not contact the structure of the chambers or valves. To suspend the driven magnetic ring assemblies 1016, 1018, and enable rotation thereof relative to the hub 1008, the first driven magnetic ring assembly 1018 includes an annular arm support 1040, which is received over, and hangs on, race of a first bearing 1042. The first bearing 1042 is clamped, over its inner race, to the thin walled section 1014, The outer race of a second bearing 1044 is clamped to the ring 1040, and ledge portion 1046 of the second magnetic ring assembly 1016 is clamped to race of the second bearing, securing the second driven magnetic ring assembly 1018 to the housing 1008.
  • Each bearing, is a “cross” type bearing, which provides radial and longitudinal, in this case elevational, support to ensure alignment and positioning of the driven [0037] magnetic ring assemblies 1016, 1018.
  • To couple each [0038] magnet ring assembly 1010, 1012 to its respective driven magnetic ring assembly 1016, 1018, each magnet ring assembly 1010, 1012 and driven magnetic ring assembly 1016, 1018 preferably include an equal plurality of magnets, each magnet on the magnet ring assemblies 1010, 1012 coupled to one magnet on the driven magnetic ring assembly 1016, 1018. To increase coupling effectiveness, the magnets may be positioned with their poles aligned vertically, with pole pieces extending therefrom and toward the adjacent magnet to which it is coupled. The magnets which are coupled are flipped, magnetically, so that north pole to south pole coupling occurs at each pair of pole pieces located on either side of the thin walled section.
  • [0039] Lower robot assembly 16 is substantially identical in construction to upper robot assembly, except the housing 1008′ thereof is suspended from the transfer chamber 12, and the driven magnetic ring assemblies 1016′, 1018′, are supported on bearings which rest upon the base of the transfer chamber 10.
  • As best shown in FIGS. 2 and 4 (FIG. 4 being a simplified schematic of FIG. 2), a pair of extendable arm assemblies are connected to the ends of the [0040] drive arms 21, 22 to form a pair of compound articulated mechanisms which are sometimes referred to in the mechanical arts as frog-leg mechanisms. The first extendable arm assembly includes a pair of strut arms 29, 30 pivotally coupled to the ends of drive arms 21, 22, respectively, at respective pivot points 31, 32. The strut arms 29, 30, in turn, are coupled by pivots 34, 35 to a first wafer carrier or robot blade 33 which forms the object support. The second extendable arm assembly similarly includes a pair of strut arms 36, 37 pivotally coupled to the ends of drive arms 21, 22 respectively, at respective pivot points 38, 39. The strut arms 36, 37 in turn, are coupled by pivots 41, 42 to a second wafer carrier or robot blade 40. Each strut arm 29, 30, 36, 37 may include a meshing gear 49, shown in FIG. 4, at an end within the carrier 40 (or 33) to maintain the carrier in rigid radial alignment with the hub 23 as the struts are pivoted during operation of the robot. The first and second wafer carriers 33, 40 are maintained 180° apart from each other about the axis of the hub. In FIG. 1, the wafer carrier 33 is shown in a fully extended position for delivering or retrieving a wafer from reaction chamber 18 a.
  • Like the upper robot, the [0041] lower robot 16 includes a first drive arm 51 and a second drive arm 52 arranged such that one end of each arm is coupled to a central hub 53. The manner of moving the components of the lower robot are identical to that described above in connection with the upper robot. Similarly, the drive mechanism of the lower robot is identical to that of the upper robot.
  • Also like the upper robot, in the [0042] lower robot 16, a pair of extendable arms assemblies are connected to the ends of the drive arms 51, 52 to form a pair of frog-leg mechanisms. The first extendable arm assembly includes a pair of strut arms 59, 60 pivotally coupled to the ends of drive arms 51, 52, respectively, at respective pivot points 61, 62. The strut arms 59, 60, in turn, are coupled by pivots 64, 65 to a first wafer carrier 63. The second extendable arm assembly similarly includes a pair of strut arms 66, 67 pivotally coupled to the ends of drive arms 51, 52, respectively, at respective pivot points 68, 69. The strut arms 66, 67 in turn, are coupled by pivots 71, 72 to a second wafer carrier 70. Each strut arm 59, 60, 66, 67 may include a meshing gear 49, shown in FIG. 4, at an end within the carrier 70 to maintain the carrier in rigid radial alignment with the hub 53 as the strut arms are pivoted during operation of the robot. The first and second robot blades or carriers, 63, 70 of each robot assembly are maintained 180° apart from each other about the axis of the hub. In FIG. 1, the wafer carrier 63 is shown in a fully extended position for delivering or retrieving a wafer from chamber 18 a and blade 70 is fully retracted from chamber 18 b, as is necessitated where a double frog-leg arrangement is used for each robot assembly. In FIG. 2, both wafer carriers 63, 70 are shown retracted from adjacent process chambers.
  • Referring still to FIGS. 2 and 3, there is shown a detailed side sectional view of the dual robot assembly of FIG. 2 wherein, all four wafer carriers are in the retracted position and in a [0043] transfer chamber 12. To aid interpretation of FIGS. 2 and 3, FIG. 4 shows a simplified plan view of the dual robot assembly 10. As shown in FIG. 3, the upper robot arm assemblies and lower arm assemblies are positioned, with respect to a slit valve 20 a aperture, such that a robot blade 33 or 63 (or 40 or 70) from the upper and lower robot assemblies may be passed through the slit valve 20 a aperture without the need to change the elevation of the robot arms with respect to the aperture. Thus uniquely, either, or both, of an upper and lower robot carriers 33 and/or 38 (or 40 and or 70) may be passed through the aperture to affect wafer transport to or from the process chamber 18 without the need to swing a robot arm through a significant arc to position the second of the two carriers into a slit valve chamber access position. Another feature of the invention is that the upper robot assembly 14 can operate completely independently of the lower robot assembly 16, allowing the robot assemblies to transfer wafers to or from any chamber, including the same chamber, unimpeded by the presence of the other robot in the transfer chamber. High speed wafer transfer may be accomplished by one robot removing a wafer from a process chamber while the other robot simultaneously inserts a fresh wafer into the same process chamber, or by enabling simultaneous loading or unloading of different chambers, or simultaneous transfer of a wafer in or out of a chamber while a second wafer is moving in a path about the hub and between chambers. Additionally, each robot may rotate entirely past the other, enabling any combination or movement of the upper carriers 33, 40 with respect to the lower carriers 63, 70.
  • It should be noted that although FIGS. [0044] 1-4 show each of the upper and lower robot assemblies 14, 16 being configured as dual blade robots, i.e. two frog-leg mechanisms each connected to a separate wafer carrier, either the upper robot, the lower robot, or both can be a single blade robot. As shown in FIGS. 5-10, a dual robot assembly is provided wherein both the upper and lower robots are single blade robots.
  • An alternative embodiment of the invention is shown in FIGS. [0045] 5-7. In this embodiment, the dual robot assembly 110 is centrally arranged within the transfer chamber 112 of the reaction system as described with respect to FIGS. 1 to 4, but a central column 120 spans the gap between the base and cover of the transfer chamber 20. This column 120 ensures that the spacing between the chamber cover and base will remain constant. The column includes an annular clearance recess 121, which provides space for the manipulation of the various arms and carriers of the two robot assemblies 14, 16. Preferably, the column is provided by extending the thin walled sections 114, 114′ of the FIG. 1 embodiment through a necked down region across the gap between the robot assemblies 14, 16 in the transfer chamber 10. Preferably, the thin walled section is formed of nonmagnetic stainless or other material capable of having a magnetic field passed therethrough.
  • According to this embodiment, two [0046] robots 14, 16 are provided in the transfer chamber, each robot with a single blade assembly. Each robot is unrestrained in motion by the other robot, and both robots have independent access to all process chambers surrounding the transfer chamber. According to one preferred use, the robots are capable of simultaneously removing two separate wafers from one or more loadlocks. High speed wafer transfer may be accomplished by one robot removing a processed wafer from a process chamber while the other robot simultaneously delivers a fresh wafer to the same process chamber, by simultaneously moving wafer in and out of adjacent or non-adjacent wafer cassettes, and by other simultaneous and independent movement of wafers through a multi-chamber process device. Additionally, each robot assembly 14, 16 could be a dual blade assembly as shown and described with respect to FIGS. 1-4.
  • Referring not to FIGS. [0047] 8 to 10, a still further embodiment of the invention is shown, wherein the motors for the two robot assemblies 12, 14 are suspended from the transfer chamber. To couple the motor outputs to the driving magnetic ring assemblies, 1010, 1012, 1010′, 1012′ for each robot assembly, the outputs of the drive motors are coupled to staggered concentric shafts, each of which is coupled to a driving magnetic ring assembly.
  • Referring to FIG. 8, the drive system includes four [0048] motors 1004, 1005, 1004′, 1006′, having an identical construction as the motor assemblies 1004, 1006 previously described, coupled to a hub 1100 having the same general construction as the central column described with respect to FIGS. 5 to 7. In contrast to the previous embodiments, motors 1004, 1006, For driving the upper robot assembly are suspended below the motors 1004′, 1006′ for driving the lower robot assembly, and an additional pair of concentric shafts extend from the upper robot assembly motors 1004, 1006 through the center of the lower robot assembly motors 1004′, 1006′ and the hub 1100, wherein they are connected to magnet ring assemblies 1010, 1012 as previously described. A simplified view of the single blade, dual independent embodiment of the invention is shown in FIG. 10, wherein the central column 120 is shrunken in size to better illustrate the linkages of the apparatus.
  • The [0049] drive motors 1004, 1006, 1004′, 1006′ are housed in an extended housing 1070, connected to the underside of the transfer chamber 10. The housing 1070 includes a pair of motor support flanges 1072, 1074 therein, to each of which one of upper robot assembly motors 1004, 1006 or lower robot assemblies 1004′, 1006′ are connected for support and alignment. To support driving magnetic ring assembly 1012′ in column 120, the rotor, or output of motor 1004′ includes a drive flange 1080 extending therefrom and connected to the during ring assembly. Thus, the flange 1080 provides support and rotation to the driven magnetic ring 1004′. The output of lower magnetic ring assembly motor 1006′ is coupled to a hollow shaft 1082 which extends through motors 1006′, 1004′ and flange 1080 where it attaches to lower magnetic ring assembly 1010′ which is supported on lower magnetic ring assembly 1012′ by a bearing 1084. Bearing 1084 is preferably piloted Into the ends of both shaft 1082 and flange 1080. A second shaft 1086 extends from motor 1006, through shaft 1082 and the necked down portion of the hub 120 to upper magnetic ring assembly 1010 which is supported on a bearing 1088 connected to the upper surface of the magnetic ring assembly 1010′. The output for motor 1004 is coupled to shaft 1090 which extends through shaft 1086 and connects to magnetic ring assembly 1012 supported on a bearing 1092 connecting magnetic ring assemblies 1010, 1012.
  • In the embodiment of the invention shown in FIGS. [0050] 5 to 7 and FIGS. 8 to 10, the robot assemblies are shown as single blade robots, i.e., where each robot assembly includes only a single robot blade, carrier or end effector. Each embodiment of the drive system may be used with either a single or dual blade robot, and, if desired, a single and a dual blade robot may be used in a single transfer chamber. Referring again to FIGS. 5 to 7, each single blade robot assembly is provided with the same structure as the robot assemblies, including the drive arms 21, 22, (51, 52 in the lower robot assembly 16) coupled to the motors 1004, 1006 and magnetic coupling assemblies 1000, 1002 but, only one pair of strut arms 29, 30 (or, in the lower robot assembly, strut arms 29′, 30′) coupled to a carrier (blade or effector). Thus, unlike the embodiment shown in FIGS. 1 to 4, each robot assembly 14, 16 can manipulate only a single robot blade, and thus only a single wafer, at any time. FIG. 5 shows the retracted position for the robot assemblies, and FIG. 7 shows the upper robot assembly 14 extended over the lower robot assembly 16 and into an adjacent process chamber. By placing an upper robot assembly 14 over, and in coaxial alignment with, a lower robot assembly 16, the two robot assemblies can be manipulated past one another, an can simultaneously access a single wafer rest position, such as a transfer chamber, thereby enabling rapid deployment and replacement of wafers in the system.
  • A fourth embodiment of the dual robot assembly of the present invention is shown in FIGS. 11 & 12. The [0051] dual robot assembly 200 according to this embodiment includes a central hub 210 about which a pair of single blade robots 212 a, 212 b are rotatably mounted. Each robot 212 a, 212 b includes a drive arm 214 a, 214 b and a secondary arm 216 a, 216 b which are connected to one another at a pivot joint 218 a, 218 b. A robot blade 220 a, 220 b is provided with each robot 212 a, 212 b for cradling a wafer during transfer. The robot blades 220 a, 220 b are connected to one end of the robot drive arm 214 a, 214 b at a pivot joint 222 a, 222 b and to one end of the secondary arm 216 a, 216 b at a second pivot joint 224 a, 224 b. As best shown in FIG. 12, the end effectors 220 a, 220 b are preferably coplanar in the plane of a slit valve. Therefore, each robot's range of rotation about the central hub 210 is limited by the relative position of the other robot. The other end of each of the drive arms 214 a, 214 b are pivotally connected to a drive block 226 a, 226 b which are supported on the outer races of bearings 228 a, 228 b located on the central hub 210. Similarly, the second end of the secondary arms 216 a, 216 b are pivotally connected to secondary blocks 230 a, 230 b which are supported on secondary bearings 232 a, 232 b in the central hub 210. The bearings are preferably situated in a vacuum environment. Preferably, each bearing is a “cross” type bearing exhibiting both radial and vertical support which is press fit over a central hollow shaft to provide position and support for each robot's arms and blades.
  • To provide motion to drive [0052] arms 214 a, 214 b of each robot 212 a, 212 b a central drive assembly 1200 is provided. This central drive assembly 1200 extends inwardly of the chamber 12 to provide positioning and support for each robot 212 a, 212 b, and to provide a coupling mechanism whereby driving members providing energy to move the drive arms 214 a, 214 b located within the central drive assembly 1200 and maintained In atmosphere, and driven members located on the exterior of the coupling mechanism and physically linked to the robots 212 a, 212 b and non-physically coupled to the driving members.
  • In the preferred implementation of the invention, the motor and magnet ring assembly of FIG. 1 is used to control the movement, or non-movement, of each [0053] drive arm 214 a, 214 b. To provide this, the drive system of FIG. 1 is modified, such that the driven magnetic rings 1016, 1018 are attached, such as by bolts, to the outer races of the bearings 232 a, 232 b.
  • To extend the robot blade the drive block is moved in the direction of the secondary block by actuating [0054] motor 1004 magnetically coupled to bearing 232 a, which is held stationary by preventing rotation of motor 1006 magnetically coupled to the outer race of the bearing 232 b. Similarly, to retract the robot blade, the drive block is moved away from the stationary secondary block. Movement of the blocks toward one another causes extension of the robot arm. Movement of the blocks away from one another causes the robot arm to be retracted.
  • The [0055] robot 212 a, 212 b is rotated about the hub by rotating the drive block with motor 1004 while simultaneously rotating the secondary block with motor 1006 in synchronism with the movement of the drive block.
  • As shown in FIG. 13, the dual robot assembly of the present invention is preferably utilized to transfer semiconductor wafers between individual chambers to affect wafer processing. FIG. 13 shows a first [0056] dual robot assembly 200 positioned within a first transfer chamber 234, and a second dual robot assembly 200 positioned within a second transfer chamber 236. First and second loadlock chambers 238, 240, for loadlocked transfer of wafers between atmosphere and first transfer chamber 234 are coupled to first transfer chamber 234. First and second pass through chambers 248, 250 connect first and second transfer chambers 234, 236 to enable the passage of wafers W therebetween. Although FIG. 13 shows dual robot assemblies according to the fourth embodiment of the present invention, any dual blade robot capable of simultaneous independent transfer of two wafers such as are disclosed in the other embodiments of the present invention, may effectively be used.
  • An [0057] entry loadlock 238 and an exit loadlock 240 are positioned about the periphery of the pre/post process transfer chamber 234 for transferring wafers into and out of the system. A plurality of process chambers, such as de-gas chamber 242 and pre-clean chamber 244, and post-processing chambers 246 are also positioned about the first transfer chamber 234 for carrying out a variety of operations. A plurality of process chambers 252, 254, 256, 258 may be positioned around the periphery of the process transfer chamber 236 for performing various process operations, such as etching, deposition, etc. on semiconductor wafers.
  • According to the present invention, each of the [0058] chambers 242, 244, 246, 252, 254, 256, 258 are configured to simultaneously hold two wafers within the chamber during wafer transfers. This enables the system to “feed forward” wafers without the need for a second robot end effector, such as is shown in FIG. 4, to store a wafer while an opposing end effector and robot arm assembly initiates a transfer. Thus the ability to store two wafers within a chamber during wafer transfer can be optimally used in association with multiple independent single blade transfer robots, such as those shown generally in FIGS. 10 & 11.
  • A [0059] typical process chamber 260 configured to hold two wafers during transfer operations is shown generally in FIG. 14. A wafer W is positioned on a pedestal 262 located within the process chamber 260 for processing. A shield 263 is located above, and surrounding, the pedestal 262. The pedestal 262 can be raised or lowered to desired positions within the chamber by a drive mechanism (not shown) such as a stepper motor coupled to a lead screw connected to the drive shaft 264. A lift hoop 266 surrounds the perimeter of the pedestal 232 and can be raised or lowered by a lift hoop drive member 268, which may also be a lead screw coupled to a stepper motor. A plurality of lift pins 270 extend upwardly from the upper surface of the lift hoop 266 to effect wafer placement on the pedestal 262. Although, for clarity, the lift hoop is shown as extending outwardly from the perimeter of the pedestal 262, in actuality, the hoop and pedestal are configured such that the pedestal 262 includes a plurality of slots extending inwardly of the perimeter thereof, into each of which a lift pin extends. Thus, the engagement of the lift pin to the wafer occurs within the envelope of the pedestal, and therefore the wafer edge will not overhang the pedestal perimeter. The lift pins according to the present invention exhibit a hinge 272 connecting a lower pin segment 270 a to an upper pin segment 270 b. The lower pin segment 270 a exhibits a substantially parallel wafer support surface 274 to the wafer support surface 275 of the pedestal 262 for holding a lower of two wafers to be held during wafer transfer. When the upper pin segment 270 b is in the upright position, as shown in FIG. 15A, a wafer support surface 276 is oriented in a substantial upper parallel position to surface 274 for receiving a second of the two wafers to be held within the chamber.
  • In operation, a first wafer is inserted into the [0060] chamber 260 by the transfer chamber robot in the conventional manner placed above the two pin segments 270 a, 270 b, and picked up by the lift pins 270 on the pin segment 270 b by moving the lift pins 270 upwardly to lift the wafer from the robot blade. Then, after the robot blade has been retracted, the pedestal 262 is raised to pick the wafer up from the lift pins 270, positioning the wafer W on the upper surface of the pedestal 262. Uniquely, the pedestal, 262 shield 263 and lift pin, 270 cooperate to affect the use of the upper and lower pin segments 270 a, 270 b. To provide this feature, a plurality of pedestal pins (or ledges) 278 extend outwardly from the pedestal 262 at the location of each lift pin 270, to act as a toggle lever to lift the upper pin segment and thereby rotate it into a non-wafer support position. To return the upper lift pin segment 272 b to its support position; a plurality of pins 280 extend inwardly of shield 263 to engage the underside of upper lift pin 270 b and flip it back into a wafer supporting position. After the pedestal 262 lifts the wafer W from the upper pin segment the plurality of pedestal pins 278, engage the underside of the upper pin segment, to flip the upper lift pin segment 270 b to the open position shown in FIG. 15B. Preferably, the underside of each of the upper pin segments 270 b include an extension pin which extends inwardly at the pin segment and forms an engagement surface for pin segment 270 b pin 278 contact. The wafer is then processed. After processing, the pedestal 262 lowers the first wafer. Because the upper pin segment has been flipped outwardly, the wafer passes upper pin segment 270 b and comes to rest on the wafer support surface 274 of the lower pin segment 270 a. The lift hoop 266 carrying the plurality of lift pins 270 is then lowered In anticipation of receipt of the next wafer. As the lift pins are lowered, the outer surface of the upper pin segment 270 b strikes against pin 280, extending from shield 263 which causes the upper pin segment 270 b to be rotated back to the upright or closed position shown in FIG. 15A for receipt of an additional wafer thereon. The transfer robot then inserts another wafer into the chamber, and the lift pins are raised to position the second wafer on the wafer support surface 276 of the upper lift pin segment 270 b. The transfer robot then removes the first wafer from the lower pin segment 270 a and the process cycle continues. Thus, the double pin segments 270 a. 270 b enable storage of a processed wafer on the lower segment, placement of a new wafer on the upper segment by the robot blade, and then removal of the stored wafer with the same blade without the need to move the blade in an orbit about the hub, thereby decreasing wafer handling time.
  • Semiconductor wafers are transferred into the system through the [0061] entry loadlock 238. A first transfer robot arm assembly 212 a picks a wafer from the loadlock 238 and moves it into the pre/post processing chamber 234 and then into de-gas chamber 240. During the degas process, the robot arm assembly 212 a picks another wafer from the entry loadlock and carries it into the transfer chamber to await de-gas. Once the first wafer has been de-gassed, the pedestal 262 within the chamber lowers the wafer to the lower horizontal wafer support surface 274. The lift pins are then lowered and the upper pin segment is rotated back to the upright position. The second wafer may now be inserted into the de-gas chamber and placed on the upper wafer support surface 276. Prior to de-gassing the second wafer, the robot arm assembly 212 a removes the first wafer for transfer to the pre-clean chamber 242. During de-gas of the second wafer and preclean of the first wafer, the robot arm assembly 212 a picks a third wafer from the entry loadlock and carries it into the transfer chamber to await de-gas. Once the second wafer has been de-gassed, the pedestal 262 within the chamber lowers the second wafer to the lower horizontal wafer support surface 274. The lift pins are then lowered and the upper pin segment is rotated back to the upright position. The third wafer may now be inserted Into the de-gas chamber and placed on the upper wafer support surface 276. The second wafer is now removed from the de-gas chamber for transfer to the pre-clean chamber. Once the first wafer has been pre-cleaned, the pedestal 262 within the chamber lowers the first wafer to the lower horizontal wafer support surface 274. The lift pins 270 are then lowered and the upper pin segment 270 b is rotated back to the upright position. The second wafer may now be inserted into the pre-clean chamber and placed on the upper wafer support surface 276. The first wafer is removed for transfer to the cooling chamber 248, where it is stored to await further processing. The pass through chamber cassette is filled, and the wafers are cooled down one at a time.
  • In the dual robot assembly of the invention, the upper robot and lower robot operate independently from one another. The individual operation of each robot is as described in our prior U.S. patent application Ser. No. 07/873,422, and is expressly incorporated herein by reference. Although the invention is described herein with reference to the preferred embodiments of the dual robot assembly, it is anticipated that modifications will readily suggest themselves to those skilled in the art. For example, it is possible to provide a stacked configuration of more than two robots without departing from the spirit and scope of the invention. Likewise, although the invention has been described herein in terms of robots having frog-leg mechanisms, it is equally applicable to other robot types, where the operation of at least two of the robots is independent of any other in the chamber. [0062]
  • The present invention, therefore, is well adapted to carry out the objects and attain the ends and advantages mentioned as well as others inherent therein. While presently preferred embodiments of the invention are given for the purpose of disclosure, numerous changes in the details will readily suggest themselves to those skilled in the art and which are encompassed within the spirit of the invention and the scope of the appended claims.[0063]

Claims (63)

We claim:
1. An apparatus for transferring objects, such as semiconductor wafers, composing:
a first article transfer assembly moveable about a central axis;
a second article transfer assembly spaced a distance apart from and coaxial with said first article transfer assembly and also moveable about said central axis, said first article transfer assembly being moveable about said central axis independently of said second article transfer assembly, where in each article transfer assembly includes an object support moveable about said central axis.
2. The apparatus for transferring objects according to claim 1, wherein said first article transfer assembly comprises a first pair of extendable arm assemblies and said second article transfer assembly comprises a second pair of extendable arm assemblies.
3. The apparatus for transferring objects according to claim 2, wherein each of said first pair of extendable arm assemblies and said second pair of extendable arm assemblies comprises:
a first drive arm moveable about said central axis;
a second drive arm moveable about said central axis;
a first pair of strut arms articulated to said first and second drive arms;
a second pair of strut arms articulated to said first and second drive arms;
a first object support coupled to said first pair of strut arms; and
a second object support coupled to said second pair of strut arms.
4. The apparatus for transferring objects according to claim 3, wherein each of said first and second article transfer assemblies further comprises:
a first drive member for moving said fist drive arm and said second drive arm about sold central axis and thereby move said first object support between a first position and a second position about a central axis and a second drive member for independently moving said second object support between a first and second position about a central axis.
5. The apparatus for transferring objects according to claim 4, further including a hub, and wherein each of said first and second drive members includes a motor located within said hub in an environment sealed from the environment within which said first and second extendible wall assemblies are maintained, and a coupler adjacent said hub and connected to said extendable arm assembly within the environment within which said extendable arm assemblies are maintained, each of said couplers operatively coupled to one of said first and second extendable arm assemblies to impart motion thereto.
6. The apparatus for transferring objects according to claim 5, wherein each of said couplers include a ring connected to a drive arm of said extendable arm assemblies, and each of said motors is magnetically coupled, through said hub, to one of said rings.
7. The apparatus for transferring objects according to claim 2, wherein said first pair of extendable arm assemblies and said second pair of extendable arm assembles are located within a transfer chamber; said transfer chamber being connected to one or more adjacent process chambers.
8. The apparatus for transferring objects according to claim 7, wherein said first article transfer assembly is connected to an upper superstructure of said transfer chamber and said second article transfer assembly is connected to a bottom wall of the transfer chamber.
9. The apparatus for transferring objects according to claim 8, wherein said hub comprises a central column connecting said first article transfer assembly to said second article transfer assembly.
10. The apparatus for transferring objects according to claim 9, wherein said first extendable arm assembly is located above said second extendable arm assembly within a transfer chamber.
11. The apparatus for transferring objects according to claim 10, wherein said transfer chamber is connected to one or more adjacent process chambers by a corresponding one or more valve assemblies, and said second article transfer assembly is connected to a bottom wall of the transfer chamber.
12. The apparatus for transferring objects according to claim 9, wherein each of said first extendable arm assembly and said second extendable arm assembly comprises:
a first drive arm rotatable about said central axis;
a second drive arm rotatable about said central axis;
a pair of strut arms articulated to said first and second drive arms; and
an object support member pivotally coupled to said pair of strut arms.
13. The apparatus for transferring objects according to claim 12, wherein each of said first and second article transfer assemblies further comprises:
independent drive members for rotating said first drive arm and said second drive arm to move said object support member between a first position and a second position relative to the central axis.
14. The apparatus for transferring objects according to claim 13, wherein said hub comprises a central column connecting said first article transfer assembly to said second article transfer assembly.
15. The apparatus for transferring objects according to claim 14, wherein said first extendable arm assembly is located above said second extendable arm assembly within a transfer chamber; the drive member of said first article transfer assembly being located above said first extendable arm assembly and the drive member of said second article transfer assembly being located below said second extendable arm assembly.
16. The apparatus for transferring objects according to claim 15, wherein said transfer chamber is connected to one or more adjacent process chambers by a corresponding one or more valve assemblies, and said second article transfer assembly is connected to a bottom wall of the transfer chamber.
17. The apparatus for transferring objects according to claim 13, wherein said drive means comprises:
a first article transfer assembly motor coupled to said first extendable arm assembly by a first pair of hollow drive shafts;
a second article transfer assembly motor coupled to said second extendable arm assembly by a second pair of hollow drive shafts; said second hollow drive shafts being concentric with said first hollow drive shafts.
18. The apparatus for transferring objects according to claim 17, wherein said first extendable arm assembly is located above said second extendable arm assembly within a transfer chamber, and said first and second motors are located below the transfer chamber.
19. The apparatus for transferring objects according to claim 18, wherein said first motor is located below said second motor and said second motor shaft surrounds said first motor shaft.
20. The apparatus for transferring objects according to claim 9, wherein each of said first extendable arm assembly and said second extendable arm assembly comprises:
a first drive arm having a first end slidably connected to said central hub disposed about said central axis;
a secondary arm having a first end slidably connected to said central hub; and
an object support member pivotally coupled to said first drive arm and said secondary arm.
21. The apparatus for transferring objects according to claim 20, further comprising:
a magnetic coupling coupling each one of said first ends of said drive arms through said central hub to a motor disposed within said central hub.
22. The apparatus for transferring objects according to claim 20, wherein said object support member of said extendable arm assembly is horizontally co-planar with the object support member of said second extendable arm assembly.
23. An apparatus for transferring objects between multiple positions within an enclosure, comprising:
a first object transfer assembly located within the enclosure;
a second object transfer assembly located within the enclosure;
at least one object rest location accessible to the enclosure and to said first object transfer assembly and said second object transfer assembly; and
a drive member operatively coupled to said first object transfer assembly and said second object transfer assembly to enable independent movement of said first object transfer assembly relative to said second object transfer assembly.
24. The apparatus of claim 23, wherein said drive member includes at least one cylindrical wall section, sold cylindrical wall section extending inwardly of said enclosure and defining a volume isolated from the environment of the enclosure.
25. The apparatus of claim 24, further including a first drive assembly located at least partially within said volume and operatively coupled to said first object transfer assembly and a second drive assembly located at least partially within said volume and operatively coupled to said second object transfer assembly.
26. The apparatus of claim 25, wherein said operative coupling of said drive assemblies to said transfer assemblies is a magnetic coupling through said wall.
27. The assembly of claim 26, wherein at least one of said object transfer assemblies includes a frog leg mechanism having a first end coupled to said drive assemblies through said wall and a second end supporting an object support member thereon; and
said drive assembly includes a first magnetic drive element and a second magnetic drive element providing magnetic coupling to said first end of said frog leg mechanism; whereby
movement of said magnetic drive mechanism in a common direction with respect to said central axis enables motion of said object transfer assembly with a constant distance between said first end and second end of said frog leg assembly, and movement of said magnetic drive elements in an opposed direction with respect to said central axis enables a change in the distance between first and second ends of said frog leg assembly.
28. The apparatus of claim 27, wherein at least one of said object transfer assemblies includes:
a drive arm having a First end coupled to said drive member and a second end pivotally connected to an object support member;
a secondary arm having a first end coupled to said drive member and a second end pivotally connected to said drive arm at a position intermediate of said first and second end thereof; and
said object support member pivotally connected to said secondary arm at a position intermediate of said first and second ends thereof.
29. The apparatus of claim 28, wherein said drive member includes:
a first drive element and a second drive element, said first drive element coupled to said first end of said drive arm and said second drive element coupled to said first end of said secondary arm; and whereby
movement of said first ends of said drive arm and said secondary arm in the same direction with respect to said wall enables movement of said object support member at a constant distance from said wall, and movement of said first ends of said drive arm and secondary arm in opposed directions enables a change in the location of said object support member relative to said wall.
30. The apparatus of claim 29, further including:
a hub extending about the axis and defining a volume;
at least a fist drive member at least partially received within said volume and coupled through said hub to a first driven member within the enclosure and a second drive member at least partially received within said volume and coaxially disposed With said first drive member coupled through said hub to a second driven member; and
said first driven member and said second driven member coupled to said first transfer assembly in said enclosure.
31. The apparatus of claim 30, wherein said first transfer assembly includes a first arm having a first portion coupled to said first driven member and a second portion;
a second arm having a first portion coupled to said second portion of said first arm and a second portion; and
an object receiving member coupled to said second portion of said second arm.
32. The apparatus of claim 31, wherein said first transfer assembly further includes a third arm having a first portion coupled to said second driven member and a second portion; and
a fourth arm having a first portion coupled to said second portion of said first arm and a second portion coupled to said object receiving member.
33. The apparatus of claim 32, wherein said fast and second driven members are annular rings disposed about the hub; and
movement of said driven members in opposed directions enables retraction and extension of said object receiving member of said first transfer assembly with respect to said axis and movement of said driven members in the same direction enables movement of said object receiving member of said first transfer assembly in at least a portion of an orbit about said axis.
34. The apparatus of claim 33, further including:
a third driving member coupled to a third driven member in the enclosure and a fourth driving member coupled to a fourth driven member in the enclosure;
said second transfer assembly includes a first arm having a first portion coupled to said third driven member and a second portion;
a second arm having a first portion coupled to said second portion of said first arm and a second portion;
a third arm having a first portion coupled to said fourth driven member and a second portion;
a fourth arm having a first portion coupled to said second portion of said first arm and a second portion; and
an object receiving member coupled to said second portions of said second and fourth arms.
35. The apparatus of claim 34, further including:
at least one object rest position within said enclosure, said object rest position accessible to the object receiving members of said first transfer assembly and second transfer assembly both simultaneously and independently.
36. The apparatus of claim 34, wherein said first transfer assembly further includes:
a fifth arm having a first portion coupled to said second end of said first arm and a second portion;
a sixth arm coupled to said second end of said third arm and a second portion; and
a second object receiving member coupled to said second ends of said fifth and sixth arms.
37. The apparatus of claim 34, wherein said driving members are coupled to drive motors, and each of said motors is suspended from said enclosure.
38. The apparatus of claim 34, wherein said driving members are coupled to drive motors, and said drive motors for driving said first and second driving members are supported over said enclosure and said drive motors for driving said third and fourth driving members are suspended from said enclosure.
39. The apparatus of claim 34, wherein said driving members are coupled to drive motors, and said drive motors are at least partially received in a hub extending across said enclosure.
40. The apparatus of claim 39, wherein the enclosure includes an upper and lower wall, and said hub spans the space between said upper and said lower walls.
41. The apparatus of claim 29, wherein the enclosure is a transfer chamber of a semiconductor processing system.
42. The apparatus of claim 34, wherein the object receiving member is an end effector for receiving a semiconductor wafer.
43. A method of transferring objects between a plurality of positions in an enclosure, comprising:
providing a first object transfer assembly having an object support positionable at multiple positions about an axis;
providing a second object transfer assembly having an object support positionable at multiple positions about said axis;
coaxially positioning said first and second object transfer assemblies about said axis; and
moving said object support of said first object transfer assembly independently of the position of said object support of said second object transfer assembly.
44. The method of claim 43, including the additional steps of;
providing at least one object storage location accessible to the enclosure;
moving the object support of the first object transfer assembly to access said storage location while independently positioning the object support of the second object transfer assembly.
45. The method of claim 44, wherein the step of positioning the object support of the second object transfer assembly includes:
moving the object support of the second object transfer assembly.
46. The method of claim 44, wherein the step of positioning the object support of the second object transfer assembly includes:
maintaining the object support of the second object transfer assembly in a stationary position while the object support of the first object transfer assembly is moving.
47. The method of claim 44, wherein the step of positioning the object support of the second object transfer assembly includes:
maintaining the object support of the second object transfer assembly in a stationary position while the object support of the first object transfer assembly is moving in an arcuate path about the axis.
48. The method of claim 44, wherein the step of positioning the object support of the second object transfer assembly includes:
maintaining the object support of the second object transfer assembly in a stationary position while the object support of the first object transfer assembly is moving in a linear path.
49. The method of claim 44, wherein the step of positioning the object support of the second object transfer assembly includes:
maintaining the object support of the second object transfer assembly in a stationary position while the object support of the first object transfer assembly is moving away from the axis.
50. The method of claim 44, wherein the step of positioning the object support of the second object transfer assembly includes:
maintaining the object support of the second object transfer assembly in a stationary position while the object support of the first object transfer assembly is moving toward the axis.
51. The method of claim 44, including the additional steps of moving the object support of the first object transfer assembly in a clockwise direction about the axis while simultaneously moving the object support of the second object transfer assembly in a counterclockwise direction about the axis.
52. The method of claim 51, including the additional step of passing one of the first and second object supports over the other of the first and second object supports.
53. The method of claim 44, including the further step of simultaneously accessing the storage location with the first and the second object supports.
52. The method of claim 51, including the additional steps of;
providing multiple object rest positions accessible to the object transfer assemblies; and
simultaneously accessing different object rest positions with the first and second object support members.
53. An apparatus for transferring semiconductor wafers between chambers adjacent to a transfer chamber, said wafer transfer apparatus comprising:
a lower wafer transfer robot movable about a central axis and connected to a bottom wall of said transfer chamber comprising:
a first extendable arm assembly located within said transfer chamber and comprising:
a first drive arm movable about said central axis;
a second drive arm movable about said central axis;
a pair of strut arms articulated to said first and second drive arms; and
a wafer holding blade pivotally coupled to said pair of strut arms; and
a drive motor located below said first extendable arm assembly and connected to an end of said first drive arm and end of said second drive arm for imparting motion to the ends thereof which is in an orbital path about said axis to enable linear movement of said wafer blade between an extended position and a retracted position;
an upper wafer transfer robot independently movable from and coaxially aligned with said lower wafer transfer robot, and comprising:
a second extendable arm assembly located within said transfer chamber above said first pair of extendable arm assemblies and comprising:
a first drive arm movable about said central axis;
a second drive arm movable about said central axis:
a pair of strut arms articulated to said first and second drive arms;
a wafer holding blade pivotally coupled to said pair of strut arms; and
a drive motor located above said second extendable arm assembly and connected to an end of said first drive arm and an end of said second drive arm for imparting motion thereto in an orbital path about said axis to enable linear movement of said wafer blade between an extended position and a retracted position; and
a central column connecting said first wafer transfer robot to said second wafer transfer robot.
54. An apparatus for transferring semiconductor wafers between chambers adjacent to a transfer chamber, said wafer transfer apparatus comprising:
a first wafer transfer robot movable about a central ads comprising:
a first extendable arm assembly located within sold transfer chamber and comprising:
a first drive arm movable about said central axis;
a second drive arm movable about said central axis;
a pair of strut arms articulated to said first and second drive arms: and
a wafer holding blade pivotally coupled to said pair of strut arms; and
a drive motor located below said transfer chamber and coupled to an end of said first extendable arm assembly by a first hollow drive shaft for imparting motion thereto in an orbital path about said axis to enable linear movement of said wafer blade between an extended position and a retracted position;
a second wafer transfer robot independently movable from and coaxial with said first wafer transfer robot, and comprising:
a second extendable arm assembly located within said transfer chamber below said First pair of extendable arm assemblies and comprising:
a first drive arm moveable about said central axis;
a second drive arm moveable about said central axis;
a pair of strut arms articulated to said first and second drive arms;
a wafer holding blade pivotally coupled to said pair of strut arms; and
a drive motor located below said transfer chamber, and coupled to said second extendable arm assembly by a second hollow drive shaft, which is concentric with and surrounds said first hollow drive shaft, for imparting motion thereto to linearly move said wafer blade between an extended position and a retracted position.
55. An apparatus for receiving a plurality of articles, such as semiconductor wafers, comprising:
a chamber having a chamber cavity therein for receiving said articles;
a pedestal centrally located and vertically movable within the chamber cavity;
an article lifting and storing apparatus comprising a plurality of vertically movable lift pins extendable between a retracted and an extended position with respect to the article receiving surface of said pedestal, said lift pins configured to receive and hold a plurality of stacked articles therein.
56. The apparatus for receiving a plurality of articles according to claim 55, wherein said lift pins are configured to receive two stacked articles.
57. The apparatus for receiving a plurality of articles according to claim 56, wherein each one of said plurality of lift pins comprise:
a lower lift pin segment exhibiting a lower article support surface proximal to the upper end thereof;
can upper lift pin segment hingedly connected to said lower lift pin segment and exhibiting an upper article support surface proximal to an upper end thereof.
58. The apparatus for receiving a plurality of articles according to claim 57, further comprising an actuating member to move said upper lift pin segment to expose said lower lift pin segment to an article.
59. The apparatus for receiving a plurality of articles according to claim 57, further comprising:
at least one pedestal pin extending outwardly from said pedestal to contact said upper lift pin segment as the position of the pedestal is changed wit respect to said lift pin segment, to move the upper lift pin assembly from a closed position to an open position.
60. The apparatus for receiving a plurality of articles according to claim 59, further comprising a plurality of closing pins extending inwardly toward said lift pins from a surface of said chamber, said closing pins configured to contact said upper lift pin assembly in the open position and move said upper lift pin assembly to the closed position.
61. An apparatus for processing semiconductor wafers comprising:
a transfer chamber surrounded by a plurality of chambers having wafer rest positions therein;
a process transfer robot located within said transfer chamber, said transfer robot comprising a first wafer transfer assembly moveable about a central axis and capable of accessing at least one common wafer rest position and a second wafer transfer assembly moveable about said central axis independently of said first wafer transfer assembly and capable of accessing said wafer rest position.
US10/047,340 1996-02-28 2001-10-23 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers Abandoned US20020127091A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/047,340 US20020127091A1 (en) 1996-02-28 2001-10-23 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US10/378,864 US6799939B2 (en) 1996-02-28 2003-03-05 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/608,237 US6102164A (en) 1996-02-28 1996-02-28 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US09/908,360 US20020029936A1 (en) 1996-02-28 2001-07-17 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US10/047,340 US20020127091A1 (en) 1996-02-28 2001-10-23 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/908,360 Division US20020029936A1 (en) 1996-02-28 2001-07-17 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/378,864 Continuation US6799939B2 (en) 1996-02-28 2003-03-05 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Publications (1)

Publication Number Publication Date
US20020127091A1 true US20020127091A1 (en) 2002-09-12

Family

ID=24435626

Family Applications (4)

Application Number Title Priority Date Filing Date
US08/608,237 Expired - Fee Related US6102164A (en) 1996-02-28 1996-02-28 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US09/908,360 Abandoned US20020029936A1 (en) 1996-02-28 2001-07-17 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US10/047,340 Abandoned US20020127091A1 (en) 1996-02-28 2001-10-23 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US10/378,864 Expired - Fee Related US6799939B2 (en) 1996-02-28 2003-03-05 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US08/608,237 Expired - Fee Related US6102164A (en) 1996-02-28 1996-02-28 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US09/908,360 Abandoned US20020029936A1 (en) 1996-02-28 2001-07-17 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/378,864 Expired - Fee Related US6799939B2 (en) 1996-02-28 2003-03-05 Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers

Country Status (4)

Country Link
US (4) US6102164A (en)
EP (1) EP0793262A3 (en)
JP (1) JPH104131A (en)
TW (1) TW333518B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040154426A1 (en) * 2003-02-07 2004-08-12 Samsung Electronics Co., Ltd. Transporting apparatus
US20090245984A1 (en) * 2008-03-27 2009-10-01 Lam Research Corporation High throughput cleaner chamber
US20110200415A1 (en) * 2010-02-16 2011-08-18 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US20110232771A1 (en) * 2010-03-24 2011-09-29 Lam Research Corporation Airflow management for low particulate count in a process tool

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
JP3757016B2 (en) * 1997-02-20 2006-03-22 ローツェ株式会社 Handling robot
JPH10329069A (en) * 1997-03-31 1998-12-15 Daihen Corp Control method for conveyance system
JP3522075B2 (en) * 1997-04-08 2004-04-26 株式会社小松製作所 Handling robot control method
US6155773A (en) * 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US7217325B2 (en) * 1999-01-22 2007-05-15 Semitool, Inc. System for processing a workpiece
JP2000306978A (en) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd Substrate treatment apparatus, substrate transfer apparatus, and substrate treatment method
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
JP2000299367A (en) * 1999-04-15 2000-10-24 Tokyo Electron Ltd Processing apparatus and transfer method of article to be processed
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
AU2052301A (en) * 1999-11-30 2001-06-12 Storage Technology Corporation Dual concentric robotic high performance automated tape cartridge system
US6602793B1 (en) * 2000-02-03 2003-08-05 Newport Fab, Llc Pre-clean chamber
US6537011B1 (en) 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6767176B2 (en) 2001-06-29 2004-07-27 Applied Materials, Inc. Lift pin actuating mechanism for semiconductor processing chamber
US7077619B2 (en) 2001-07-13 2006-07-18 3M Innovative Properties Company Continuous motion robotic manipulator
JP2003037146A (en) * 2001-07-24 2003-02-07 Asm Japan Kk Semiconductor manufacturing apparatus having buffer mechanism and method
US20040018070A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Compact and high throughput semiconductor fabrication system
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US6914337B2 (en) * 2003-11-04 2005-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Calibration wafer and kit
US7543371B2 (en) * 2004-06-23 2009-06-09 Hitachi Global Storage Technologies Netherlands B.V. Apparatus for a disk drive actuator pivot set height tooling with an active servo compensation
JP4732716B2 (en) * 2004-06-29 2011-07-27 株式会社アルバック Conveying apparatus, control method therefor, and vacuum processing apparatus
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR100595135B1 (en) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 Wafer transfer apparatus having two wafer transfer module
CN1942288B (en) * 2005-02-12 2010-12-22 应用材料公司 Multi-axis vacuum motor assembly
US8145358B2 (en) 2006-07-25 2012-03-27 Fisher-Rosemount Systems, Inc. Method and system for detecting abnormal operation of a level regulatory control loop
JP2008100292A (en) * 2006-10-17 2008-05-01 Toshiba Mach Co Ltd Robot system
US8032340B2 (en) * 2007-01-04 2011-10-04 Fisher-Rosemount Systems, Inc. Method and system for modeling a process variable in a process plant
US7806684B2 (en) * 2007-10-02 2010-10-05 United Microelectronics Corp. Method of semiconductor process and semiconductor apparatus system
CN101459669A (en) * 2008-12-29 2009-06-17 成都市华为赛门铁克科技有限公司 Access method and apparatus for network file system
US10227695B2 (en) * 2009-12-31 2019-03-12 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
JP5452349B2 (en) * 2010-05-11 2014-03-26 東京エレクトロン株式会社 To-be-processed object conveying method, to-be-treated object conveying apparatus, and program
FR2960637B1 (en) 2010-05-26 2013-04-12 Moving Magnet Tech COMPLEX PLAN MOVEMENT EDGE PANEL INDICATING DEVICE
US9186799B2 (en) 2011-07-13 2015-11-17 Brooks Automation, Inc. Compact direct drive spindle
KR20230084597A (en) 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 A Transport Apparatus and A Processing Apparatus Comprising the Same
KR102499348B1 (en) 2011-09-16 2023-02-13 퍼시몬 테크놀로지스 코포레이션 Robot Drive With Passive Rotor
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
FR2982715B1 (en) 2011-11-14 2013-11-15 Moving Magnet Tech INDICATOR MODULE FOR A FLUID MOTION DASHBOARD
CN104812534B (en) 2012-11-30 2018-05-11 应用材料公司 Motor module, multi-axis motor drive component, multi-spindle machining hand equipment and electronic device manufacturing system and method
WO2015112538A1 (en) 2014-01-21 2015-07-30 Persimmon Technologies, Corp. Substrate transport vacuum platform
TWI680040B (en) * 2014-09-17 2019-12-21 美商法伯沃克斯分解股份有限公司 Multi-component robotic hub mounting plate to facilitate hub removal
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
US10901328B2 (en) 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4909701A (en) * 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
DE3573899D1 (en) * 1984-05-02 1989-11-30 Tokuda Seisakusho Carrying device
US4712971A (en) * 1985-02-13 1987-12-15 The Charles Stark Draper Laboratory, Inc. Control arm assembly
US4721842A (en) * 1986-08-29 1988-01-26 Ferranti Sciaky, Inc. Beam position correction device
JPH0825151B2 (en) * 1988-09-16 1996-03-13 東京応化工業株式会社 Handling unit
JP2699510B2 (en) * 1989-01-23 1998-01-19 ソニー株式会社 Articulated robot
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
DE69032945T2 (en) * 1989-10-20 1999-09-16 Applied Materials Inc Robotic device
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
JP2808826B2 (en) * 1990-05-25 1998-10-08 松下電器産業株式会社 Substrate transfer device
JP2831820B2 (en) * 1990-07-30 1998-12-02 株式会社プラズマシステム Substrate transfer device
JP2919065B2 (en) * 1990-11-29 1999-07-12 株式会社東芝 Transfer device
JPH04264748A (en) * 1991-02-20 1992-09-21 Ebara Corp Wafer transfer robot
US5209699A (en) * 1991-02-26 1993-05-11 Koyo Seiko Co., Ltd Magnetic drive device
JPH04304372A (en) * 1991-03-29 1992-10-27 Nec Corp Multi-chamber vacuum treating device
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
EP0512516B1 (en) * 1991-05-08 1995-12-20 Koyo Seiko Co., Ltd. Magnetic drive device
JP2867194B2 (en) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 Processing device and processing method
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5376862A (en) * 1993-01-28 1994-12-27 Applied Materials, Inc. Dual coaxial magnetic couplers for vacuum chamber robot assembly
US5293107A (en) * 1993-02-24 1994-03-08 Fanuc Robotics North America, Inc. Motorized rotary joint and method of constructing a modular robot utilizing same
EP0696242B2 (en) * 1993-04-16 2004-10-13 Brooks Automation, Inc. Articulated arm transfer device
JPH0743264A (en) * 1993-07-29 1995-02-14 Horon:Kk Wafer carrying mechanism for vacuum system
JPH07249672A (en) * 1994-03-14 1995-09-26 Kokusai Electric Co Ltd Board carrying method
US5569014A (en) * 1994-08-08 1996-10-29 Brooks Automation, Inc. Frog-leg robot having walking-beams
JP3350278B2 (en) * 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 Substrate processing equipment

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040154426A1 (en) * 2003-02-07 2004-08-12 Samsung Electronics Co., Ltd. Transporting apparatus
US7201078B2 (en) 2003-02-07 2007-04-10 Samsung Electronics Co., Ltd. Transporting apparatus
US20090245984A1 (en) * 2008-03-27 2009-10-01 Lam Research Corporation High throughput cleaner chamber
EP2272089A2 (en) * 2008-03-27 2011-01-12 LAM Research Corporation High throughput cleaner chamber
EP2272089A4 (en) * 2008-03-27 2012-12-05 Lam Res Corp High throughput cleaner chamber
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US20110200415A1 (en) * 2010-02-16 2011-08-18 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US20110232771A1 (en) * 2010-03-24 2011-09-29 Lam Research Corporation Airflow management for low particulate count in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9321000B2 (en) 2010-03-24 2016-04-26 Lam Research Corporation Airflow management for low particulate count in a process tool

Also Published As

Publication number Publication date
EP0793262A2 (en) 1997-09-03
US6799939B2 (en) 2004-10-05
JPH104131A (en) 1998-01-06
US6102164A (en) 2000-08-15
US20030180139A1 (en) 2003-09-25
EP0793262A3 (en) 2001-08-16
US20020029936A1 (en) 2002-03-14
TW333518B (en) 1998-06-11

Similar Documents

Publication Publication Date Title
US6799939B2 (en) Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US5789878A (en) Dual plane robot
US4951601A (en) Multi-chamber integrated process system
US5292393A (en) Multichamber integrated process system
US6071055A (en) Front end vacuum processing environment
US5882165A (en) Multiple chamber integrated process system
US6722834B1 (en) Robot blade with dual offset wafer supports
US6048162A (en) Wafer handler for multi-station tool
US4911597A (en) Semiconductor processing system with robotic autoloader and load lock
EP0676086B1 (en) Wafer transport module with rotatable and horizontally extendable wafer holder
US5280983A (en) Semiconductor processing system with robotic autoloader and load lock
US6506693B2 (en) Multiple loadlock system
US5951770A (en) Carousel wafer transfer system
US5224809A (en) Semiconductor processing system with robotic autoloader and load lock
EP0272141A2 (en) Multiple chamber integrated process system
JP2751975B2 (en) Load lock chamber of semiconductor processing equipment
US20040005211A1 (en) Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
JP4620214B2 (en) Wafer processing equipment
JP2003110003A (en) Method and device for transferring semiconductor substrate
JP2002517055A (en) Substrate handling and processing systems and methods
EP0742084A2 (en) Robot assembly
EP1749116A1 (en) Methods and apparatuses for transferring articles through a load lock chamber under vacuum
US20010041121A1 (en) Single chamber vacuum processing tool
JP2022538949A (en) Hybrid system architecture for thin film deposition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION